Вы находитесь на странице: 1из 29

8 7 6 5 4 3 2 1

G510 SCHEMATICS
D 01.THIS PAGE D

02.uFCPGA Tualatin CPU


03.uFCPGA Tualatin CPU
04.uFCPGA Tualatin CPU
05.CLOCK GENERATOR
06.SIS630ST(HOST/MEMORY)
07.SIS630ST(PCI/IDE)
08.SIS630ST(VGA/AGP)
09.SIS630ST(SOUTH BRIDGE)
10.SIS630ST(POWER)
C C
11.SODIMM & ON BOARD SDRAM
12.CRT & USB CONN.
13.HDD & CDROM CONN.
14.COM & LPT PORT
15.AC'97 CODEC
16.OP AMPLIFIER & AUDIO JACK
17.NS87393 & IR MODULE
18.M38867
19.BIOS ROM & POWER GOOD
B
20.RTC BATTERY & POWER SW B

21.TI1410 & CARDBUS CONN.


22.LVDS TRANSMITTER & LCD CONN.
23.MINI PCI
PCB NO. :KK0G510011020
24.CHARGER
25.CPU VORE VCC REV. : 2.0
26.DC-DC MP5V/+3VALWAYS/+12VA
PAGE 25 26 27 28 29
27.DC-DC VCC1.8V/ALWAYS VOLTAGE P.leader Appr.by Check by Design by
VER 2.0 2.0 2.0 2.0 2.A
28.uP
DATE 10/30 10/30 10/30 10/30 11/9
29.REVISION HISTROY
A A

PAGE 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24

VER 2.A 2.0 2.0 2.0 2.0 2.0 2.0 2.0 2.0 2.0 2.0 2.0 2.0 2.0 2.0 2.A 2.0 2.0 2.0 2.0 2.0 2.0 2.0 2.0 ALPHA-TOP CORP.

DATE 11/9 10/30 10/30 10/30 10/30 10/30 10/30 10/30 10/30 10/30 10/30 10/30 10/30 10/30 10/30 10/30 10/30 11/9 10/30 10/30 10/30 10/30 10/30 10/30 Title
G510 MAIN BOARD

Size Document Number Rev


B G510-1-4-01 2.A

Date: Friday, November 09, 2001 Sheet 1 of 29


8 7 6 5 4 3 2 1
5 4 3 2 1

HD[0..63] VCC3
HD[0..63] 6
HA[3..31]
6 HA[3..31] U39A
HA3 K1
HA4 A3# HD0
J1 A4# D0# A16
HA5 HD1 C581 R499
HA6
G2
K3
A5# TUALATIN D1# B17
A17 HD2
HA7 A6# D2# HD3 0.1UF 10K
J2 A7# D3# D23
HA8 H3 B19 HD4
HA9 A8# D4# HD5
HA10
G1
A3
A9# 1 OF 3 D5# C20
C16 HD6
HA11 A10# D6# HD7
J3 A11# D7# A20
HA12 HD8 C582 2200PF

15
H1 A12# D8# A22

2
D HA13 D3 A19 HD9 1 2 U40 D
HA14 A13# D9# HD10
F3 A23

VCC

STBY
HA15 A14# D10# HD11 THERMDA
G3 A15# D11# A24 3
HA16 HD12 DXP
C2 A16# D12# C18
HA17 B5 D24 HD13 THERMDC 4
del R500,R501,C583 HA18 A17# D13# HD14 DXN
B11 A18# D14# B24 SMBCLK 14 SMCLK 18,28
HA19 C6 A18 HD15
HA20 A19# D15# HD16 VCC3
B9 E23 R502 1K 6 12 SMDA 18,28
HA21 A20# D16# HD17 ADD1 SMBDATA
B7 A21# D17# B21
HA22 C8 B23 HD18 R503 1K 10 11
A22# D18# ADD0 ALERT THRM- 18
HA23 A8 E26 HD19
HA24 A23# D19# HD20
A10 C24 1 R504 10K VCC3
HA25 A24# D20# HD21 NC1
B3 F24 5 13

GND

GND
HA26 A25# REQUEST DATA D21# HD22 NC2 NC4
A13 A26# D22# D25 9 NC3 NC5 16
HA27 A9 PHASE PHASE E24 HD23
HA28 A27# D23# HD24
C3 A28# SIGNALS SIGNALS D24# B25
HA29 HD25 Philips1617

8
C12 A29# D25# G24
HA30 C10 H24 HD26
HA31 A30# D26# HD27 VCC1.5V
A6 A31# D27# F26 Default use Philips 1617
A15 L24 HD28
A32# D28# HD29
A14 A33# D29# H25
B13 C26 HD30
-HREQ[0..4] A34# D30# HD31 A20M-
A12 K24 R505 1.5K
6 -HREQ[0..4] A35# D31# HD32
D32# G26 1.5V CMOS INPUT
-HREQ0 R1 K25 HD33 FERR- R506 1.5K
-HREQ1 REQ0# D33# HD34
L3 REQ1# D34# J24 A20M# ,DPSLP# ,FLUSH# ,IGNNE# ,INIT#
-HREQ2 T1 K26 HD35 IGNNE- R507 1.5K
-HREQ3 U1
REQ2# ERROR D35#
F25 HD36 ,LINT0/INTR ,LINT1/NMI ,PREQ# ,SMI#
-HREQ4 REQ3# D36# HD37 NMI
L1 REQ4# SIGNALS D37# N26 ,STPCLK# R508 1.5K
C T4 J26 HD38 C
RP# D38# HD39 INTR
M24 R509 1.5K
D39# HD40
6 ADS- AA3 ADS# D40# U26 1.25V CMOS INPUT
P25 HD41 STPCLK- R510 680
D41# HD42
W2 AERR# D42# L26 GHI# ,VTTPWRGD
AB3 R24 HD43 SMI- R512 270
AP0# D43# HD44
P3 AP1# D44# R26
C14 M25 HD45 CPUINIT- R513 1.5K
AF23
BERR# D45#
V25 HD46
1.8V CMOS INPUT
IERR- BINIT# D46# HD47 FLUSH- R514
AF4 IERR# D47# T24 PWRGOOD 3K
M26 HD48
BREQ0- D48# HD49 IERR-
A7 P24 R517 1.5K
6 BREQ0- BREQ0# ARBITRATION D49# HD50
6 BPRI- R2 BPRI# D50# AA26 1.5V OPEN DRAIN OUTPUT
L2 PHASE T26 HD51
6 BNR- BNR# D51# HD52
6 HLOCK- V3 LOCK# SIGNALS D52# U24 FERR# ,IERR#
Y25 HD53
D53# HD54
6 HIT- AA2 HIT# D54# W26
U2 SNOOP PHASE V26 HD55
6 HITM-
T3
HITM#
SIGNALS
D55#
AB25 HD56
3.3V OPEN DRAIN OUTPUT
6 DEFER- DEFER# D56# HD57
D57# T25 BSEL[1:0] ,VID[4:0]
AF22 Y24 HD58
BP2# D58# HD59
AE20 W24 R518 1K
BP3# RESPONSE D59# HD60 3 TESTLO1
AD22 BPM0# D60# Y26 2.5V CLOCK INPUT(Signle End
AD21 PHASE AB24 HD61 R519 1K
6 HTRDY- W1
BPM1#
TRDY# SIGNALS
D61#
D62# AA24 HD62 Mode) 3 TESTLO2
-RS0 Y3 V24 HD63 BREQ0- R520 10
-RS1 RS0# D63#
V1 RS1# BCLK
-RS2 U3 AE24 HA15 R573 10K
RS2# DEP0#
M5 RSP# DEP1# AD25
B -RS[0..2] B
6 -RS[0..2] DEP2# AE25 BSEL[1:0] STSEM BUS FREQUENCY
A20M- AC3 AC24
9 A20M- FERR- A20M# PC DEP3#
9 FERR-
AF6 FERR# DEP4# AF24 1 1 133MHZ
IGNNE- AD9 COMPATIBILITY AD26
9 IGNNE- IGNNE# DEP5#
19 CPUPWGD SMI-
AB4 PWRGOOD SIGNALS DEP6# AC26 0 1 100MHZ
9 SMI- AD3 SMI# DEP7# AD24
Add for Mobile Tualatin
AD11 TDO DBSY# W3 DBSY- 6 AGTL I/O
AD7 Y1 R582 475 1%
TDI DRDY# DRDY- 6
AF7 DIAGNOSTIC A[35:3]# ,ADS# ,AERR# ,AP[1:0]# +HCLK 2 1
TMS PICCLK CPUCLK+ 5
R521 AF15 & TEST AF20

1
1K VCC1.5V TRST# PICCLK PICD1 ,BERR# ,BINIT# ,BNR# ,BP[3:2]# R581 33
AD10 TCK SIGNALS PICD1 AD17
R522 270 AF19 AD19 PICD0 ,BPM[1:0]# ,BREQ0#, D[63:0]# ,DBSY#
PREQ# PICD0
AE22 PRDY#
BSEL0 AE12 AE6 CPUINIT-
CPUINIT- 9
,DEP[7:0]# ,DRDY# ,HIT# ,HITM# R583 33
BSEL1 BSEL0 INIT# FLUSH- -HCLK
AF10 AF5 ,LOCK# ,REQ[4:0]# ,RP# ,RS[2:0]# 2 1 CPUCLK- 5
5 BSEL1 BSEL1 FLUSH# CPURST-

2
RESET# B15 CPURST- 6
AD15 ,TRDY#
9 INTR INTR/LINT0

1
AE14 EXECUTION
9 NMI NMI/LINT1 +HCLK R584 R585
9 STPCLK- AE4 STPCLK# CONTROL BCLK AC1
AF8 61.9 1% 61.9 1%
4,25 DPSLP- DPSLP# SIGNALS AGTL INPUT
THERMDA AF13 BPRI# ,DEFER# ,RESET# ,RSP#
THERMDC THERMDA THERMAL DIODE

2
AF14 THERMDC -HCLK
BCLK# AD1 AGTL OUTPUT PRDY# Add R581,R583=33
Add R582=475 1%
AF16 Add R584,R585=61.9 1%
EDGECTRLP
A A
VCC3 TUALATIN
R523 1K BSEL0 R524 +HCLK -HCLK
VTT 110 1%
R525 1K BSEL1 R526 0R Delete for Mobile
Tualatin above 1Ghz ALPHA-TOP CORP.
PICCLK R593 100 C714 C725
5 PICCLK CAP CAP
CPURST- R527 56.2 1%
Add for Mobile Tualatin VCC1.5V Title
R594 150 PICD0 G510 MAIN BOARD
C584
R601 150 PICD1 0.1UFR CLOSE TO CPU Size Document Number Rev
G510-1-4-01 2.0
Add for Mobile Tualatin
Date: Friday, November 09, 2001 Sheet 2 of 29
5 4 3 2 1
5 4 3 2 1

VTT U39B
L48 A25 VCORE ंफमࣜअऀࣿࣜऀडटफऱबनथपण
R528 0 1 2 N3
N2
VCCA
VSS01
VSS02 B2
B4
ࣿझबझटथरफमय
VSSA VSS03
VSS04 B6
4.7UH-0805 VREF A4 PLL ANALOG VOLTAGE B8
VREF0 VSS05 C585 C586 C587 C588 C589 C590 C591 C592
A21 VREF1 VSS06 B10
1UF-0805 1UF-0805 1UF-0805 1UF-0805 1UF-0805 1UF-0805 1UF-0805 1UF-0805
C593 +
N1
AF9
VREF2 TUALATIN VSS07 B12
B14
33UF/25V-D VREF3 VSS08
AF21
AA1
VREF4 2 OF 3 VSS09 B16
B18
VREF5 VSS10
AB26 VREF6 VSS11 B20
D H26 VREF7 VSS12 B22 D
VSS13 B26
VSS14 C23
CMOSREF AD5 C25
CMOSREF1 VSS15
AF12 CMOSREF2 VSS16 D2
VSS17 D4
9 LO_HI- L5 GHI# VSS18 D7
R529 POWER, D9
VSS19
AE16 RTTIMPEDP GROUND, VSS20 D11
RESERVED D13
56.2 1% TESTHI2- E2
VSS21
D15 VCORE ऄथणतࣜझपठࣜउथठࣜंमडभऱडपटवࣜऒटफमड
ऄथणतࣜझपठࣜउथठࣜंमडभऱडपटवࣜऒटफमड
TESTHI1- AF11
TESTHI1
TESTHI2
SIGNALS VSS22
VSS23 D17 ऀडटफऱबनथपण
VSS24 D19
M1 D21
2 TESTLO1 TESTLO1 VSS25
Y4 TESTLO2 VSS26 E8
2 TESTLO2 C594 C595 C596 C597 C598 C599 C600 C601 C602 C603 C604 C605
VSS27 E10
VCORE 2 1 NCTRL AD16 E12 0.47UF 0.47UF 0.47UF 0.47UF 0.47UF 0.47UF 0.47UF 0.47UF 0.47UF 0.47UF 0.47UF 0.47UF
VTT R530 15 1% NCTRL VSS28
VSS29 E14
D6 VCC0 VSS30 E16
D8 E18 VCORE Place directly under the package on the solder side
VCC1 VSS31
D10 VCC2 VSS32 E20
D12 VCC3 VSS33 E22
D14 VCC4 VSS34 E25
D16 VCC5 VSS35 F2
D18 F4 C606 C607 C608 C609 C610 C611 C612 C613 C614 C615 C616 C617
VCC6 VSS36 0.47UF 0.47UF 0.47UF 0.47UF 0.47UF 0.47UF 0.47UF 0.47UF 0.47UF 0.47UF 0.47UF 0.47UF
D20 VCC7 VSS37 F5
D22 VCC8 VSS38 F7
E5 VCC9 VSS39 F9
E7 VCC10 VSS40 F11
C E9 F13 Change from 0.22uf to 0.47uf(05/18/01) C
VCC11 VSS41
E11 VCC12 VSS42 F15
E13 VCC13 VSS43 F17
E15 VCC14 VSS44 F19
E17 VCC15 VSS45 F21
E19 VCC16 VSS46 F23
E21 VCC17 VSS47 G6
F6 VCC18 VSS48 G22
F8 VCC19 VSS49 G25
F10 VCC20 VSS50 H2
F12 VCC21 VSS51 H4
VCORE
΁ΝΒΔΖ͑ΒΣΠΦΟΕ͑ΥΙΖ͑ΡΒΔΜΒΘΖ͑ΡΖΣΚΡΙΖΣΪ
΁ΝΒΔΖ͑ΒΣΠΦΟΕ͑ΥΙΖ͑ΡΒΔΜΒΘΖ͑ ΡΖΣΚΡΙΖΣΪ
F14 VCC22 VSS52 H21
F16
F18
VCC23
VCC24
VSS53
VSS54
H23
J6 ΟΖΒΣ͑ΥΙΖ͑ΓΒΝΝΤ
F20 VCC25 VSS55 J22
F22 VCC26 VSS56 J25
G5 VCC27 VSS57 K2
G21 VCC28 VSS58 K4
H6 K5 C618 C619 C620 C621 C622 C623 C624 C625
VCC29 VSS59 10UF/6.3V-1206 10UF/6.3V-1206 10UF/6.3V-1206 10UF/6.3V-1206 10UF/6.3V-1206 10UF/6.3V-1206 10UF/6.3V-1206 10UF/6.3V-1206
H22 VCC30 VSS60 K21
J5 VCC31 VSS61 K23
J21 VCC32 VSS62 L6
K6 VCC33 VSS63 L22
K22 VCC34 VSS64 L25
L21 VCC35 VSS65 M2
M6 VCC36 VSS66 M3
M22 M4 VCORE VTT
VCC37 VSS67
N5 VCC38 VSS68 M21
N21 VCC39 VSS69 M23
B TESTHI2- B
P6 N6 R515 1K
VCC40 VSS70 C679 C680
P22 VCC41 VSS71 N22
R5 N25 10UF/6.3V-1206 10UF/6.3V-1206 TESTHI1- R516 1K
VCC42 VSS72
R21 VCC43 VSS73 P2
T6 VCC44 VSS74 P21
T22 VCC45 VSS75 P23
U5 VCC46 VSS76 R4
U21 VCC47 VSS77 R6
V6 VCC48 VSS78 R22
V22 VCC49 VSS79 R25
W5 T2 VTT
W21
VCC50 VSS80
T5 VTT ंफमࣜअऀࣿࣜऀडटफऱबनथपण
Y6
Y22
VCC51
VCC52
VSS81
VSS82 T21
T23
ࣿझबझटथरफमय
VCC53 VSS83
AA5 VCC54 VSS84 U4
AA7 U6 C630 C631 C632 C633 C634 C635 C636 C637 C638 C639
VCC55 VSS85 C626 C627 C628 C629 1UF 1UF 1UF 1UF 1UF 1UF 1UF 1UF 1UF 1UF
AA9 VCC56 VSS86 U22
AA11 U25 1UF-0805 1UF-0805 1UF-0805 1UF-0805
VCC57 VSS87
ʹΝΠΤΖ͑ΥΠ͑ΥΙΖ͑ΡΒΔΜΒΘΖ͑ΒΟΕ͑ΒΝΝ͑ΒΣΖ͑Ήͨ΃͑ΥΪΡΖ
ʹΝΠΤΖ͑ΥΠ͑ΥΙΖ͑ΡΒΔΜΒΘΖ͑ΒΟΕ͑ΒΝΝ͑ΒΣΖ͑Ήͨ΃͑ΥΪΡΖ
AA13 VCC58 VSS88 V2
AA15 VCC59 VSS89 V5
AA17 VCC60 VSS90 V21 Change to 0603 Type (05/18/01)
AA19 VCC61 VSS91 V23
AA21 VCC62 VSS92 W4
AB6 VCC63 VSS93 W6
AB8 VCC64 VSS94 W22
AB10 VCC65 VSS95 W25
AB12 Y2 VCC1.5V VTT
VCC66 VSS96
AB14 VCC67 VSS97 Y5
A AB16 VCC68 VSS98 Y21 A
AB18 VCC69 VSS99 Y23
AB20 AA6 R533 R531
VCC70 VSS100 1K 1% 1K 1%
AB22 VCC71
AC5 VCC72
AC7 VCC73
AC9 VCC74
AC11VCC75
AC13VCC76
AC15VCC77
AC17VCC78
AC19VCC79
AC21VCC80

ALPHA-TOP CORP.
CMOSREF VREF

Tualatin Title
C644 C645 R534 C640 C641 C642 C643 R532 G510 MAIN BOARD
2K 1% 2K 1%
0.1UF 0.1UF 0.1UF 0.1UF 0.1UF 0.1UF Size Document Number Rev
G510-1-4-01 2.0

Date: Friday, November 09, 2001 Sheet 3 of 29


5 4 3 2 1
5 4 3 2 1

VTT
U39C
A26 VCCT1 VSS101 AA8
D C5 VCCT2 VSS102 AA10 D
C7 VCCT3 VSS103 AA12
C9 VCCT4 VSS104 AA14
C11 VCCT5 VSS105 AA16
C13 VCCT6 VSS106 AA18
C15
C17
VCCT7 TUALATIN VSS107 AA20
AA22
VCCT8 VSS108
C19
C21
VCCT9 3 OF 3 VSS109 AA25
AB2
VCCT10 VSS110
D5 VCCT11 VSS111 AB5
E4 VCCT12 VSS112 AB7
E6 POWER, GROUND AND NC AB9
VCCT13 VSS113
G4 VCCT14 VSS114 AB11
G23 VCCT15 VSS115 AB13
J4 VCCT16 VSS116 AB15
J23 VCCT17 VSS117 AB17
L4 VCCT18 VSS118 AB19
L23 VCCT19 VSS119 AB21
N23 VCCT20 VSS120 AB23
R23 VCCT21 VSS121 AC6
U23 VCCT22 VSS122 AC8
V4 VCCT23 VSS123 AC10
W23 VCCT24 VSS124 AC12 VR_ON 25
AA4 VCCT25 VSS125 AC14
AA23 AC16

C
VCCT26 VSS126 R536 100
AC4 VCCT27 VSS127 AC18
AC23 AC20 B Q57
VCCT28 VSS128 VTT 3904
AD6 VCCT29 VSS129 AC22
AD8 VCCT30 VSS130 AC25

E
C AD12 AD2 C
VCCT31 VSS131 VTT
AD14 VCCT32 VSS132 AE1
AD18 VCCT33 VSS133 AE5
AD20 VCCT34 VSS134 AE7
AE3 AE9 VTTPWRGD 1 5
VCCT35 VSS135 R VDD
AE18 VCCT36 VSS136 AE11
AF1 VCCT37 VSS137 AE13 2 G
AF2 AE15 C646
VCCT38 VSS138 0.1UF
VSS139 AE17 3 R WDI 4
VSS140 AE19
AE21 U42
VSS141 TPS3125J12DBVR
VSS142 AE23
VSS143 AE26 MARKING:PBTI
VSS144 AF25
VSS145 AF26
VSS146 H5
VD[0..4] A2
25 VD[0..4] VD0 NC1
AB1
VID A5

E
VD1 VID0 NC2
AC2 A11
VD2 VID1 NC3 Q63
AE2 B1 B
VD3 VID2 NC4
AF3 VID3 NC5 C1
VD4 3904
R3 VID4 NC6 C4

C
NC7 C22
VTTPWRGD E3 D1
VTTPWRGD NC8 VTTPWGD 5
NC9 D26
R537 E1
VTT NC10
1K F1
NC11 R595
NC12 N4
B 100K B
NC13 N24
NC14 P1
NC15 P4
NC16 P5
NC17 P26
NC18 AD4
AD13 VCC3
NC19
NC20 AD23
NC21 AE8
NC22 AE10
NC23 AF17
NC24 AF18

TUALATIN_5 VCC1.5V

R597
1.5K

D53

5,9 CPU_STP- DPSLP- 2,25

A A
ASD500V

ALPHA-TOP CORP.

Title
G510 MAIN BOARD

Size Document Number Rev


G510-1-4-01 2.0

Date: Friday, November 09, 2001 Sheet 4 of 29


5 4 3 2 1
5 4 3 2 1

VCCLK

By-Pass Capacitors
Place near to the Clock Gernerator
VCC3 C561 C562 C563 C564 C565 C566
CAP CAP CAP CAP CAP CAP

630SCCLK C147 10PFR


L32
FCM2012K-600-0805
CPUCLK "+" and "-"
exchange 630PCLK C466 10PF

D TIPCI C467 10PF D


VCCLK CLK1
ICS950104AF
3 VOSCI C454 10PF
C478 C460 C475 C459 C477 C476 C462 C461 VDDCPU R115 630SCCLK
5 45 0 630SCCLK 6
0.1UF 0.001UF 0.1UF 0.001UF 0.1UF 0.001UF 0.1UF 0.001UF AVDD CPUCLK R113 CPUCLK- SIO48M C190 10PF
9 1 5.1 CPUCLK- 2
C484 VDDREF CPUC0 R587 CPUCLK+
+ 18 2 5.1 CPUCLK+ 2
10UF/10V-A VDDPCI CPUT0 UCLK48M C188 10PF
22 AVDD48MHZ
30 VDD
37 13 FS2 R363 33 630PCLK SDCLK3 C175 18PF
VDD FS2/PCICLK_F 630PCLK 7
14 R364 33 TIPCI
PCICLK0 TIPCI 21
15 R366 33 MINICLK SDCLK2 C178 10PF
PCICLK1 MINICLK 23
16 R369 33 SIOPCLK
PCICLK2 VGACLK SIOPCLK 17
19 R371 33 SDCLK0 C184 10PF
PCICLK3 MINI2CLK VGACLK 8
20 R374 33
PCICLK4 PICCLK MINI2CLK 23
21 R376 33 630SDCLK C174 10PF
PCICLK5 PICCLK 2
4 SDCLK1 C181 10PF
GND Add for Mobile Tualatin
12 GND
17 MINICLK C468 10PF
GND FS0
25 GND 8
VCC2.5V FS0/REF0 FS1 CPUCLK+ C142 10PFR
31 GND FS1/REF1 10
36 GND CPUCLK- C724 10PFR
23 MULTISEL R142 22 SIO48M
MULTISEL/24_48MHZ FS3 SIO48M 17
L17 24 R139 22 UCLK48M VGACLK C469 10PF
FS3/48MHZ/ZSEL UCLK48M 9
FCM2012K-600-0805
VOSCI R358 33 11 SIOPCLK C715 10PF
8 VOSCI REF2
C C
32 SDRAM7 Add from G510
SDRAM7 SDRAM6
44 VDDL SDRAM6 33
34 SDRAM5
SDRAM5 R135
35 10 630SDCLK 6
SDRAM4
+ C130 38 R134 10 SDCLK0 11
10UF/10V-A C458 C457 C123 C129 SDRAM3 R133
39 10 SDCLK1 11
SDRAM2 R125 MINI2CLK C470 10PF
40 18 SDCLK2 11
0.1UF 0.001UF 0.1UF 0.001UF SDRAM1 R124
41 15 SDCLK3 11
SDRAM0 PICCLK C473 10PF
46 GNDL
29 PCI_STOP- SDRAM7 R120 10 C165 10PF
PCI_STOP# CPU_STP-
CPU_STOP# 28 CPU_STP- 4,9
27 SDRAM6 R122 10 C166 10PF
PD#/Vtt_PWRGD VTTPWGD 4
42 SDRAM_STOP-
SDRAM_STOP# SDRAM5 R123 C171 10PF
26 10
9,11 SMBC SCLK
9,11 SMBD 43 SDATA
IREF 47

48
X1

X2
GND
R386
221 1% DEL R348,C449
6

7
Y5

14.318MHz
B C455 C451 B
10PF 10PF
Adjust SDRAM CLOCK

VCC3
FS2
SDCLKSEL 8
R143 10KR MULTISEL
FS1
BSEL1 2
R380 10K PCI_STOP-

R379 10K SDRAM_STOP-

R538 10K CPU_STP-

R588 10K FS0 Adjust CPU CLK from BSEL1


SIS630S CLOCK
R589 10K FS3
(FS3) (FS2) (FS1) (FS0) CPU SDRAM PCI
(MHz) (MHz) (MHz)
1 1 1 1 66.6 100 33.3
1 1 1 0 100 100 33.3 R385
1 1 0 1 150 100 37.5 10K
1 1 0 0 133.3 100 33.3
1 0 1 1 66.8 133.6 33.4
1 0 1 0 100 133.3 33.3
1 0 0 1 100 150 37.5
A A
1 0 0 0 133.3 133.3 33.3
0 1 1 1 66.8 66.8 33.4
0 1 1 0 97.0 97.0 32.3
0 1 0 1 70.0 105 35.0
0 1 0 0 95.0 95.0 31.7 ALPHA-TOP CORP.
0 0 1 1 95.0 126.7 31.7
0 0 1 0 112 112 37.3
0 0 0 1 97.0 129.3 32.2 Title
0 0 0 0 96.2 96.2 32.1 CLOCK GENERATOR

Size Document Number Rev


G510-1-4-01 2.0

Date: Friday, November 09, 2001 Sheet 5 of 29


5 4 3 2 1
8 7 6 5 4 3 2 1

VTT VTT

MD63
MD62
MD61
MD60
MD59
MD58
MD57
MD56
MD55
MD54
MD53
MD52
MD51
MD50
MD49
MD48
MD47
MD46
MD45
MD44
MD43
MD42
MD41
MD40
MD39
MD38
MD37
MD36
MD35
MD34
MD33
MD32
MD31
MD30
MD29
MD28
MD27
MD26
MD25
MD24
MD23
MD22
MD21
MD20
MD19
MD18
MD17
MD16
MD15
MD14
MD13
MD12
MD11
MD10
MD9
MD8
MD7
MD6
MD5
MD4
MD3
MD2
MD1
MD0
R99 R312
75 1% CB5 75 1% CB2
0.001UF 0.001UF MD[0..63]
MD[0..63] 11
GTLVREFA GTLVREFB CSA-[0..3]
CSA-[0..3] 11

R103 R310
D 150 1% CB6 150 1% CB3 MA[0..14] D
0.001UF MA[0..14] 11
0.001UF
DQM[0..7]
DQM[0..7] 11

AG22

AG19

AG17

AG21

AG20

AG18

AG16
AC29
AC27
AC25
AD28
AD27

AH21

AH20

AH18

AH16

AC28
AC26
AD29

AD26

AH22

AH19

AD20
AH17
AA28
AA26
AB28
AB26

AA29
AA27
AB29
AB27

AE23

AE22

AE18

AE21
AF21

AF20

AF18

AF17

AF16

AF22

AF19
AJ22

AJ20

AJ19

AJ17

AJ21

AJ18
W28
W27

W29

W26

W25
U25
Y29
Y27
Y26

Y25

Y28
V25

V24
T25

T24
CHIPSET1
For EMI test

MD63
MD62
MD61
MD60
MD59
MD58
MD57
MD56
MD55
MD54
MD53
MD52
MD51
MD50
MD49
MD48
MD47
MD46
MD45
MD44
MD43
MD42
MD41
MD40
MD39
MD38
MD37
MD36
MD35
MD34
MD33
MD32
MD31
MD30
MD29
MD28
MD27
MD26
MD25
MD24
MD23
MD22
MD21
MD20
MD19
MD18
MD17
MD16
MD15
MD14
MD13
MD12
MD11
MD10
MD9
MD8
MD7
MD6
MD5
MD4
MD3
MD2
MD1
MD0
630SCCLK GTLVREFA P29
GTLVREFB GTLVREFA
A24
GTLVREFB
C708 B24
CAP VSSQA
P26 VSSQB CSA#[5] AE24
CSA#[4] AG24
AF24 CSA-3
CSA#[3] CSA-2
CSA#[2] AJ25
630SCCLK V29 AH25
5 630SCCLK HLOCK- CPUCLK CSA#[1] CSA-0
T29 AG25
2 HLOCK- DEFER- HLOCK# CSA#[0]
2 DEFER- R26 DEFER#
HTRDY- P25 AF28
2 HTRDY- CPURST- HTRDY# CSB#[5]
2 CPURST- G27 CPURST# CSB#[4] AF29
BPRI- R29 AA25
2 BPRI- BREQ0- BPRI# CSB#[3]
G28 AE25
2

-RS[0..2]
BREQ0-
-RS2
-RS1
-RS0
V26
R25
U29
BREQ0#

RS#[2]
RS#[1]
RS#[0]
MEMORY CSB#[2]
CSB#[1]
CSB#[0]

MA[14]
AE26
AE27

AB25 R367 10 MA14


ADS- U26 AF27 R373 10 MA13
C 2 ADS- HITM- ADS# MA[13] MA12 C
R24 AF26 R377 10
2 HITM- HIT- HITM# MA[12] RP43 MA11
2 HIT- U28 HIT# MA[11] AG29 1 8
DRDY- T27 AG28 2 7 MA10
2 DRDY- DBSY- DRDY# MA[10] 10X4 MA9
2 DBSY- U27 DBSY# MA[9] AG27 3 6
BNR- P28 AH28 4 5 MA8
2 BNR- BNR# MA[8] RP44 MA7
MA[7] AB24 1 8
-HREQ4 R27 AH27 2 7 MA6
-HREQ3 HREQ#[4] MA[6] 10X4 MA5
T26 HREQ#[3] MA[5] AD24 3 6
-HREQ2 T28 AJ27 4 5 MA4
-HREQ1 HREQ#[2] MA[4] RP45 MA3
R28 HREQ#[1] MA[3] AG26 1 8
-HREQ0 P27 AH26 2 7 MA2

630S-1
HREQ#[0] MA[2] 10X4 MA1
MA[1] AJ26 3 6
-HREQ[0..4] HA31 M24 AF25 4 5 MA0
HA30 HA#[31] MA[0]
H26 HA#[30]
HA29 G29
HA28 HA#[29] DQM7
J26 HA#[28] DQM[7] Y24
HA27 H29 AE28 DQM6
HA26 HA#[27] DQM[6] DQM5
H27 HA#[26] DQM[5] AF23
HA25 K27 AG23 DQM4
HA24 HA#[25] DQM[4] DQM3
H28 HA#[24] DQM[3] AD25
HA23 J29 AE29 DQM2
HA22 HA#[23] DQM[2] DQM1
J27 HA#[22] DQM[1] AJ24
HA21 K26 AD22 DQM0
HA20 HA#[21] DQM[0]
J28 HA#[20]
HA19 K28
HA18 HA#[19]
L26 HA#[18] 10
HA17 L27 AH23 R150 RAMW-
HA#[17] WE# RAMW- 11
HA16 L28
HA15 HA#[16]
K29 HA#[15]
B HA14 10 SRAS- B
M25 AH24 R148 SRAS- 11
HA13 HA#[14] SRAS# SCAS-
M26 AJ23
HA12
HA11
HA10
HA9
HA8
M27
L29
N25
N28
M28
HA#[13]
HA#[12]
HA#[11]
HA#[10]
HA#[9]
HA#[8]
HOST SCAS#

SDCLK AJ16
R149 10
630SDCLK

Q30
SCAS- 11

630SDCLK 5

HA7 M29
HA6 HA#[7] C709
N29 HA#[6] CKE E9 D S CKE 19
HA5 N26 CAP

D
HA#[5]

1
HA4 P24
HA3 HA#[4] R88 2N7002
N27 HA#[3]

G
8.2K
ON_OFF 28
CPUAVDD
V28 CPUAVSS

SDAVDD
SDAVSS

2
HD#63
HD#62
HD#61
HD#60
HD#59
HD#58
HD#57
HD#56
HD#55
HD#54
HD#53
HD#52
HD#51
HD#50
HD#49
HD#48
HD#47
HD#46
HD#45
HD#44
HD#43
HD#42
HD#41
HD#40
HD#39
HD#38
HD#37
HD#36
HD#35
HD#34
HD#33
HD#32
HD#31
HD#30
HD#29
HD#28
HD#27
HD#26
HD#25
HD#24
HD#23
HD#22
HD#21
HD#20
HD#19
HD#18
HD#17
HD#16
HD#15
HD#14
HD#13
HD#12
HD#11
HD#10
HD#9
HD#8
HD#7
HD#6
HD#5
HD#4
HD#3
HD#2
HD#1
HD#0
SIS_ALS

AE20
AE19
G26
G25
C19

D19

D20

C22
C20

D21

C21

C23

D22
D24
D23
C25

C24

D25

C26

D26

C27

C28
D28
H24
C29

D27

D29

H25
V27

E21
A19

B20
B21
B19
A21
A20

E20

B22

A22

A23

B23

A25
E22

B25

E25

E23
B26
E24

A26
A27

B27

B28

E26

E28

E27

K24

E29

K25
VCC3 630S-1 VCC3
F22

F24

F25
F27

F26

F29
F28
L25
J25 For EMI test
R117 0 R147 0

C145 C148 C167 C168


+ C463 + C195
10UF/10V-A 0.01UF 0.01UF 1UF-0805 10UF/10V-A
A A

1UF-0805
HD63
HD62
HD61
HD60
HD59
HD58
HD57
HD56
HD55
HD54
HD53
HD52
HD51
HD50
HD49
HD48
HD47
HD46
HD45
HD44
HD43
HD42
HD41
HD40
HD39
HD38
HD37
HD36
HD35
HD34
HD33
HD32
HD31
HD30
HD29
HD28
HD27
HD26
HD25
HD24
HD23
HD22
HD21
HD20
HD19
HD18
HD17
HD16
HD15
HD14
HD13
HD12
HD11
HD10
HD9
HD8
HD7
HD6
HD5
HD4
HD3
HD2
HD1
HD0
ALPHA-TOP CORP.
HD[0..63]
2 HD[0..63]
HA[3..31] Title
2 HA[3..31] SIS630S HOST/DRAM
-HREQ[0..4]
2 -HREQ[0..4] Size Document Number Rev
-RS[0..2] G510-1-4-01 2.0
2 -RS[0..2]
Date: Friday, November 09, 2001 Sheet 6 of 29
8 7 6 5 4 3 2 1
8 7 6 5 4 3 2 1

(For internal PLL)

VCC1.8V
R381

AD[0..31]
21,23 AD[0..31]
0
D + C483 D

AD31
AD30
AD29
AD28
AD27
AD26
AD25
AD24
AD23
AD22
AD21
AD20
AD19
AD18
AD17
AD16
AD15
AD14
AD13
AD12
AD11
AD10
AD9
AD8
AD7
AD6
AD5
AD4
AD3
AD2
AD1
AD0
C479 C480 10UF/10V-A
0.001UF 0.1UF

AH15
M5

M4

M3
M2
M1
G4
G3
G2
G1
D1

N6

N5

N4

N3
E4

E3
K6
E2
E1

K5

K4
K3

K2
K1

P6
F4
F2

F1

L5

L3

L2
CHIPSET2

J4
AD31
AD30
AD29
AD28
AD27
AD26
AD25
AD24
AD23
AD22
AD21
AD20
AD19
AD18
AD17
AD16
AD15
AD14
AD13
AD12
AD11
AD10
AD9
AD8
AD7
AD6
AD5
AD4
AD3
AD2
AD1
AD0

IDEAVDD
PREQ-[0..2]
19,21,23 PREQ-[0..2] PREQ-2 ICHRDYA
C1 AE13 ICHRDYA 13
PREQ-1 PREQ#[2] ICHRDYA IDEREQA
C2 PREQ#[1] IDREQ[A] AG8 IDEREQA 13
PREQ-0 C3 AF9 IDEIRQA
PREQ#[0] IIRQA IDEIRQA 13
AH9 CBLIDA
CBLIDA CBLIDA 13
PGNT-[0..2] AH8 IDEIOR-A
19,21,23 PGNT-[0..2] IIOR#[A] IDEIOR-A 13
PGNT-2 D2 AF8 IDEIOW-A
PGNT#[2] IIOW#[A] IDEIOW-A 13
PGNT-1 D3 AJ8 IDACK-A
PGNT-0 D4
PGNT#[1]
PGNT#[0] PCI IDACK#[A]

IDSAA[2]
IDSAA[1]
AD14
AE14
IDESAA2
IDESAA1
IDACK-A 13

C/BE-[0..3] AG9 IDESAA0 IDESAA[0..2]


21,23 C/BE-[0..3] IDSAA[0] IDESAA[0..2] 13
C/BE-3 F3
C/BE-2 C/BE#[3] IDECS-A1
H4 C/BE#[2] AF10
C/BE-1 IDECSA#[1] IDECS-A0 IDECS-A[0..1]
J1 C/BE#[1] AJ9 IDECS-A[0..1] 13
C/BE-0 IDECSA#[0]
L1 C/BE#[0]

C 19,21
19
19,23
19,23
INT-A
INT-B
INT-C
INT-D
INT-A
INT-B
INT-C
INT-D
N1
P4
P5
P3
INTA#
INTB#
INTC#
INTD#
630S-2 ICHRDYB
IDREQ[B]
IIRQB
CBLIDB
AH13
AD17
AF15
AG15
ICHRDYB
IDEREQB
IDEIRQB
ICHRDYB
IDEREQB
IDEIRQB
13
13
13
C

FRAME- H3
19,21,23 FRAME- IRDY- FRAME# IDEIOR-B
H2 IRDY# AG13 IDEIOR-B 13
19,21,23 IRDY- TRDY- IIOR#[B] IDEIOW-B
H1 AF13 IDEIOW-B 13
19,21,23 TRDY- STOP- TRDY# IIOW#[B] IDACK-B
J2 AJ13
19,21,23 STOP-

19,21,23 SERR-
21,23 PAR
SERR-
PAR
B11
M6
STOP#

SERR#
PAR
IDE IDACK#[B]

IDSAB[2]
IDSAB[1]
AG14
AF14
IDESAB2
IDESAB1
IDACK-B 13

DEVSEL- J3 AD18 IDESAB0 IDESAB[0..2]


19,21,23 DEVSEL- DEVSEL# IDSAB[0] IDESAB[0..2] 13
PLOCK- L4
19 PLOCK- PLOCK# IDECS-B1
IDECSB#[1] AJ14
AJ15 AH14 IDECS-B0 IDECS-B[0..1]
PCICLK IDECSB#[0] IDECS-B[0..1] 13
PCIRST- C11
8,17,18,19,21,23 PCIRST- PCIRST#

IDB10
IDB11
IDB12
IDB13
IDB14
IDB15

IDA10
IDA11
IDA12
IDA13
AJ7 IDA14
IDA15
R311 33 IDB0
IDB1
IDB2
IDB3
IDB4
IDB5
IDB6
IDB7
IDB8
IDB9

IDA0
IDA1
IDA2
IDA3
IDA4
IDA5
IDA6
IDA7
IDA8
IDA9
AG12

AG10

AG11
AH11

AD15

AH10

AD16
AH12

AD12

AD13
AE17

AE16

AE15

AE12

AE11
AF12

AF11
AJ10

AJ11

AJ12

AG7

AG6
AH5

AH6

AH7
AE8

AE6
AF6

AF7
630S-2

AJ6

AJ5
IDEDB0
IDEDB1
IDEDB2
IDEDB3
IDEDB4
IDEDB5
IDEDB6
IDEDB7
IDEDB8
IDEDB9
IDEDB10
IDEDB11
IDEDB12
IDEDB13
IDEDB14
IDEDB15

IDEDA0
IDEDA1
IDEDA2
IDEDA3
IDEDA4
IDEDA5
IDEDA6
IDEDA7
IDEDA8
IDEDA9
IDEDA10
IDEDA11
IDEDA12
IDEDA13
IDEDA14
IDEDA15
B B
5 630PCLK

IDEDA[0..15]
IDEDA[0..15] 13

IDEDB[0..15]
IDEDB[0..15] 13

A A

ALPHA-TOP CORP.

Title
SIS630S PCI/IDE

Size Document Number Rev


G510-1-4-01 2.0

Date: Friday, November 09, 2001 Sheet 7 of 29


8 7 6 5 4 3 2 1
8 7 6 5 4 3 2 1

RR[2..7]
RR[2..7] 22
GG[2..7]
ENPVDD- 22 GG[2..7] 22
ENBLT- 22

G2

G3

G4
G5
G6
G7
R2
R3
R4
R5
R6
R7
B5
B2
B3
BB[2..7]
VBBLANK- 22 BB[2..7] 22

LVDSCLK 22

AG1
AD6

AD5
AD4
AD1
AC4
AC3
AC2
AC5
AE4
AE3
AE2
AE1

AA4
AF3
AF2
AF1

W4
W3

W5
U5
U4

U2
U1

R1
R2
R3
Adjust SDRAM CLK

Y5
Y4
Y3
Y2
Y1

V5
V4
V3
V2
V1

T5
T4
T1
D PID[0..2] D
9 PID[0..2] DEL RP55

AAD0
AAD1
AAD2
AAD3
AAD4
AAD5
AAD6
AAD7
AAD8
AAD9
AAD10
AAD11
AAD12
AAD13
AAD14
AAD15
AAD16/B5
AAD17/B2
AAD18/B3
AAD19/B0
AAD20/B1
AAD21/R1
AAD22/R2
AAD23/R3
AAD24/R4
AAD25/R5
AAD26/R6
AAD27/R7
AAD28/G2
AAD29/G0
AAD30/G1
AAD31/G3

SBA7
SBA6/G4
SBA5/G5
SBA4/G6
SBA3/G7
SBA2/DDC2CLK
SBA1/DDC2DAT
SBA0/VBBLANK#
U3 S1
R0/ACBE3# B4 PID0
B4/ACBE2# AA3 1 12
AC1 2 11 PID1
ACBE1# PID2
ACBE0# AG2 3 10
4 9 R586 10K
5 8
R6 R114 22 6 7
VBCAD/AREQ# R497 10K
VGCLK/AGNT# T6
B7 SW DIP-6 VCC5
12 ROUT B14 ROUT B7/AFRAME# AB2
A14 Y6 B6
12 GOUT GOUT B6/AIRDY# KBSEL 18
A15 AB3 C143
12 BOUT BOUT ATRDY# 10PF
ADEVSEL AB4 SDCLKSEL 5
ASERR# AB6

12 HSYN D15
A16
HSYNC
AGP APAR
ASTOP#
AA5
AB5
1(OPEN)<---------------->0(CLOSE,DEFAULT)
12 VSYN VSYNC
P2 Pull Low for ClkGen's bug
VBHCLK/RBF#
12,22 DDC1DATA C15 DDC1DATA VBCTL0/WBF# P1
R318 0 B16 U6
12,22 DDC1CLK DDC1CLK VBCTL1/PIPE#
RP4 22X4
C16 R4 R5 1 8 RR5
SSYNC VBCLK/ST2 LVDSPD- 22
For EMI test R5 R4 2 7 RR4
VBVSYNC/ST1 VBVSYNC 22
V6 R2 3 6 RR2
VBHSYNC/ST0 VBHSYNC 22
G3 4 5 GG3

C
5 VOSCI
R575 0 A11 VOSCI
630S-3 SB_STB
SB_STB#
T2
T3 G2
RP38
8
22X4
1 GG2
C

R7 7 2 RR7
AD2 R6 6 3 RR6
C718 VRSET AD_STB0 R3 RR3
E19 RSET AD_STB0# AD3 5 4
CAP VVREF C14
VCOMP VREF RP36 22X4
B15 COMP AD_STB1 W1
W2 G5 8 1 GG5
AD_STB1# G6 GG6
7 2
ECLKAVDD F15 G7 6 3 GG7
ECLKAVSS ECLKAVDD VGACLK G4 GG4
E15 ECLKAVSS AGPCLK AJ3 VGACLK 5 5 4

DCLKAVDD
DCLKAVSS
F16
E16
DCLKAVDD
DCLKAVSS
VGA AGPVREF AA1 B3
B2
RP40
8
7
22X4
1
2
BB3
BB2
DACAVDDC F18 AB1 AGPRCOMP B4 6 3 BB4
DACAVSSC DACAVDDC AGPRCOMP B5 BB5
F20 DACAVSSC 5 4
AE10 AGPAVDD1 R365
DACAVDDB AGPAVDD1 AGPAVSS1 8.2K R361 22
E14 DACAVDDB AGPAVSS1 AD10
DACAVSSB D14 R461 0R B6 BB6
DACAVSSB
AGPAVDD2 AE9 AGPAVDD2 R372 22
AD8 AGPAVSS1 B7 BB7
AGPAVSS2

AGPVSSREF AA2
VCC3

CHIPSET3
630S-3

S
B B
G
Place these circuits near to SiS-630S 7,17,18,19,21,23 PCIRST-
NDS356P
Q55

D
VCC3 AGPAVDD2

VRSET VVREF L14 C560


DCLKAVDD 1 2 CLOSE TO SiS630S
0.1UF
R68 C414 FCM2012K-600-0805
0.1UF
140 1%
C109 C90 + CE3
10UF/10V-A
0.01UF 1UF-0805

VCC3
VCC3
DCLKAVSS L19
AGPAVDD1 1 2

VCOMP C413 1UF-0805 FCM2012K-600-0805


VCC3 VCC3 VCC3 R368
C170 C186 + CE2
L28 L15 L29 59 1% 10UF/10V-A
DACAVDDC 1 2 DACAVDDB 1 2 ECLKAVDD 1 2 0.01UF 1UF-0805

FCM2012K-600-0805 FCM2012K-600-0805 FCM2012K-600-0805


A
AGPRCOMP AGPAVSS1 A

C106 C435 C99 C89 C110 C429 Place these circuits near to SiS-630S

0.01UF 1UF-0805 0.01UF 1UF-0805 0.01UF 1UF-0805


ALPHA-TOP CORP.

DACAVSSC DACAVSSB ECLKAVSS Title


SIS630S VGA/DFP

Size Document Number Rev


G510-1-4-01 2.0

Date: Friday, November 09, 2001 Sheet 8 of 29


8 7 6 5 4 3 2 1
8 7 6 5 4 3 2 1

CHIPSET4
PID[0..2] 630S-4
8 PID[0..2]
LAD[0..3] 17
AG4 LAD0
LAD0 LAD1
LAD1 AF4
SPKR E18 AJ4 LAD2
16 SPKR SIS_PSON- SPK LAD2 LAD3
E11 AE5
Place near to 630S
C7
PSON#
ACPILED
LPC LAD3
KBSMI- B12 AF5 LDRQ-
18 KBSMI- EXTSMI# LDRQ# LDRQ- 17
PWRBTN- E10 AH4 LFRAME-
LFRAME- 17
14 RING
RING B6
PWRBTN#
RING
ACPI LFRAME#
SIRQ AG5 SERIRQ
SERIRQ 17,21
BIT_CLK PME- A6
17,21,23 PME- THERM- PME#
D13
THERM#
D
NMI C18 NMI 2 D
SMI# D16 SMI- 2
C100 B7 D18
25 GMUXSEL KBDAT/GP10 INTR INTR 2
10PFR LO_HI- A7 B17
3 LO_HI- GV_GATE KBCLK/GP11 A20M# A20M- 2
D8 A17 CPUINIT- 2
19 GV_GATE GPIO13 C8
PMDAT/GP12
PMCLK/GP13
CPU_S INIT#
IGNNE# B18 IGNNE- 2
25 VCORE1.4V- B8 KLOCK#/GP14/TXD FERR# A18 FERR- 2
STPCLK# C17 STPCLK- 2
D17 CPU_STP- 4,5
NEDD NOT to place
near to 630S
KBC CPUSTOP#/CPUSLP

AE7
AH2
RESERVE2
RESERVE1
SMB SMCLK
AH3 SMBC
SMBC 5,11
R351 AG3 SMBD
SMBDAT SMBD 5,11
ENTEST A8 GPIO15
ENTEST TXEN/GP15/SMBAL
N2 ENTEST
4.7K

F10 R578
1 210K Over current disable
RXDV/OC0#
MDIO/OC1# D6 1 2 +3VAUX
SWI- C12 R462 10K
AC'97 Pull-Down 18 SWI- SCI GP0/PREQ#3/TXD[
18 SCI D12 GP1/PGNT#3/TXD[ UV0- H5 UV0- 12
In order to stabilize GPIO2 E17 J5
630S AC,97 controller
pull-down resistors on
SDATI1 and SDATI0 can
PID0
PID1
PID2
F12
C10
F14
GP2/LDRQ1#/TXD[
GP3/RXER
GP4/TXCLK
GP5/COL
630S-4 USB
UV0+
UV1-
UV1+
UV2-
G5
H6
E5
UV0+
UV1-
UV1+
UV2-
12
12
12
12
not be removed. GPIO6 C6 F5
GP6/CRS UV2+ UV2+ 12
SPDIF E12 E6
15,16 SPDIF GP7/SPDIF UV3- UV3- 12
GPIO8 D9 F6
GP8/MDC UV3+ UV3+ 12
C SDATI1 R317 100K GPIO9 D10 F8 C
GP9/RXCLK UV4- UV4- 12
UV4+ E7 UV4+ 12
SDATI0 R319 100K E8
UV5- UV5- 12
UV5+ D7 UV5+ 12
USBCLK48M A12 UCLK48M 5

SDATI1 B10 +3VAUX


23 SDATI1 SDATI0 AC_SDIN[1]
15 SDATI0 A10 AC_SDIN[0] USBVDD0 B2
SDATO R87 0 A13 B3
15,23 SDATO SYNC AC_SDOUT USBVDD1
R316 0 B13
15,23 SYNC
15,23 AC_RESET-
D27 A BAT54 D11
AC_SYNC
AC_RESET#
AC97
C C13 CB4 CB1 1UF-0805
AC_BIT_CLK CE4
NC +
0.1UF 10UF/10V-A

15,23 BIT_CLK
BIT_CLK MII
AUXOK A5
20 AUXOK BATOK AUXOK
C5
20 BATOK BATOK VCC3
C4
19 PWROK PWROK
RTCVDD RTC RXD[0] C9
RP50
R170 B9
10K C505 RXD[1] SERIRQ
A4 RTCVDD RXD[2] E13 1 8
0.1UF A9 SMBC 2 7
RXD[3] SMBD 3 6
THERM- 4 5
OSC32KHO
OSC32KHI

VCC3 A3 D5
B RTCVSS LANCLK25M B

VSSC
VSSD
VSSA
VSSB
4.7KX4
VCC3
N24
U24
F13
F17

Q45
B4

B5

Q50 RP16
2N7002 LAD3 8 1
PSON- D S SIS_PSON- +3VALWAYS LAD2 7 2
20,26,27 PSON- +3VALWAYS SIS_ALS R428 20K LAD0 6 3
D

SIS_ALS PWRBTN- 1 2 LAD1 5 4


R452 R11 10K
R79
G

10K PME-
1 2 1 2 S D 4.7KX4
SIS_ALS
10M
Y2 PID0 1 8
32.768K PID1 2 7 VCC3
1 4 NDS352AP PID2 3 6
GPIO6 4 5 RP51
D43 RP19 4.7KX4 SWI- 1 8

G
1SS355 SOD323 GPIO8 LDRQ-
2

1 8 2 7
PWRBTN- SIS_ALS GPIO9 2 7 GPIO2 3 6
3 6 4 5
C88 C87 C GPIO15 4 5
ON3_5 RP35 4.7KX4 4.7KX4
10PF 10PF Q49
B DTC114EKA SOT-23 R607
56K

A D54 A

Place close to 630S GPIO13 GMUXSEL


GMUXSEL 25
E

ASD500V ALPHA-TOP CORP.


JP8 R600
PWRBTN-
1 2 4.7K Title
5 SIS630S SOUTH BRIDGE
3 4
1

Power Button Add for SiS's bug Size Document Number Rev
G510-1-4-01 2.0

Date: Friday, November 09, 2001 Sheet 9 of 29


8 7 6 5 4 3 2 1
8 7 6 5 4 3 2 1

VCC3 VCC1.8V

VCC3 VTT

VCC3
C156 C135 C137 C132

M22
AA8
AA9
AB8
AB9

H19
H20
H21
H22

K22
L22
J21
J22
W8
W9
N8

U8
P8
P9

V8
V9

Y8
Y9
D D

1UF-0805 1UF-0805 1UF-0805 1UF-0805 AA11 L13

VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ

GTLVTT
GTLVTT
GTLVTT
GTLVTT
GTLVTT
GTLVTT
GTLVTT
GTLVTT
GTLVTT
OVDD VSS
AA12 OVDD VSS L14
C116 C161 C155 C127 AA13 L15
OVDD VSS
AA18 OVDD VSS L16
AA19 OVDD VSS L17
1UF-0805 1UF-0805 1UF-0805 1UF-0805 AA20 M12
OVDD VSS
AA22 OVDD VSS M13
C134 C117 C146 C139 AB12 M14
OVDD VSS
AB19 OVDD VSS M15
AB21 OVDD VSS M16
0.1UF 0.1UF 0.1UF 0.1UF AB22 M17
OVDD VSS
H10 OVDD VSS M18
C149 C169 C150 C126 H17 N11
OVDD VSS
J11 OVDD VSS N12
J12 OVDD VSS N13
0.1UF 0.1UF 0.1UF 0.1UF J9 N14
OVDD VSS
K8 OVDD VSS N15
K9
M9
U21
OVDD
OVDD
630S-5 VSS
VSS
N16
N17
N18
VTT OVDD VSS
U22 OVDD VSS N19
V21 OVDD VSS P14
MD63: AGP DLL Enable (Default: Enable) V22 P15
OVDD VSS
W21 OVDD VSS P18
MD62: PCI PLL Enable (Default: Enable) W22 P19
OVDD VSS
C115 Y21 R14
MD61: SDRAM DLL Enable (Default: Enable) OVDD VSS
VSS R15
C T14 C
MD60: CPU DLL Enable 1UF-0805 VSS
AB10 PVDD VSS T15
AB11 PVDD VSS U14
MD[59..58]: PLL ER[1..0] C120 AB13 U15
(Default 00) PVDD VSS
AB18 PVDD VSS V14
AB20 PVDD VSS V15
MD[57..56]: DLL ER[1..0] 1UF-0805 H11 W13
PVDD VSS
(Default 00)

MD[55..53]: Clock skew control of AGP


C121
H12
H18
J18
PVDD
PVDD
POWER VSS
VSS
W14
W15
inner-outer loop PVDD
L8 PVDD VSSD P16
(Default 001) 0.1UF M8 P17
PVDD VSSD
N22 PVDD VSSD R16
C105 T22 R17
MD37: Enable External CLKGEN VCC1.8V PVDD VSSD
Y22 PVDD VSSD R18
VSSD R19
MD36: Enable Ext-PLink 0.1UF T16
VSSD
AA10 IVDD VSSD T17
MD35: Multi-function Select AA14 T18
IVDD VSSD
AA17 IVDD VSSD T19
MD34: Enable Multi-function AA21 U16
VCC3 IVDD VSSD
AB14 IVDD VSSD U17
MD33: Enable Video Bridge AB17 U18
IVDD VSSD
H13 IVDD VSSD U19
MD32: PAL/NTSC Select H16 V16
4.7KR IVDD VSSD
R159 J10 IVDD VSSD V17
MD31: Quick Start Function MDD37 J13 V18
1: Enable 4.7K IVDD VSSD
R167 J16 IVDD VSSD W16
0: Disable MDD36 J17 W17
B 4.7K IVDD VSSD B
R204 J19 IVDD
MDD31 J20 P11
4.7KR SIS_ALS IVDD VSSQ
R411 K21 IVDD VSSQ P12
MDD38 L21 P13
4.7K IVDD VSSQ
R412 L9 IVDD VSSQ R11
MDD39 M21 R12
MDD[0..63] 4.7K IVDD VSSQ
R592 N21 IVDD VSSQ R13
11 MDD[0..63] MDD33 N9 IVDD VSSQ T11
+ CE1 C107 C108 T21 T12
10UF/10V-A IVDD VSSQ
U9 IVDD VSSQ T13
0.1UF 0.01UF U11
VSSQ
VSSQ U12
H9 AUX3.3V VSSQ U13
VSSQ V12
VSSQ V13
J8 AUX1.8V

+1.8VALWAYS CHIPSET5
630S-5

+ CE7 C450 C124


10UF/10V-A
0.1UF 0.01UF
A A

ALPHA-TOP CORP.

Title
SIS630S POWER

Size Document Number Rev


G510-1-4-01 2.0

Date: Friday, November 09, 2001 Sheet 10 of 29


8 7 6 5 4 3 2 1
8 7 6 5 4 3 2 1

RP3 10X4 RP49 10X4 MA[0..14] MD[0..63]


MDD31 MD31 MDD4 MD4 6 MA[0..14] DQM[0..7] 6 MD[0..63] CSA-[0..3]
1 8 8 1
MDD63 MD63 MDD2 MD2 6 DQM[0..7] SRAS- 6 CSA-[0..3] SDCLK2
2 7 7 2
MDD29 MD29 MDD34 MD34 6 SRAS- RAMW- 5 SDCLK2 SDCLK3 VCC3
3 6 6 3
MDD21 MD21 MDD32 MD32 6 RAMW- SCAS- 5 SDCLK3 SMBC
4 5 5 4 6 SCAS- 5,9 SMBC
CKE2 SMBD U34
RP5 10X4 RP9 10X4 19 CKE2 CKE3 5,9 SMBD MDD[0..63] VCC3
19 CKE3 10 MDD[0..63] 1 28
MDD26 MD26 DQMM2 DQM2 VDD VSS
1 8 1 8 U36 14 41
MDD17 MD17 DQMM6 DQM6 MA0 MDD0 VDD VSS
2 7 2 7 29 3 27 54 U33
MDD48 MD48 DQMM7 DQM7 MA1 A0 D0 MDD1 VDD VSS
3 6 3 6 31 A1 D1 5 3 VDDQ VSSQ 6 1 28
MDD28 MD28 DQMM3 DQM3 MA2 MDD2 VDD VSS
4 5 4 5 33 A2 D2 7 9 VDDQ VSSQ 12 14 41
MA3 MDD3 VDD VSS
30 A3 D3 9 43 VDDQ VSSQ 46 27 VDD VSS 54
RP7 10X4 RP10 10X4 MA4 32 13 MDD4 49 52 3 6
MDD54 MD54 DQMM0 DQM0 MA5 A4 D4 MDD5 VDDQ VSSQ VDDQ VSSQ
D 1 8 1 8 34 A5 D5 15 9 VDDQ VSSQ 12 D
MDD19 2 7 MD19 DQMM4 2 7 DQM4 MA6 103 17 MDD6 MDD0 2 23 MA0 43 46
MDD51 MD51 DQMM5 DQM5 MA7 A6 D6 MDD7 MDD1 DQ0 A0 MA1 VDDQ VSSQ
3 6 3 6 104 A7 D7 19 4 DQ1 A1 24 49 VDDQ VSSQ 52
MDD16 4 5 MD16 DQMM1 4 5 DQM1 MA8 105 37 MDD8 MDD2 5 25 MA2
MA9 A8 D8 MDD9 MDD3 DQ2 A2 MA3 MDD16 MA0
109 A9 D9 39 7 DQ3 A3 26 2 DQ0 A0 23
RP6 10X4 RP11 10X4 MA10 111 41 MDD10 MDD4 8 29 MA4 MDD17 4 24 MA1
MDD58 MD58 CSAA-0 CSA-0 MA11 A10 D10 MDD11 MDD5 DQ4 A4 MA5 MDD18 DQ1 A1 MA2
1 8 1 8 106 A11 D11 43 10 DQ5 A5 30 5 DQ2 A2 25
MDD56 2 7 MD56 2 7 47 MDD12 MDD6 11 31 MA6 MDD19 7 26 MA3
MDD24 MD24 CSAA-2 CSA-2 D12 MDD13 MDD7 DQ6 A6 MA7 MDD20 DQ3 A3 MA4
3 6 3 6 D13 49 13 DQ7 A7 32 8 DQ4 A4 29
MDD22 4 5 MD22 CSAA-3 4 5 CSA-3 51 MDD14 MDD8 42 33 MA8 MDD21 10 30 MA5
DQMM0 D14 MDD15 MDD9 DQ8 A8 MA9 MDD22 DQ5 A5 MA6
23 CAS#0 D15 53 44 DQ9 A9 34 11 DQ6 A6 31
RP37 10X4 DQMM1 25 83 MDD16 MDD10 45 22 MA10 MDD23 13 32 MA7
MDD30 MD30 DQMM2 CAS#1 D16 MDD17 MDD11 DQ10 A10(AP) MA13 MDD24 DQ7 A7 MA8
8 1 115 CAS#2 D17 85 47 DQ11 A11 35 42 DQ8 A8 33
MDD62 7 2 MD62 DQMM3 117 87 MDD18 MDD12 48 21 MA12 MDD25 44 34 MA9
MDD61 MD61 DQMM4 CAS#3 D18 MDD19 MDD13 DQ12 A12(BA1) MA11 MDD26 DQ9 A9 MA10
6 3 24 CAS#4 D19 89 50 DQ13 A13(BA0) 20 45 DQ10 A10(AP) 22
MDD60 5 4 MD60 DQMM5 26 93 MDD20 MDD14 51 MDD27 47 35 MA13
DQMM6 CAS#5 D20 MDD21 MDD15 DQ14 MDD28 DQ11 A11 MA12
116 CAS#6 D21 95 53 DQ15 48 DQ12 A12(BA1) 21
RP39 10X4 DQMM7 118 97 MDD22 DQMM0 15 MDD29 50 20 MA11
MDD27 MD27 CAS#7 D22 MDD23 DQMM1 LDQM MDD30 DQ13 A13(BA0)
8 1 D23 99 39 UDQM 51 DQ14
MDD59 7 2 MD59 CSAA-2 69 121 MDD24 MDD31 53
MDD25 MD25 CSAA-3 RAS#0/S#0 D24 MDD25 RAMW- DQMM2 DQ15
6 3 71 RAS#1/SI#1 D25 123 16 WE 15 LDQM
MDD57 5 4 MD57 125 MDD26 SCAS- 17 DQMM3 39
RAMW- D26 MDD27 SRAS- CAS UDQM
67 WE# D27 127 18 RAS
RP41 10X4 131 MDD28 CSAA-0 19 RAMW- 16
MDD23 MD23 SRAS- D28 MDD29 SDCLK0 CS SCAS- WE
8 1 65 SRAS# D29 133 38 CLK 17 CAS
MDD55 MD55 SCAS- MDD30 5 SDCLK0 CKE0 SRAS- SDCLK0
7 2 66 SCAS# D30 135 37 18
MDD53 MD53 CKE2 MDD31 19 CKE0 MAA14 CKE CSAA-0 RAS
6 3 62 CKE D31 137 36 NC 19 CS
MDD20 5 4 MD20 CKE3 68 4 MDD32 SDCLK0 38
SDCLK2 CKEB D32 MDD33 C710 CKE0 CLK
61 6 SDRAM 37
C RP42 10X4 SDCLK3 CK0 D33 MDD34 CAP VCC3 MAA14 CKE C716 C
74 CK1 D34 8 36 NC
MDD52 8 1 MD52 10 MDD35 CAP
MDD18 MD18 SMBC D35 MDD36
7 2 142 14 U35 SDRAM
MDD50 MD50 SMBD SCL D36 MDD37
6 3 141 SDA D37 16 1 28
MDD49 MD49 C711 C712 MDD38 VDD VSS
5 4 D38 18 For EMI test 14
VDD VSS 41 For EMI test
CAP CAP 20 MDD39 27 54
RP12 10X4 D39 MDD40 VDD VSS
D40 38 3 VDDQ VSSQ 6
MDD13 1 8 MD13 11 40 MDD41 9 12 VCC3
MDD9 MD9 VCC D41 MDD42 VDDQ VSSQ
2 7 12 VCC D42 42 43 VDDQ VSSQ 46
MDD15 3 6 MD15 For EMI test 27 44 MDD43 49 52 U32
MDD47 MD47 VCC D43 MDD44 VDDQ VSSQ
4 5 28 VCC D44 48 1 28
MDD45 MDD32 MA0 VDD VSS
45 VCC D45 50 2 DQ0 A0 23 14 VDD VSS 41
RP13 10X4 46 52 MDD46 MDD33 4 24 MA1 27 54
MDD1 MD1 VCC D46 MDD47 MDD34 DQ1 A1 MA2 VDD VSS
1 8 63 VCC D47 54 5 DQ2 A2 25 3 VDDQ VSSQ 6
MDD45 2 7 MD45 64 84 MDD48 MDD35 7 26 MA3 9 12
MDD3 MD3 VCC D48 MDD49 MDD36 DQ3 A3 MA4 VDDQ VSSQ
3 6 81 VCC D49 86 8 DQ4 A4 29 43 VDDQ VSSQ 46
MDD43 4 5 MD43 82 88 MDD50 MDD37 10 30 MA5 49 52
VCC D50 MDD51 MDD38 DQ5 A5 MA6 VDDQ VSSQ
101 VCC D51 90 11 DQ6 A6 31
RP14 10X4 102 94 MDD52 MDD39 13 32 MA7 MDD48 2 23 MA0
MDD10 MD10 VCC D52 MDD53 MDD40 DQ7 A7 MA8 MDD49 DQ0 A0 MA1
1 8 113 VCC D53 96 42 DQ8 A8 33 4 DQ1 A1 24
MDD8 2 7 MD8 114 98 MDD54 MDD41 44 34 MA9 MDD50 5 25 MA2
MDD6 MD6 VCC D54 MDD55 MDD42 DQ9 A9 MA10 MDD51 DQ2 A2 MA3
3 6 129 VCC D55 100 45 DQ10 A10(AP) 22 7 DQ3 A3 26
MDD38 4 5 MD38 130 122 MDD56 MDD43 47 35 MA13 MDD52 8 29 MA4
VCC D56 MDD57 MDD44 DQ11 A11 MA12 MDD53 DQ4 A4 MA5
143 VCC D57 124 48 DQ12 A12(BA1) 21 10 DQ5 A5 30
RP15 10X4 144 126 MDD58 MDD45 50 20 MA11 MDD54 11 31 MA6
MDD35 MD35 VCC3 VCC D58 MDD59 MDD46 DQ13 A13(BA0) MDD55 DQ6 A6 MA7
1 8 D59 128 51 DQ14 13 DQ7 A7 32
MDD36 2 7 MD36 1 132 MDD60 MDD47 53 MDD56 42 33 MA8
MDD0 MD0 VSS D60 MDD61 DQMM4 DQ15 MDD57 DQ8 A8 MA9
3 6 2 VSS D61 134 15 LDQM 44 DQ9 A9 34
MDD33 4 5 MD33 22 136 MDD62 DQMM5 39 MDD58 45 22 MA10
B VSS D62 MDD63 UDQM MDD59 DQ10 A10(AP) MA13 B
35 VSS D63 138 47 DQ11 A11 35
RP46 36 RAMW- 16 MDD60 48 21 MA12
MDD14 MD14 VSS MA14 SCAS- WE MDD61 DQ12 A12(BA1) MA11
8 1 55 VSS NC/A12 70 17 50 20
MDD46 MD46 MA12 SRAS- CAS MDD62 DQ13 A13(BA0)
7 2 56 VSS NC/A13 72 18 51
MDD12 MD12 CSAA-0 RAS MDD63 DQ14
6 3 75 VSS MECC0 57 19 CS 53 DQ15
MDD44 5 4 MD44 76 58 SDCLK1 38 DQMM6 15
VSS MECC4 5 SDCLK1 CKE0 CLK DQMM7 LDQM
91 VSS MECC1 59 37 CKE 39 UDQM
RP47 10X4 92 60 MAA14 36
MDD11 VSS MECC5 NC
8 10X4 1 MD11 107 VSS MECC2 77 RAMW- 16 WE
MDD42 7 2 MD42 108 78 C713 SDRAM SCAS- 17
MDD41 MD41 VSS MECC6 CAP SRAS- CAS
6 3 119 VSS MECC3 79 18 RAS
MDD40 5 4 MD40 120 80 CSAA-0 19
VSS MECC7 MA12 SDCLK1 CS
21 VSS NC/A12 110 For EMI test 38 CLK
RP48 10X4 139 112 MA13 CKE0 37 SDCLK1
MDD7 MD7 VSS NC/A13 MAA14 CKE
8 1 140 VSS 36 NC
MDD39 7 2 MD39 73
MDD5 MD5 OE#
6 3 SDRAM
MDD37 5 4 MD37 DIMM 144P(ECC) C717
AMP C-353871 CAP

VCC3
For EMI test
VCC3 C227 DIMM1
47UF/6.3V-B
1

C206 C219 C201 C220 C192 C202 C207 C194


+ 0.1UF 0.1UF 0.1UF 0.1UF 0.1UF 0.1UF 0.1UF 0.1UF
A
C229 C230 C238 C239 C240 C226 C242 C241 A
0.01UF 0.01UF 0.01UF 0.01UF 0.01UF 0.01UF 0.01UF 0.01UF
2

Every 3 capacitors put every sdram cell


VCC3 FOR DIMM1
ALPHA-TOP CORP.

R179 0
MA14 1 2 MAA14 Title
SODIMM & ON BOARD SDRAM
C231 C232 C193 C245
0.01UF 0.01UF 0.01UF 0.01UF Size Document Number Rev
G510-1-4-01 2.0

FOR DIMM1 Date: Friday, November 09, 2001 Sheet 11 of 29


8 7 6 5 4 3 2 1
8 7 6 5 4 3 2 1

VCC3
VCC3
VCC3 VCC3 VCC3

5
VCC3 D39 VCC5

5
ASD500V

1
D30A L24

2
FCM2012V-121RC10-0805R
D3A D3B IMN10

2
IMN10 IMN10 R3
D4B D4C D2B R1
D3C D4A D2A L21 FCM2012V-121RC10-0805 2.2K
IMN10 IMN10 IMN10 IMN10R 2.2K

1
IMN10 IMN10R L22 FCM2012V-121RC10-0805

2
D D
D2C L23 FCM2012V-121RC10-0805 CON1
IMN10R 1 2 1
8 ROUT C7
8 GOUT 1 2 9
1 2 2 0.1UFR
8 BOUT
10
R227 100 DDC2BD 3
8,22 DDC1DATA
11
R230 100 DDC2BC 4
8,22 DDC1CLK DDC2BD
12
R228 33 HSYNC 5
8 HSYN 33 VSYNC HSYNC
R229 13
8 VSYN
6
R253 14 VSYNC
C5 7
22PF 15 DDC2BC
8
75 1%
C289 C291 C276 C4 SUYIN 7535S-15G2T
22PFR 22PFR 22PF 22PF 22PF
R251
75 1% C290 C2 C6 C3
R252 22PFR 22PF 22PF
75 1% C1
22PF
R430 15K
UV3- 9
R431 15K
UV3+ 9
R346 33
RP32 15KX4 9 UV0- R352 33
9 UV0+
1 8 UV4- 9
C 2 7 C448 C
UV5- 9
3 6 C452 22PF R353 R347
UV4+ 9
4 5 100PF 15K 15K
UV5+ 9
Pull Low When no use
CLOSE TO SIS630S
L10 BLM21P300S
1 2
L37 1 2 FCM1608K-680
L38 1 2 FCM1608K-680
JP1
1 1

1
MP5V 2 JH1
U46 C22 2
+ 3 3
C26

1
3 100UF/10V-D 4
VIN OUTA 0.1UF 4
VOUT 5
HEADER 4_1

1
4 VIN REED

2 1 OUTB C25
GND VOUT
1

C24 C23 0.1UF USBGND0


C720 + RTL9701-CBL 47PF 47PF
Change Component 1UF/16V-A CLOSE TO CONN
USBGND0 L39 1 2 FCM1608K-680
2

B B
L16 BLM21P300S JP3
1 2 1 JH2
R339 33 L40 1 1
2 FCM1608K-680 2
9 UV1- 2

1
R342 33 L41 1 2 FCM1608K-680 3
9 UV1+ 3
4 4 REED1

1
1
C447 C446 R343 R340 HEADER 4_1
100PF 22PF 15K 15K C84 + C92
100UF/10V-D
0.1UF
CLOSE TO SIS630S USBGND1

2
C98 C101
47PF 47PF C93
CLOSE TO CONN 0.1UF

USBGND1 L42 1 2 FCM1608K-680

R579 33
9 UV2- USBLAN- 18
R580 33
9 UV2+ USBLAN+ 18
C722
C721 22PF R441 R442
100PF 15K 15K

A A
CLOSE TO SIS630S

ALPHA-TOP CORP.

Title
CRT & USB CONN.

Size Document Number Rev


G510-1-4-01 2.0

Date: Friday, November 09, 2001 Sheet 12 of 29


8 7 6 5 4 3 2 1
8 7 6 5 4 3 2 1

VCC5

JP6
IDEDA[0..15]
18,22 KRST- 1 2 IDEDA[0..15] 7
HDD7 HDD8
HDD6 3 4 HDD9 IDEDB[0..15]
5 6 IDEDB[0..15] 7
HDD5 HDD10
HDD4 7 8 HDD11
R391 HDD3 9 10 HDD12
5.6K HDD2 11 12 HDD13
HDD1 13 14 HDD14
D RP21 D
HDD0 15 16 HDD15 HDD14 IDEDA14
17 18 4 5
HDD1 3 6 IDEDA1
R191 82 19 20 HDD15 IDEDA15
7 IDEREQA 21 22 2 7
R152 22 HDD0 1 8 IDEDA0
7 IDEIOW-A R126 22 23 24
7 IDEIOR-A ICHRDY-A R190 82 25 26 47X4
7 ICHRDYA R127 22 27 28
7 IDACK-A R192 82 29 30
RP24
7 IDEIRQA HDA1 31 32 HDD8 IDEDA8
33 34 CBLIDA 7 4 5
HDA0 HDA2 HDD7 3 6 IDEDA7
35 36 R151 33 HDD9 IDEDA9
37 38 IDECS-A1 7 2 7
R193 10K HDD6 1 8 IDEDA6
18 LED_HDD- 39 40
7 IDECS-A0 RP20 41 42 VCC5
47X4
IDESAA[0..2] 43 44 R189
8 1
7 IDESAA[0..2] IDESAA0 HDA0 10KR
7 2 SUYIN 20121A-44G5
IDESAA2 6 3 HDA2 HDD
IDESAA1 5 4 HDA1 C225
0.1UF

33X4
RP23
C494 VCC5 HDD10 4 5 IDEDA10
22PFR HDD5 3 6 IDEDA5
VCC5 HDD11 2 7 IDEDA11
C493 C495 HDD4 IDEDA4
0.1UF 22PFR 1 8

1
+ C492 47X4
C R185 C223 C224 100UF/10V-D C
4.7K 0.1UF 2200PF RP22
HDD12 IDEDA12

2
4 5
HDD3 3 6 IDEDA3
IDEREQB HDD13 2 7 IDEDA13
ICHRDY-A HDD2 1 8 IDEDA2
CLOSE TO HDD
R187 47X4
5.6K
CLOSE TO HDD CONN.

RP27
IDEDB0 1 8 CDD0
JP5 IDEDB1 2 7 CDD1
1 2 IDEDB2 3 6 CDD2
15 CD_L 1 2 CD_R 15
CDGND 3 4 CDGND IDEDB3 4 5 CDD3
VCC5 15 CDGND 3 4 CDD8
5 6
18,22 KRST- CDD7 5 6 CDD9
7 8 47X4
CDD6 7 8 CDD10
9 9 10 10
CDD5 11 12 CDD11 RP28
CDD4 11 12 CDD12 IDEDB4 CDD4
13 13 14 14 1 8
R171 CDD3 15 16 CDD13 IDEDB5 2 7 CDD5
4.7K CDD2 15 16 CDD14 IDEDB6 CDD6
17 17 18 18 3 6
CDD1 19 20 CDD15 IDEDB7 4 5 CDD7
CDD0 19 20 R188 82 IDEREQB
21 21 22 22 IDEREQB 7
23 24 R161 22 47X4
B 23 24 IDEIOR-B 7 B
R162 22 25 26 R394
7 IDEIOW-B R180 82 25 26 R388 22 10KR
27 27 28 28 IDACK-B 7
7 ICHRDYB R390 82 29 30
IDESAB[0..2] 7 IDEIRQB CDS1 29 30
31 32
7 IDESAB[0..2] CDS0 31 32 CDS2
RP26 33 34
IDESAB1 CDS1 33 34 R163 33
4 5 35 35 36 36 IDECS-B1 7
IDESAB0 3 6 CDS0 VCC5 37 38 RP53
R38910K 37 38 CDD11 IDEDB11
2 7 39 39 40 40 VCC5 1 8
IDESAB2 1 8 CDS2 41 42 CDD10 2 7 IDEDB10
41 42 CDD9 IDEDB9
43 43 44 44 3 6
33X4 C491 C490 CDD8 IDEDB8
7 IDECS-B0 45 45 46 46 4 5
CDCSEL 47 48 0.1UF 0.01UF
47 48
49 50 47X4
49 50
18 LED_CD- HEADER 25X2 RP52
CDROM/DVDROM CDD15 1 8 IDEDB15
CDD14 2 7 IDEDB14
CDD13 3 6 IDEDB13
CDD12 4 5 IDEDB12

CSEL : "NC" ; "Hi" --> Master(CDROM)/Slave(DVDROM) 47X4


CSEL : "Low --> Slave(CDROM)/Master(DVDROM)

VCC5 CLOSE TO CDROM


CONN.

A A
1

+ C210 C496
100UF/10V-D 2200PF
ALPHA-TOP CORP.
2

Title
CLOSE TO CDROM/DVDROM HDD & CDROM CONN.

Size Document Number Rev


G510-1-4-01 2.0

Date: Friday, November 09, 2001 Sheet 13 of 29


8 7 6 5 4 3 2 1
8 7 6 5 4 3 2 1

VCC5

16 LPT[0..7]
17 LPT[0..7] C279 U2
0.1UF 26 VCC
27 V+ V- 3
D C12 28 C1+ C2+ 1 D
0.47UF-0805
C278
C27 0.47UF-0805
COMA CONN 0.1UF C280
7534P-09G2 0.47UF-0805
CN2 24 2
C1- C2-
1 4 R1IN R1OUT 19 DCDA- 17
6 5 R2IN R2OUT 18 RIA- 17
2 6 R3IN R3OUT 17 RXDA 17
7 9 T1OUT T1IN 14 RTSA- 17
3 10 T2OUT T2IN 13 TXDA 17
8 7 R4IN(A) R4OUT 16 CTSA- 17
4 11 T3OUT 12 DTRA- 17
T3IN
9 8 R5IN(A) R5OUT 15 DSRA- 17
5 20 VCC5
R2OUTB
22 F-OFF
23
F-ON
21 25
INVALID GND VCC5
C271 C266 C267 C268 C269 C270 C265 C272
MAX3243

14
U30A
1

14
U9A 3 RING 9
680PF 680PF 680PF 680PF 680PF 680PF 680PF 680PF 2
1
3 74AHCT32

7
2
21 RI_OUT- USE VCC5
C 18,19,21 SUSPEND- 74AHCT86 C

7
USE VCC5

R94
10K
680PF C263 xBUSY

680PF C262 xACK-

330PF C251 xAFD- VCC5

330PF C250 xSTB-

470PF C256 xSLCTIN-

470PF C252 xPD0

470PF C253 xPD1 LPT[0..7]


17 LPT[0..7] 17 STB-
xPD2 LPT0 17 AFD-
470PF C255
P1
xPD3 LPT1 17 ERROR- L46 1 xSTB-
470PF C257 2 FCM1608K-121 1
L47 1 2 FCM1608K-121 xAFD- 14
xPD4 LPT2 17 INIT- R233 xPD0
470PF C258 33 2
SLCTIN- R477 33 15
xPD5 LPT3 17 SLCTIN- R234 xPD1
470PF C259 33 3
R478 33 xINIT- 16
470PF C260 xPD6 R235 33 xPD2 4
B R236 xSLCTIN- B
33 17
470PF C261 xPD7 R237 33 xPD3 5
18
R238 33 xPD4 6
19
R239 33 xPD5 7
LPT4 20
LPT5 R240 33 xPD6 8
LPT6 21
LPT7 R241 33 xPD7 9
ACK- 22
17 ACK- BUSY R242 xACK-
33 10
17 BUSY PE
17 PE 23
SLCT R243 33 xBUSY 11
17 SLCT
24
R224 33 12
25
R576 0 13

PRINTER 25 PIN CONN.


ADD FOR EMI C264 C546 C254 C547
CAP CAP CAP CAP
VCC5P VCC5P
RP29 RP30
xINIT- 1 10 xPD0 1 10
VCC5 VCC5P xSTB- 2 9 xPD1 2 9 xPD4
D38 xAFD- 3 8 xBUSY xPD2 3 8 xPD5
A
xSLCTIN- 4 7 xACK- xPD3 4 7 xPD6 A
5 6 5 6 xPD7

ASD500V 4.7KX8 1KX8


VCC5P VCC5P
ALPHA-TOP CORP.

Title
COM PORT AND LPT PORT

Size Document Number Rev


G510-1-4-01 2.0

Date: Friday, November 09, 2001 Sheet 14 of 29


8 7 6 5 4 3 2 1
8 7 6 5 4 3 2 1

VCC5 VCC5A

R249

VCC3
D 0-1206 D

+ C299
C309
10UF/10V-A 0.1UF
C67 C406
0.1UF 0.1UF
U25

F VCC5A 1 23 C340 1UF-0805


DVDD1 LINE_IN_L
9 DVDD2
24 C326 1UF-0805 F
LINE_IN_R C532 0.1UF
25 AVDD1
38 AVDD2
21 C352 68NF R457 1K
MIC1 MIC1 16
C54 C310
4 22 C533 0.1UF
0.1UF 0.1UF DVSS1 MIC2
7 DVSS2
F
26 18 C62 0.33UF R54 10K
AVSS1 CD_L CD_L 13
42 AVSS2
C405 19 C57 0.33UF R46 10K
CD_GND CDGND 13
F
27PFR 20 C56 0.33UF R42 10K
F CD_R CD_R 13

R304 47 6 16 C380 1UF-0805 R58 R51 R45


9,23 BIT_CLK BIT_CLK VIDEO_L 4.7K 4.7K 4.7K
9,23 SDATO 5 SDATA_OUT
C R303 47 8 17 C366 1UF-0805 C
9 SDATI0 SDATA_IN VIDEO_R N/C
9,23 SYNC 10 SYNC
9,23 AC_RESET- 11 RESET#
14 C61 1UF-0805
AUX_L
45 15 C397 1UF-0805 F
Codec ID trapping CID0 AUX_R
46 CID1
R289 13 PH_CAP C65 0.33UF
CID0 CID1 MASTER or SLAVE 10KR PHONE PHONE_IN 23
PH_CAP C572 0.1UF
NC NC MASTER 37 C337 0.33UF
GROUND NC SLAVE MONO_OUT MONO_OUT 23
F

35 LOUTL C313 4.7UF-1206


LINE_OUT_L LINE_OUT_L 16
R458 0 28
16 VREFOUT VREFOUT LOUTR C314 4.7UF-1206
27 VREF LINE_OUT_R 36 LINE_OUT_R 16
29 AFILT1
30 AFILT2
32 CAP2 LNLVL_OUT_L 39

+ C48 41
C534 LNLVL_OUT_R
+ C43 C311 C312 + C44

10UF/10V-A 0.1UF 820PF 820PF 0.1UF 48 0R R606


C37 NC
34 APOP EAPD 47 SPDIF 9,16
31 CAP1
10UF/10V-A 10UF/10V-A F 33 0R R291
B CAP3 B
C535
NC 40
0.1UF
NC 43

C407 F 44
NC
2 XTL_IN C404 0.33UF
1

27PF 12
PC_BEEP PCBEEP 16
Y1
24.576M-6PSMD C573 6800PF
C66
3

3 XTL_OUT
F
27PF
STAC9721

A A

ALPHA-TOP CORP.

Title
AC'97 CODEC (STA9721/STA9744)

Size Document Number Rev


G510-1-4-01 2.0

Date: Friday, November 09, 2001 Sheet 15 of 29


8 7 6 5 4 3 2 1
8 7 6 5 4 3 2 1

VCC5A
15 VREFOUT

R459 R460 VCC5 VCC5AP


R260
5.6KR 2.2KR R267 C316
5.1KR 10UF/10V-1206
D INMIC+ J1 D
5

1
4 0-1206 C322
L7 JH3
3 + 0.1UF
1 2 2
15 MIC1

1
1
FCM2012V-121RC10-0805

2
1
PJACK REED
R480 N/C C17 C536 C14 HCH MOJ-B27-B F F
2200PF 2200PF MIC IN
1000PF J
0R

T2 N/C
C527 TRANSFORMER R444 CR1 R223
R443 F J
SPDO 1 3
9,15 SPDIF

4
0.01UF 47 75 SPGND VCC5AP
2 0-1206
J
2 5
C528 PSOT05LC

1
0.1UFR
C70 + C333
0.1UF
10UF/10V-1206
3

6 C356 R297

2
R298
15 LINE_OUT_R F
0 10K 30K
C N/C C382 C
CN3 220PF
INMIC+ R28 U4
MICGND 1 R603
LM4863MTE
2
4
C55 VDD 100K
HRS DF13-2P-1.25V 0-1206 6 17
-INA VDD
2

F 4.7UF/16V-1206 CN4
J4 2 1 5 1
JCLOSE -OUTA 1

+
3 2
+OUTA 2
C71 14 3
BYPAS 3
1

8 4
+INA 4
13
+INB R604 SPKR CONN
F 0.1UF
20 C430 C431 C433 C432
U9B 74AHCT86 HP-IN
1000PF
1 1000PF 1000PF 1000PF
SHTDWN 100K
28 BATTONE 4 R415
6 15 16 NEAR SPKR CONN
PCBEEP 15 -INB -OUTB
5 18
R416 100K +OUTB
20K
R288

GND
GND
GND
GND
GND
GND
GND

1
4.7K-0805
+ C731

12
19

10
11
2
7
9
9 SPKR 9 F
100U/10V-C

2
8
10 R605
B 21 SPKROUT B
F 1K
R98 C47 R271
20K U9C R270 HEAD_R
JH4 15 LINE_OUT_L
74AHCT86 30K

1
0 10K
1

C317 +
N/C 220PF
C732 HEAD_C
1

REED

2
J 100U/10V-C

HEAD_L
BLM21P300S PT
L8
HEAD_L 1 2

J2
SPDO 1
2
6
HEAD_R 1 2 3
4
L3 BLM21P300S PT 5
7
HEAD_C
PJACK_1
SPGND

A
C16 HOSIDEN HSJ1594-010110 A
1uf C15 EARPHONE OUT
1uf

ALPHA-TOP CORP.

Title
J OP AMPLIFIER

Size Document Number Rev


G510-1-4-01 2.A

Date: Friday, November 09, 2001 Sheet 16 of 29


8 7 6 5 4 3 2 1
8 7 6 5 4 3 2 1

VCC3 VCC3 VCC3 VCC3

1
C548 C549
.1UF .1UF C550 C551
.1UF .1UF

2
14
39
63
88
D D
U14
LAD[0..3]

VDD
VDD
VDD
VDD
9 LAD[0..3] LPT[0..7]
LPT[0..7] 14
LAD0 15 52 LPT0
LAD1 LAD0 PD0/INDEX# LPT1
16 LAD1 PD1/TRK0# 50
LAD2 17 48 LPT2
LAD3 LAD2 PD2/WP# LPT3
18 LAD3 PD3/RDATA# 46
45 LPT4
PD4/DSKCHG# LPT5
8 LCLK PD5/MSEN0 44
5 SIOPCLK LPT6
7,8,18,19,21,23 PCIRST- 9 LRESET# PD6/DRATE0 43
12 42 LPT7
9 LFRAME- LFRAME# PD7/MSEN1
11
9 LDRQ-
VCC3
R481 1 2 10K 7
LDRQ#
LPCPD#
PC87393 PNF/XRDY 35
6 CLKRUN#/GPIO36 SLCT/WGATE# 36 SLCT 14
10 37 PE 14
9,21 SERIRQ SERIRQ PE/WDATA#
19 SMI#/GPIO35 BUSY_WAIT#/MTR1# 40 BUSY 14
ACK#/DR1# 41 ACK- 14
5 SIO48M 20 CLKIN SLIN#_ASTRB#/STEP# 47 SLCTIN- 14
INIT#/DIR# 49 INIT- 14
ERR#/HDSEL# 51 ERROR- 14
21 DSKCHG# AFD#_DSTRB#/DENSEL 53 AFD- 14
22 HDSEL# STB#_WRITE# 54 STB- 14
23 RDATA#
24 WP#
25 TRK0# DCD1# 55 DCDA- 14
26 WGATE# DSR1# 56 DSRA- 14
27 WDATA# SIN1 57 RXDA 14
28 SETP# RTS1#/TEST 58 RTSA- 14
C 29 59 SOUT1 C
DIR# SOUT1/XCNF0 TXDA 14
30 60 VCC3
DR0# CTS1# CTSA- 14
31 61 BADDR
MTR0# DTR1#_BOUT1/BADDR DTRA- 14
32 INDEX# RI1# 62 RIA- 14
33 JP12
DENSEL
34 DRATE0/IRSL2 1 1
IRTX 70 2 2
XA[0..3] 69 3
18,19 XA[0..3] XA0 IRRX1 3
95 XA0/GPIO20 IRRX2_IRSL0 68 4 4
XA1 94 67 5
XA2 XA1/GPIO21 IRSL1 5
93 XA2/GPIO22 IRSL3/PWUREQ# 66 PME- 9,21,23
XA3 92 XA3/GPIO23

2
91 XD[0..7] C13
19 XSTB0- XA4/GPIO24/XSTB0# XD[0..7] 18,19 0.1UF
XCNF2 90 3 XD0 Z10 DF13-5P-1.25H
IRQ1 XA5/XSTB1#/XCNF2 XD0/GPIO00/JOYABTN1 XD1 JCLOSE 20X20MIL
18 IRQ1 87 XA6/GPIO26/PRIQA/XSTB2# XD1/GPIO01/JOYBBTN1 2
86 1 XD2
XA7/GPIO27/PIRQB XD2/GPIO02/JOYAY XD3

1
85 XA8/GPIO30/PIRQC XD3/GPIO03/JOYBY 100
IRQ12 84 99 XD4
18 IRQ12 XA9/GPIO31/MTR1#/PIRQD XD4/GPIO04/JOYBX XD5
83 98
18 XIOR- XA10/GPIO32/XIORD#/MDRX XD5/GPIO05/JOYAX XD6
82 97
18 XIOW- XA12 XA11/GPIO33/XIOWR#/MDTX XD6/GPIO06/JOYBBTN0 XD7
81 XA12/GPIO10/JOYABTN1/RI2# XD7/GPIO07/JOYABTN0 96 Add IR Function
XA13 80
XA14 XA13/GPIO11/JOYBBTN1/DTR2#_BOUT2
79 XA14/GPIO12/JOYAY/CTS2# XWR#/XCNF1 4 XMEMW- 19
XA15 78 5
XA15/GPIO13/JOYBY/SOUT2 XRD#/GPIO34/WDO# XMEMR- 19
XA16 77 73
XA17 XA16/GPIO14/JOYBX/RTS2# XIOWR#/XCS1#/MTR1#/DRATE0
76 XA17/GPIO15/JOYAX/SIN2 XIORD#/GPIO37/IRSL2/DR1# 71
XA18 75 72
XA18/GPIO16/JOYBBTN0/DSR2# XCS0#/DR1#/XDRY/GPIO25 XMEMCS- 19
XA[12..18] 74
19 XA[12..18] XA19/DCD2#/JOYABTN0/GPIO17
B B

VSS
VSS
VSS
VSS
VCC5
PC87393
13
38
64
89
R168 10K IRQ1
R160 10K IRQ12

BADDR PULL-UP :4E


BADDR PULL-DOWN:2E VCC3
(DEFAULT)
VCC3
R102 10K
R101 SOUT1 1 2
BADDR 1 2 XCNF0
R128 10K
XMEMW- 1 2
10KR XCNF1
R118 10KR
XCNF2 1 2

A A
BASE ADDRESS CONFIGURATION XBUS RESET CONFIGURATION

ALPHA-TOP CORP.

Title
SUPER I/O NS87393

Size Document Number Rev


G510-1-4-01 2.0

Date: Friday, November 09, 2001 Sheet 17 of 29


8 7 6 5 4 3 2 1
8 7 6 5 4 3 2 1

XA[0..18]
17,19 XA[0..18] XD[0..7]
17,19 XD[0..7]

J8
KSO0
KSO1 1
KSO2 2
KSI0 3
VCC5 KSI1 4
Y3 6P SMD KSI2 5
8MHZ-6PSMD KSO3 6
D D
7

1
1 3 KSI3
R166 KSO4 8
10K KSO5 9
KSI4 10
Q15 KSI5 11
KRST- KSO6 12

2
7,8,17,19,21,23 PCIRST- S D KRST- 13,22 13
KSI6

D
2N7002 R173 KSI7 14
1.2M KSO7 15
C208
C209 16

G
22PF VCC5 KSO8
22PF KSO9 17
C183

1
KSO10 18
R165 KSO11 19
10K KSO12 20
U17 0.1UF
KSO13 21
VCC 71 22
KXI 28 31 LED_SCR- KSO14
XIN P27/SCRLOCK LED_NUM- KSO15 23
2 P26/NUMLOCK 32 24
KXO 29 33 LED_CAP-
XOUT P25/CAPSLOCK VCC5
34 CON24
VCC3 XD7 P24/KANA
63 XD7
XD6 64 39 KSO15

1
XD5 XD6 P17/KSO15 KSO14
65 XD5 P16/KSO14 40
XD4 66 41 KSO13 VCC5 R175
XD3 XD4 P15/KSO13 KSO12 10K
67 42 C233
VCC5 XD2 XD3 P14/KSO12 KSO11
68 XD2 P13/KSO11 43
XD1 69 44 KSO10 J7
XD0 XD1 P12/KSO10 KSO9

2
70 45 0.1UF
XD0 P11/KSO9
1

46 KSO8 1
C R413 P10/KSO8 KSO7 TDATA C
14 IOW P07/KSO7 47 2
10K 17 XIOW- KSO6 TCLK
17 XIOR- 15 IOR P06/KSO6 48 3
16 49 KSO5 4
19 KBCS- XA2 KBDCS P05/KSO5 KSO4 LID-
17 A0 P04/KSO4 50 5
KRST- KSO3
2

25 RESET P03/KSO3 51 28 CHGOK_LED- 6


52 KSO2 7
P02/KSO2 KSO1 VCC5 28 CHG_LED
35 53 8
9 KBSMI- P23 P01/KSO1 KSO0
36 P22 P00/KSO0 54 9
R174 4.7K 19 SUSLED-
37 P21 10
38 55 KSI0 11
P20 P37/KSI7 KSI1 12 USBLAN+
12 P55 P36/KSI6 56 12
KSI2 12 USBLAN-
13 57 C552
P54 P35/KSI5 KSI3
26 P40 P34/KSI4 58
VCC5 27 59 KSI4 R144 R154 TOUCHPAD
VCC5 8 KBSEL P41 P33/KSI3 KSI5 10K 10K
L18 60 0.1UF
P32/KSI2
1 2 FCM1608K-121 72 VREF P31/KSI1 61 KSI6
SUSPEND- 1 62 KSI7
SUSPEND- 14,19,21 SUSPEND- P60/AN0 P30/KSI0
9 SWI- 80 P61/AN1
LID- 79 21 MP5V
P62/AN2 P44/RC SUS_ST- 28
10K R141 78 20
2 THRM- P63/AN3 P45/A20G
77 P64/AN4
+12V 28 THROSMI-
28 SHDSMI- 76 P65/AN5 P42/OBF0 23 IRQ1 17
75 22 VCC5
28 BLLSMI- P66/AN6 P43/OBF1 IRQ12 17
28 SMALERT- 74 P67/AN7
4
8

U31A P75
R155 1K 11 P56/PWM01/DA1 P72 7
3 10 R43 330 D10
+ P57/PWM11/DA2 LED_SCR-
22 BR-ADJ 1 LM358 19 MCCS- 18 CS(ACPI) P76/SDA 3 SMDA 2,28 1 2
2 9 SCI 19 SCI/P46 P77/SCL 2 SMCLK 2,28
B - C164 B
LED
R121 0.1UF 8 24
P71 CNVSS
2K
4

5 P74 VSS 30
TCLK 6 73
C158 TDATA P73 AVSS R20 330
100PF 9 D6
VCC5 P70 LED_NUM-
RP17 1 2
4 5 M38867M8
3 6 LED
2 7
1 8
JP9
10KX4 KSI0 R21 330 D8
1 2 LED_CAP-
5 1 2
KSO0
3 4
LED
JP13 Email Button
J14 R254 330 D5
1 2 LED_HDD- 13
4 1 C 1 4 C
2 LED
5 3 Y
2 3 Y R8 330 D7
1 2 LED_CD- 13
DF13B-3P-1.25V
35144A-04T1
5

LED
2

JP15 JP10
6

JCLOSE KSI1
CR2A CR2B CR3B CR3A CR3C 1 2
A 5 A
IMN10 IMN10 IMN10 KSO1
1

IMN10 IMN10 3 4
3

CR2C Internet Button


1

IMN10 ALPHA-TOP CORP.


4

VCC3 VCC3 Title


KBC M38867

Size Document Number Rev


G510-1-4-01 2.0

Date: Friday, November 09, 2001 Sheet 18 of 29


8 7 6 5 4 3 2 1
8 7 6 5 4 3 2 1

XA[0..18] VCC3
17,18 XA[0..18]

VCC5
C502 U18
0.1UF ATF49F040/AT49F020 R106 10K
XA0 12 XD0 XMEMCS-
XA1 A0 D0 13 XD1
11 D1 14
XA2 A1 XD2 R129 10K
10 D2 15
XD[0..7] U37 XA3 A2 XD3 XMEMR-
17,18 XD[0..7] 9 A3 D3 17
XD0 3 2 XA4 8 XD4
XD1 D0 Q0 XA5 A4 D4 18 XD5
D 4 D1 Q1 5 7 A5 D5 19 D
XD2 7 6 XA6 6 XD6
XD3 D2 Q2 XA7 A6 D6 20 XD7
8 D3 Q3 9 5 A7 D7 21
XD4 13 12 XA8 27 R194 0
XD5 D4 Q4 XA9 A8 XA18
14 D5 Q5 15 26 A9
XD6 17 16 XA10 23 1
XD7 D6 Q6 XA11 A10 A18 R199 0R
18 D7 Q7 19 25 A11
20 XA12 4
VCC XA13 A12
1 OC 28 A13
11 10 XA14 29
17 XSTB0- G GND XA15 A14
3 A15
74AC373 XA16 2
XA17 A16
30 A17 VCC 32 VCC5
R399
10K XMEMCS- 22 C236
17 XMEMCS- XMEMR- CE# 0.1UF
24
17 XMEMR- XMEMW- OE#
17 XMEMW- 31 WE# GND 16

U30B

VCC5 XMEMCS- 4 RP34 VCC3


7,21,23 PREQ-[0..2] PREQ-0
6 MCCS- 18 1 10
U9D 5 PREQ-1 2 9 PGNT-0
R446 10K PREQ-2 3 8 PGNT-1
12 74AHCT32 4 7 PGNT-2
11 5 6 PGNT-[0..2]
PGNT-[0..2] 7,21,23
XA1 13
C VCC3 10KX8 C
SERR- 7,21,23
74AHCT86
U30C
U30D
9
8 PWRGOOD 12
KBCS- 18 SYSPWGD
10 11 SYSPWGD 20
R4961 2 0R 13 R448 10K
74AHCT32 7,8,17,18,21,23 PCIRST-
74AHCT32 C557
R451 0.1UF
10KR

RP18 VCC3 VCC3


1 10 R493
7,21,23 STOP- 10K
2 9 PLOCK- 7
7,21,23 TRDY-
7,21,23 IRDY- 3 8 DEVSEL- 7,21,23
4 7 R494
7,21,23 FRAME- 10K
5 6

D
VCC3 2.7KX8 Q56
G 2N7002_0
VCC3 9 GV_GATE

S
VCC3
RP25 8.2KX4
4 5 INT-A 7,21
3 6 INT-C 7,23
2 7 VCC1.8V
INT-D 7,23
1 8 VCC1.8V
INT-B 7

8
7
6
5
B B
PERR- 21,23
RP54
R186 8.2K 2.7KX4

The signal doesn't change when cpu does speedstep R222 R92
1K 1.5K U38

1
2
3
4
R2111 2 22 2 1
11 CKE0 Y1 A1 CKE 6
R1951 2 22
11 CKE2 R2121 2 22 4 Y2 A2 3
11 CKE3
6 Y3 A3 5
U43 SYSPWGD
8 9
PWRGOOD 2 CPUPWGD Y4 A4
3
R
25 VGATE 1 V 9 PWROK 10 Y5 A5 11
G 2
VCC3 12 13
Y6 A6 SUSPEND- 14,18,21
MAX809 R221 14
VCC5 51K C503 VCC
0.1UF
7 GND
R216
10K
A Add for Tualatin's CPU Powergood A
7407
The delay time is 10ms from Vcore starts 18 SUSLED-

ALPHA-TOP CORP.

Title
BIOS ROM & POWER GOOD

Size Document Number Rev


G510-1-4-01 2.0

Date: Friday, November 09, 2001 Sheet 19 of 29


8 7 6 5 4 3 2 1
8 7 6 5 4 3 2 1

MP5V
SIS_ALS

R498

10K

R350
180 R153
AUXOK
AUXOK 9

C
D Q12 1K D
B 3904_0
R157 + C200
100K 22UF/16V-B RTCVDD

E
(~2.4V) + CE6

C
10UF/10V-A
ZD1

2
RLZ2.4A + C428 + C568 D30B D14 MMBD4148

A
22UF/10V-B 22UF/10VR-B NC
IMN10 NC
C

2
A

35
D30C R91
IMN10 BATOK 9
51K

2
+ CE5

1
J9 22UF/16V-B
D31A JOPEN
C96 C97

2
Clear CMOS Data
IMN10 1UF-0805 0.01UF
D31C D31B

1
IMN10 IMN10

6
C C

5
Place close to 630S

D
Q34
NDS352AP
NOTE:
R93
G Pin D and S can NOT be SWAPPED

470

R341
100K

+12VA S
R62 +12V
100K
Q3
S D R344
1K
1

C60
NDS356P +12VA
0.1UF
G

C63
0.1UF
2

B R63 BAT1 B
100K R408 Bat Holder
100K
R69 3V/60MA
10K
2

VCC5
MP5V
C

B U22
8 1
DTC144EKA +12VA D S
7 D S 2
E

Q42 6 3
D S
5 D G 4

R296 SI4410
10K SO8

R281
D

C52 C49
33K 0.1UF 2200PF
PSON- G C506 C569
9,26,27 PSON- 0.1UF 0.1UF
S

Q31 VCC3
2N7002
U3
8 1
D S
7 D S 2
A 6 D S 3 A
5 D G 4
D49
SI4410
19 SYSPWGD +3VAUX SO8
ALPHA-TOP CORP.
ASD500V
C301 C303
R34 C570 0.1UF 2200PF Title
33K 0.1UF RTC BATTERY & POWER SW

Size Document Number Rev


G510-1-4-01 2.0

Date: Friday, November 09, 2001 Sheet 20 of 29


8 7 6 5 4 3 2 1
8 7 6 5 4 3 2 1

VCCCB VCC3

VCC3 +12V VCC5


VPPD1 VCCCB
VPPD0
VCCD1- +12V U11
VCCD0- C151 C131 C152 C118
0.1UF 0.1UF 0.1UF VCC5 9 11 VCCCB 10UF/10V-A
12V VCC
12

1
VCC
5 13

126

138
122
102
AD[0..31] 5V VCC VPPA

73
74

71
72

44
18

90

86
50
30
14

63
U16 6 +
7,23 AD[0..31] CLOSE TO TPS2211 VCC3 5V
AD31 3 10 VPPA

VCCD0
VCCD1

VPPD0
VPPD1

VCCP0
VCCP1

VCCCB0
VCCCB1

VCC0
VCC1
VCC2
VCC3
VCC4
VCC5
VCC6

VCCMSC
D D
AD30 AD31 VPP

2
4 3

1
AD29 AD30 3.3V VCCD0-
5 AD29 4 3.3V VCCD0 1
AD28 7 2 VCCD1- + C119
AD27 AD28 VCCD1 VPPD0
8 AD27 7 GND VPPD0 15 4.7UF/25V-B
AD26 9 144 CAD31 14 VPPD1
AD25 AD26 CAD31 CAD30 VPPD1

2
10 AD25 CAD30 142 16 SHDN OC 8
AD24 11 141 CAD29
AD23 AD24 CAD29 CAD28
15 AD23 CAD28 140
AD22 16 139 CAD27 TPS2211
AD21 AD22 CAD27 CAD26
17 AD21 CAD26 129
AD20 19 128 CAD25
AD19 AD20 CAD25 CAD24
23 AD19 CAD24 127
AD18 24 124 CAD23
AD17 AD18 CAD23 CAD22
25 AD17 CAD22 121
AD16 26 120 CAD21 CN5
AD15 AD16 CAD21 CAD20
38 AD15 CAD20 118 1 35
AD14 CAD19 CAD0 A1 A35 CCD1-
39 AD14 CAD19 116 2 36
AD13 CAD18 CAD1 A2 A36 CAD2
40 AD13 CAD18 115 3 A3 A37 37
AD12 41 113 CAD17 CAD3 4 38 CAD4
AD11 AD12 CAD17 CAD16 CAD5 A4 A38 CAD6
43 AD11 CAD16 98 5 A5 A39 39
AD10 45 96 CAD15 CAD7 6 40 RSVD/D14
AD9 AD10 CAD15 CAD14 CC/BE0- A6 A40 CAD8
46 AD9 CAD14 97 7 A7 A41 41
AD8 47 93 CAD13 CAD9 8 42 CAD10
AD7 AD8 CAD13 CAD12 CAD11 A8 A42 CVS1
49 AD7 CAD12 95 9 A9 A43 43
AD6 51 92 CAD11 CAD12 10 44 CAD13
AD5 AD6 CAD11 CAD10 CAD14 A10 A44 CAD15
52 AD5 CAD10 91 11 A11 A45 45
AD4 53 89 CAD9 CC/BE1- 12 46 CAD16
AD3 AD4 CAD9 CAD8 CPAR A12 A46 RSVD/A18
54 AD3 CAD8 87 13 A13 A47 47
C AD2 55 85 CAD7 CPEER- 14 48 CBLOCK- C
AD1 AD2 CAD7 CAD6 CGNT- A14 A48 CSTOP-
56 AD1 CAD6 82 15 A15 A49 49
AD0 57 83 CAD5 CINT- 16 50 CDEVSEL-
C/BE-[0..3] AD0 CAD5 CAD4 VCCCB A16 A50 VCCCB
7,23 C/BE-[0..3] CAD4 80 17 A17 A51 51
81 CAD3 VPPA 18 52 VPPA
C/BE-3 CAD3 CAD2 CCLK R382 A18 A52 CTRDY-
12 77 47 19 53
C/BE-2 C/BE3 CAD2 CAD1 CIRDY- A19 A53 CFRAME-
27 79 20 54
C/BE-1 C/BE2 CAD1 CAD0 CC/BE2- A20 A54 CAD17
37 76 21 55
C/BE-0 C/BE1 CAD0 CAD18 A21 A55 CAD19
48 C/BE0 22 A22 A56 56
125 CC/BE3- CAD20 23 57 CVS2
CC/BE3 CC/BE2- CAD21 A23 A57 CRST-
20 PCIRST CC/BE2 112 24 A24 A58 58
7,8,17,18,19,23 PCIRST- CC/BE1- CAD22 CSERR-
66 GRST CC/BE1 99 25 A25 A59 59
28 88 CC/BE0- CAD23 26 60 CREQ-
7,19,23 FRAME- FRAME CC/BE0 CAD24 A26 A60 CC/BE3-
29 27 61
7,19,23 IRDY- IRDY CRST- CAD25 A27 A61 CAUDIO
7,19,23 TRDY- 31 TRDY CRST 119 28 A28 A62 62
32 111 CFRAME- CAD26 29 63 CSTSCHG
7,19,23 DEVSEL- DEVSEL CFRAME CIRDY- CAD27 A29 A63 CAD28
7,19,23 STOP- 33 STOP CIRDY 110 30 A30 A64 64
34 109 CTRDY- CAD29 31 65 CAD30
19,23 PERR- PERR CTRDY A31 A65
35 107 CDEVSEL- RSVD/D2 32 66 CAD31
7,19,23 SERR- SERR CDEVSEL CSTOP- CCLKRUN- A32 A66 CCD2-
36 105 33 67
7,23 PAR PAR CSTOP CPEER- A33 A67
1 REQ CPERR 104 34 A34 A68 68
7,19 PREQ-0 CSERR-
7,19 PGNT-0 2 GNT CSERR 133
21 101 CPAR CONNCARDBUS_1
5 TIPCI PCLK CPAR CREQ-
CREQ 123
59 106 CGNT-
9,17,23 PME- PME CGNT CCLK
70 108
14,18,19 SUSPEND- SUSPEND CCLK
AD21 R136 100 13 135 CSTSCHG VCCCB VPPA
IDSEL CSTSCHNG
7,19 INT-A 60 MF0 CCLKRUN 136 CCLKRUN-
B B
61 MF1
64 103 CBLOCK-
MF2 CBLOCK
65
9,17 SERIRQ MF3 CINT- C180 C481
67 132
14 RI_OUT- MF4 CINT 0.1UF 0.1UF
68 MF5
1

69 MF6 SPKOUT 62 SPKROUT 16


R100 134 CAUDIO
10K CAUDIO CLOSE TO CARDBUS CONN.

137 CCD2-
CCD2 CCD1-
2

CCD1 75
84 RSVD/D14
100 RSVD/A18

117 CVS2
143 RSVD/D2

VCC3 CVS2 CVS1


CVS1 131
GND0
GND1
GND2
GND3
GND4
GND5
GND6
GND7

PCI1410
114
130
22
42
58
78
94
6

RSVD/D2
RSVD/A18
RSVD/D14

A
VCC3 VCCCB A

CLOSE TO TI1410
ALPHA-TOP CORP.

C196 C199 C198 C173 C182 C157 C176 C187 C197 C179
0.1UF 0.1UF 0.1UF 0.1UF 0.1UF 0.1UF 0.1UF 0.1UF 0.1UF 0.1UF Title
PCMCIA CARDBUS TI1410

Size Document Number Rev


G510-1-4-01 2.0

Date: Friday, November 09, 2001 Sheet 21 of 29


8 7 6 5 4 3 2 1
8 7 6 5 4 3 2 1

VCC5
VCC5 VBA

R78 J5
10K
1
D D
L43 2
VCC3 18 BR-ADJ FCM2012K-600-0805 3
4
D29 5

A
L44 6
A 7
C R301 D50 FCM2012K-600-0805
NC 10KR
Q33 1SS355 SOD323 C86 CON7

D
BAT54 2N7002 0.1UF

C
R81 10K
G C77 C83 C160
8 ENBLT- 0.1UF 2200PF 0.1UF

S
1
+ C427
1UF/16V-A

2
13,18 KRST-

J12 J13
C C
1 1

J11GND J11GND

LCDVDD VCC3 +12V


J11
BB[2..7] 8
RR[2..7] 1 2
8 RR[2..7] RR2 BB2
3 4
RR3 5 6 BB3
RR4 7 8 BB4
RR5 9 10 BB5
VCC3 RR6 11 12 BB6
RR7 13 14 BB7
15 16
GG2 17 18 VBHSYNC
VBVSYNC VBHSYNC 8
GG3 19 20
VBBLANK- VBVSYNC 8
GG4 21 22
LVDSPD- VBBLANK- 8
R598 R599 GG5 23 24
4.7K LVDSPD- 8
4.7K GG6 25 26
GG7 27 28 C726 0.1UF
8 GG[2..7] 29 30
LVDSCLK 31 32 C727 0.1UF
8 LVDSCLK
33 34
8,12 DDC1DATA 35 36
37 38 C728 0.1UF
8,12 DDC1CLK
39 40
B B

AMP 4-179369-0
C729 C730
CAP CAP

+12V LCDVDD

VCC3 U13
8 1
R356 D S
7 D S 2
10K 6 3
R362 D S
5 D G 4
10K C136
SI9410 0.1UF
C

A A
B Q35 Note: NDS9410 --
8 ENPVDD- DTC144EKA SINGLE N-MOS
VCC3
E

C571 ALPHA-TOP CORP.


+ 0.1UF
C465 C162
0.1UF 10UF/10V-A
Title
LVDS & LCD CONN.
2

Size Document Number Rev


G510-1-4-01 2.0

Date: Friday, November 09, 2001 Sheet 22 of 29


8 7 6 5 4 3 2 1
8 7 6 5 4 3 2 1

AD[0..31]
7,21 AD[0..31]
C/BE-[0..3]
7,21 C/BE-[0..3] MINI PCI SOCKET
+3VAUX
CN6 VCC5
D 1 TIP RING 2 D
3 4 L20
LAN LAN +3VAUX
5 LAN LAN 6
7 LAN LAN 8
R466 0R 9 10 FCM2012K-600-0805
LAN LAN
7,19 INT-C 11 LAN LAN 12
VCC3 13 14 0.1UF C205
R467 0 LAN LAN R468 0
15 LAN LAN 16
7,19 INT-D 17 INT#B 5V 18 INT-C 7,19
19 20 R469 0R
3.3V INT#A VCC3
21 RESERVED RESV. 22 INT-D 7,19
C215 C213 23 24
GND 3.3VAUX
5 MINICLK 25 CLK RST# 26 PCIRST- 7,8,17,18,19,21
0.1UF 0.01UF 27 28
GND 3.3V Q10
29 REQ# GNT# 30 PGNT-1 7,19
7,19 PREQ-1 2N7002
31 3.3V GND 32
AD31 33 34 S D C204 C214
AD31 PME# PME- 9,17,21
AD29

D
35 AD29 RESV. 36
AD30 0.01UF 0.1UF
37 GND AD30 38
AD27 39 40
AD27 3.3V

G
AD25 41 42 AD28
AD25 AD28 AD26
43 RESV. AD26 44
C/BE-3 45 46 AD24
AD23 C/BE#3 AD24 100 R164 AD16 R4
47 AD23 IDSEL 48
49 50 10K
AD21 GND GND AD22
51 AD21 AD22 52
AD19 53 54 AD20
AD19 AD20
55 GND PAR 56 PAR 7,21 PMECON 28
AD17 57 58 AD18
C C/BE-2 AD17 AD18 AD16 C
59 C/BE#2 AD16 60
7,19,21 IRDY- 61 IRDY# GND 62
63 3.3V FRAME# 64 FRAME- 7,19,21
65 CLKRUN# TRDY# 66 TRDY- 7,19,21
7,19,21 SERR- 67 SERR# STOP# 68 STOP- 7,19,21
69 GND 3.3V 70
71 72 DEVSEL- 7,19,21
19,21 PERR- C/BE-1 PERR# DEVSEL#
73 C/BE#1 GND 74
AD14 75 76 AD15
AD14 AD15 AD13
77 GND AD13 78
AD12 79 80 AD11
AD10 AD12 AD11
81 AD10 GND 82
83 84 AD9
AD8 GND AD9 C/BE-0
85 AD8 C/BE#0 86
AD7 87 88
AD7 3.3V AD6
89 3.3V AD6 90
VCC5 AD5 91 92 AD4
AD17 100 R479 AD5 AD4 AD2
93 RESV. AD2 94
AD3 95 96 AD0
AD3 AD0
97 5V RESV. 98
AD1 99 100
AD1 RESV. SDATO 9,15
101 GND GND 102
C212 103 104 10K R172
+3VAUX 9,15 SYNC AC_SYNC M66EN
9 SDATI1 105 AC_DI1 AC_DO 106
0.1UF R184 107 108
10K 9,15 BIT_CLK AC_BIT_CLK AC_ID0# 0 R177
109 AC_ID1# AC_RST# 110 AC_RESET- 9,15
MD_PHONE 0 R183 111 112
MOD_A_MON RESV. MINI2CLK 5
113 AGND GND 114
VCC5 0R R158 115 116 MD_PHONE 0 R182
B 15 MONO_OUT SYS_A_OUT SYS_A_IN PHONE_IN 15 B
117 AGND AGND 118
119 AGND AGND 120
L34 121 122
NC MCPIACT# +3VAUX
123 VCC5A 3.3VAUX 124

FCM2012K-600-0805 C211
MINI_PCI
C486 C485 C217 C216 C203
0.1UFR
2200PF 0.1UF 2200PF 0.1UF 0.1UF

A A

ALPHA-TOP CORP.

Title
MINI PCI CONN.

Size Document Number Rev


G510-1-4-01 2.0

Date: Friday, November 09, 2001 Sheet 23 of 29


8 7 6 5 4 3 2 1
8 7 6 5 4 3 2 1

C292 C304 L26 C370 C398 VA VIN-CHG F2 VBA


J3 0.1UF-0805 0.1UF-0805 BLM41P600S-4516 0.1UF-0805 470PF D26 EC31QS03L D5025 6.5A TR/3216FF
1 DCIN DKVA
3
2 C411 VB-M
0.1UF-0805 Q28
MOJ-D21 L27 SI4435 SO-8 8 VB-M
BLM41P600S-4516 3 7
2 6
D C297 C357 C383 D25 EC31QS03L D5025 1 5 C537 C538 D
2200PF 0.1UF-0805 2200PF 0.1UF-0805 0.1UF-0805
R52
L35 VB-M D16 39K

4
J10 BLM41P600S-4516 A C
BATIN 1 2
6 L11 1 2 BLM21A60SPT-0805
5 L45 SMBCLK 28
1 2 BLM21A60SPT-0805 SMBDAT 1SS355 SOD323
4 TSEN-M SMBDAT 28 SOD323
3 C247 C244
TSEN-M 28 0.1UF-0805 VB-M
2 0.1UF-0805
1
D34 D19 C539 C540
SUYIN 25037A-06T1 5.6V-LL34 5.6V-LL34 0.1UF-0805 0.1UF-0805

ADAPTOR/BATTERY SELECT

VBA

VIN-CHG
DKVA
C543 C544 C578 C579 C580
0.1UFR-0805 0.1UF-0805 CAP-0805 CAP CAP

1
C + C59 + C79 C
1

68UF/25V CV-AX 15UF/25V SL


1

C315 + SMD 6.3*6.0 DIP 8*5


4.7UF/25V-1206 C323

2
0.1UF-0805
2

VA
R258 U24

1
2
3
33m OHM-2512 18 21
VCC VCC C350 Q29
R266 0 0.1UF-0805 SI4431 SO-8

2
1

P3878-INC1- 12
C296 C35 -INC1
OUT 20 P3878-OUT 4
0.1UF-0805 0.1UF-0805 R25 0 C336
P3878-INC1+ 13 19 P3878-VH 1 0.1UF-0805
2

2
+INC1 VH L13 R295 VB-M

5
6
7
8
P3878-INE1+ 9 C348 R264 15UH CDR104R 40m OHM-2512
+INE1 10000PF 10K CHGSW CHGSNS
FB2 5 P3878-FB2 1 2
R26 47K P3878-RT 17
RT

1
D28 + C80
2 P3878-OUTC2 EC21QS04 D5025
OUTC2

1
C53 0.1UF X7R 15UF/25V SL Z8

1
2 1 P3878-CS 22 R287 100K DIP 8*5 JCLOSE 10X10 MIL
P3878-VREF CS +
4 P3878-INE2-

2
R32 -INE2 C246
0 P3878-VREF P3878-OUTC1 R265 0R 68UF/25V CV-AX

2
2 1 6 VREF
B B
24 P3878-INC2+ SMD 6.3*6.0

2
+

R248 C331 +INC2


22.1K 1% 4.7UF/10V-1206 X5R 1 P3878-INC2-
-INC2
P3878-VREF P3878-INE2+ 3 11 P3878-OUTD R13 49.9K 1% R5 150K 1% P3878-001
R29 C321 +INE2 OUTD
R247 10K 6800PF 14 P3878-CTL
R273 10K 1% CTL
2 1 P3878-FB1 7 MP5V
FB1

1
12.1K 1% 23 C31
GND C307 R268 C302 0.1UF
P3878-OUTC1 10 2200PF 200K 0.1UF
OUTC1
15 P3878-FB3 2

2
R24 1
FB3 R261
100K 27K
P3878-INE- 8 16 P3878-INE3-
R23 MP5V -INE1 -INE3
10K 1%
R2 MB3878
1.3K 1% SSOP24 Q2 R6

C
R246 3904 10K
10K B
D

CHGM- 28
Q1
2N7002

E
G
R225 R7
C

10K 27K
B Q18
28 PRECHG- 3904
E

A
R226 A
27K

CHARGE ALPHA-TOP CORP.

Title
CHARGER CIRCUIT

Size Document Number Rev


G510-1-4-01 2.0

Date: Friday, November 09, 2001 Sheet 24 of 29


8 7 6 5 4 3 2 1
5 4 3 2 1

VBA

1
C683 C684 C685 C686 C723 VCC3 VCC2.5V U12 VCC5
R577 C719 C681 C682 15UF/25V-SL 15UF/25V-SL 10uF/25V-4532 10uF/25V-4532 10uF/25V-4532 AMS2501CS
VCC3 0-0805 2200PF 0.1UF-0805 0.1UF-0805 OS-CON DIP OS-CON DIP X5R X5R X5R 1 PWR_IN CTL_IN 8

1
R535 P1718-PGND 2 7
100K OUTPUT N/C
D D

1
U44 MAX1718 QSOP28 3 6
+ + OUTPUT ADJ/GND

2
2 1 P1718-V+ 1 28 P1718-DH 4 5
4 VR_ON V+ DH SENSE N/C
1

C692 1000PF

2
R540
120K C707 2 27 P1718-LX P1718-PGND C153 C144 1UF-0805
0.1UF SKIP/SDN LX Q58 Q59 47UF/6.3V-B 10UF/10V-1206 C128

1
R542 C693 SI4886 SO-8 SI4886 SO-8
R541 110K 1% 0-R0805 0.1UF-0805
2

2 1 P1718-TIME 3 26 P1718-BST 2 1
VCC2.5 FOR CPUCLK POWER 150mA
R543 100 1% TIME BST P1718-BST1 Z9

8
7
6
5

8
7
6
5
C694 2 1 P1718-VO P1718-SGND 1 2

1
1000PF

D
P1718-SGND 2 1 P1718-FB 4 25 P1718-D0 JCLOSE 20X20MIL
R602 FB D0 D51
2 1 12.1K 1% BAT54C
Add R602

G
VCC1.5V P1718-D1

3
5 24
R544 2.74K 1% NEG D1

1
2
3
4

1
2
3
4
9 VCORE1.4V- 2 1
C695 47PF
P1718-SGND 2 1 P1718-CC 6 23 P1718-D2 Delete R546 VCORE
CC D2
Q60 Q61 Q62 0.6UH CDEP134
R545 0 SI4404 SO-8 SI4404 SO-8 SI4404 SO-8 L49 3
P1718-VDD 2 1 P1718-S0 7 22 P1718-D3 2 1 P1718-VCORE
S0 D3
1

C P1718-VO C

8
7
6
5

8
7
6
5

8
7
6
5

1
R548 0 C699 C700

C
R547 P1718-REF 1 P1718-S1 P1718-D4 MP5V

D
2 8 21 + +
20-0805 S1 D4
R550 C696 C698

1
0 0.1UF 2200PF
2

2
P1718-VCC P1718-OVP# R551 680UF/2.5V-E 680UF/2.5V-E

G
9 20 2 1
VCC OVP

A
0-R0805
1

C701 R552 0R R553

1
2
3
4

1
2
3
4

1
2
3
4
0.22UF-0805 2 1 0
P1718-TON 19P1718-ZMODE

2
10 2 1
TON ZMODE
2

2 1

1
C703 C702 C706 D52
0.22UF-0805 R554 0R 1UF/10V-0805 1000PF EC31QS03L D5025
P1718-SGND 2 1 P1718-REF 11 18
REF SUS

2
R555 121K 1%
2 1
P1718-ILM 12 17 P1718-VDD
ILIM VDD U45 VCC1.5V
2 1
VCC3 FAN2502 SOT23-5
GMUXSEL 9
R556 100.0K 1%
VCC3 R557 P1718-POS 13 16 P1718-DL 1 5
10K POS DL VIN VO

1
2 1

1
R558

1
P1718-VGATE 14 15 P1718-PGND 2 137 1%
19 VGATE VGATE GND GND C705
R559 1UF/10V-0805

2
B 1K 1% B

2
C704 3 4 1 2
2.2UF/16V-0805 EN ADJ

VCC3 VCORE
VCC1.5V C688 C689 C691
VCC1.5 FOR CPU PULL HI 15mA
82UF/2.5V-D 330UF/2.5V-D 82UF/2.5V-D
1

1
R560 + + + + +
59 1% C38
CAP-0805 U8 RC1587MC-TO263
8
7
6
5

2
P1718-POS RP56 R565 C687 C690 VCC3 VTT
2

1KX4 1K 330UF/2.5V-D 330UF/2.5V-D


1

3 2
R566 VIN VO
1.47KR 1%
2

1
1
2
3
4

ADJ

1
C104
2

C103 R315
VD0 R567 100K P1718-D0 10UF/16V-B 100 1%-0805 R314 47UF/6.3V-B

2
4 VD0 1 2
VD1 R568 100K P1718-D1 0-0805

2
1 2
4 VD1 VD2 R569 100K P1718-D2
4 VD2 1 2 1 2
VD3 R570 1 2 0 P1718-D3
2,4 DPSLP- 4 VD3 VD4 R571 0 P1718-D4
1 2 VTT (1.25V) POWER 3A
4 VD4
A A

ALPHATOP CORP.
Title
CPU POWER

Size Document Number Rev


G510-1-4-01 2.0

Date: Friday, November 09, 2001 Sheet 25 of 29


5 4 3 2 1
8 7 6 5 4 3 2 1

P1632-ON3 A C SDPWR- 27
D37
1SS355 SOD323
P1632-CSL3 SOD323

D P1632-CSH3 D
Q19 VCC3
SI4800 SO-8 C28 VBA
+12VA SO-8 15UF/25V SL

1
1 2 1 2 1 S D 8 DIP 8*5
R282 ON3_5
2 7

1
R283 NI R279 R280 3 6 C277
1

1
Z5 0 R0603 U27 10K 1M 4 5 0.1UF-0805
JOPEN 50X60 MIL R0603 2 MAX1632 SSOP28 R0603 R0603 G C0805 C306 C305 + C293
SSOP-28 220UF/4V-D

2
1 2
0.1UF 0.1UF

2
1 CSH3 ON3 28
C19
2

2
SMD 6.3*7.7
C367 2 27 P1632-DH3 Q20 100UF/25V CV-AX R27
1
1

C368 0.1UF-0805 CSL3 DH3 SI4800 SO-8 20m OHM R2512

1
10UF/16V-B C0603 C358 SO-8 R2512
TE02 P1632-FB3 3 26 P1632-LX3 0.1UF-0805 1 S D 8 1 2 1 2
FB3 LX3
2

C0805
2

2 7 3 4

2
3 6
P1632-12OUT 4 25 P1632-BST3 4 5 L1
12OUT BST3 10UH CDRH127 +3VAUX
G
C

SMD 12*12*8
B P1632-VDD 5 24 P1632-DL3 2 1
VDD DL3

C
C
Q32 VBA D21

1
R59 P1632-SYNC P1632-SHDN- EC10QS04 D5025 C294 C281 Z1
E

2SA1797 SOT-89 6 23
ON3_5 10K SYNC SHDN P1632-V+ D24 D5025 C298 C319 C338 2200PF 0.1UF JOPEN 120X60 MIL
SOT-89 1 2
R0603 1SS355 SOD323 220UF/4V-D 220UF/4V-D 56UF/4V-D C0603 C0603

2
C64 2 P1632-ON5 C375 R292 SOD323 POSCAP TPB POSCAP TPB SP CAP

2
1 7 22
ON5 V+

A
A
C 0.1UF R41 2.2UF-1206 10 1%-0805 C
C0603 1M C1206 R0805 VL

2
2
1
2 1 R0603 8 21 D22

1
A

1
GND VL EC10QS04 D5025 C379 C353 C328 C332 C334
1 2
D13 D5025 150UF/6.3V-D 150UFR/6.3V-D 47UF/6.3V-D 2200PF 0.1UF
P1632-REF 9 1SS355 SOD323 POSCAP TPB SP CAP C0603 C0603 MP5V

1
2 1 20 1 2 POSCAP TPB

1
REF PGND

2
R64 C389 SOD323

2
10 C390 X5R 4.7UF/25V-1206 Q21 2 1

C
R0603 4.7UF/10V-1206 VL 10 19 P1632-DL5 C1206 SI4800 SO-8
C1206 SKIP DL5 SO-8 Z4
1 2 G
4 5 T1 JOPEN 120X60 MIL
uPOK 11 18 P1632-BST5 3 6 10UH 1-1.8T CDRH127B
RESET BST5
1

C78 2 7 SMD 12*12*8


0.1UF-0805 1 8 1 3 1 2

1
S D
C559 C0805 12 17 P1632-LX5 C408
4.7UF/25V-1206 FB5 LX5 0.1UF-0805 R35 VCC5
2

4 2
C1206 C0805 15m OHM R2512

2
1 2 13 16 P1632-DH5 R2512
CSL5 DH5
Q22
1 2 14 15 P1632-SEQ SI4800 SO-8 VBA C512 R495
CSH5 SEQ

1
SO-8
G 15UF/25V SL 0-0805
C300 R309 4 5 DIP 8*5 +
4.7UF/25V-1206 0 3 6 C330

1
C1206 R0603 2 7 C20 C45 C40 100UF/10V-D
15UF/25V SL 0.1UF 0.1UF

2
1 2 1 2 1 S D 8
C A DIP 8*5
R308

2
D1 0R
B VL EC11FS2 D5025 P1632-CSH5 R0603 B
D5025
P1632-CSL5
1

R405 P1632-12VIN
0

VL: 300K Q9
uPOK 28
P1632-SYNC DTA114EKA SOT-23
2

GND: 200K SOT-23


1

D12 R50 E C ON3_5


R406 5.6V-LL34 10K VL
0R LL-34 R0603
P1632-SHDN-A C 1 2
VBA
2

C76
2

R53 1UFR-0805 D15


100K
C B

1 2 A C PSON- 9,20,27
R0603
DKVA C0805
D48 1SS355 SOD323
1

C A B SOD323

12V-LL34
MP5V/3VAUX/+12VA SUPPLY LL-34

Q8
E

A
DTC114EKA SOT-23 A
SOT-23

ALPHA-TOP CORP.

Title
DC-DC +5V/+3V/+12V

Size Document Number Rev


G510-1-4-01 2.0

Date: Friday, November 09, 2001 Sheet 26 of 29


8 7 6 5 4 3 2 1
8 7 6 5 4 3 2 1

BETWEEN C275 AND C172 H4


MP5V H5
1
P5001-VCC R255 C542 .1UF 2 9 1
E C

C
1

1
2
3
C21 R285 100KR 3 8 2 9
220UF/6.3V CV-AX + Q4 R284 2K Q23 4 7 3 8
B 6 4 7

1
SMD 6.3*6 R48 3906 20 1%-0805 Q6 SI4431 SO-8 C541 .1UF
5 6

B
10K C339 3904
2.2UF-0805 P5001-VG 5

E
2
4
C545 .1UF

2
R47
D 5.6K 1 2 D

E
PSON- Q5 HOLEC315D103B197

5
6
7
8
C A
9,20,26 PSON- B 3906 L2 15UH CDR104R Z3 JOPEN 80X60 MIL HOLEC315D103B197

2
D11 1SS355 SOD323 SOD323 P5001-SW 1 2 P5001-VCC1.8V 2 1 VCC1.8V

VCC

C
2 1 P5001-SCP 5 1 P5001-VO
C318 1UF-0805 SCP VO C325 R39 Z7 JCLOSE 10X10 MIL H12
0.01UF R272 D20 R36 2K H13
2 1 2 1 1
C327 0.22UF P5001-DTC 6 5.1K EC21QS04 D5025 10KR 1%
DTC 2 9 1
COMP 3 P5001-COMP 1 2 3 8 2 9

1
R277 56K
4 7 3 8

1
P5001-RT 7 4 P5001-FB C50 + + C172

GND
R286 43K RT FB 4700PF 220UF/4V-D 6 4 7
5 6
C275 5

2
U23
TL5001 220UF/4V-D

8
R30 R31 HOLEC315D103B197
R420 13.0K 1% 100K HOLEC315D103B197

1
C513 10K 1%
0.1UF

2
VCC1.8V SUPPLY 2.0A FOR SIS CHIP
C C

+3VALWAYS VBA +1.8VALWAYS SIS_ALS


U26 U28
LP2951 R294 LP2951 R293
SO8 10 1%-0805 SO8 10 1%-0805
1 8 1 8
VOUT VIN VOUT VIN
2 7 2 7

1
OFF-ALS SENSE FB OFF-ALS SENSE FB
3 SD TAP 6 3 SD TAP 6
1

4 5 4 5 +
R61 GND ERROR + R300 GND ERROR C378
169K 1% C354 51.1K 1%
1

1
4.7UF/25V-1206 4.7UF/25V-1206

2
C381 + C391 +
2

10UF/16V-B 10UF/16V-B
2

2
R60 R305
100K 1% 110K 1%

B B
H9 H16 H15 H11
C236D86 C236D86 C236D86 C236D86
+3VALWAYS SUPPLY 100mA +1.8VALWAYS SUPPLY 100mA

1
VL H2 H10 H17 H6
C236D86 C236D86 C236D86 C236D86
4

C81 0.1UF C0603 Q7B

1
5
VBA 1 2 SI1553DL_SOT363
13
1
1

U6 R70 R71
R75 MAX6305UK00D3 SOT23-5 100K 3.9K
820K 1% R0603 R0603
R0603 3 IN1 OFF-ALS
2

VCC 5
2

4
6

IN2
2 GND
1

1 2 Q7A
C82 R74 RESET# SI1553DL_SOT363
A A
0.1UF 100K 1%
R0603
1
2

ALPHA-TOP CORP.
26 SDPWR-

Title
DC-DC VCC 1.8V/ALWAYS POWER

BATTERY LOW PROTECT Size Document Number


G510-1-4-01
Rev
2.0

Date: Friday, November 09, 2001 Sheet 27 of 29


8 7 6 5 4 3 2 1
8 7 6 5 4 3 2 1

MP5V
MXIN
MXOUT

1 2 R396

Y4 8MHZ 100K
C248 49S SMD
33PF C249
33PF ACINT-

VCC5
D D
MP5V
Q41

D
2N7002 DKVA
R470 D35
R404
R205 10K G
10K MP5V

S
MP5V U19 10K-0805
FAN_PWM 12V-LL34
1 P44/INT3/PWM P45 48 SUS_ST- 18
ACINT- 2 47 R403
P43/INT2 P46 MP5V
3 P42/INT1 P47 46 PMECON 23
R215 R217 R213 R400 BMINT- 4 27K
10K 10K 10K 10K P41/INT0
5 P40/CNTR1
6 44 R202
24 PRECHG- P27/CNTR0/SRDY VREF 27K
7 P26/SCLK VCC 43
8 C234
P25/SCL2/TXD 0.1UF
9 P24/SDA2/RXD
SMBCLK 10 42 0R R200
24 SMBCLK P23/SCL1 P30/AN0 THROSMI- 18
SMBDAT 11 41 0R R201
24 SMBDAT P22/SDA1 P31/AN1 SHDSMI- 18
13 P21/XCIN P32/AN2 40
14 P20/XCOUT P33/AN3 39 VBTM
38 MP5V
P34/AN4
19 P17/LED4 P35/AN5 37
20 36 VTEMPM
18 CHG_LED P16/LED3 P36/AN6
18 BLLSMI- 21 P15/LED2 P37/AN7 35
22 R206
18 SMALERT- P14/LED1 10K
18 CHGOK_LED- 23 P13/LED0 P00 34
C 24 33 C
P12 P01
16 BATTONE 25 P11 P02 32
26 P10 P03 31
P04 30 CHGM- 24
15 29 +12V
26 uPOK MXIN /RESET P05 R450 10K
16 XIN P06 28 VCC5
MXOUT 17 27 SMBCLK
XOUT P07
12 CNVSS SMDA 2,18
R220 18 45 Q40 R395
10K VSS AVSS 33K

D
M37515(OTP) 2N7002

S
G G
Q39

S
MP5V R402 2N7002

D
JP4 MP5V 100K
2 2,18 SMCLK SMBDAT
1
R392
FAN1 20K
ON_OFF 6
D33
A R393
VCC5 TSEN-M C VTEMPM
Q36 VCC5 NC
S D 1K

1
R355 10K VB-M
B BAT54 C497 C498 B
C471 R375 0.1UF 0.1UF
NDS356P + 10UF/10V-A R210
R384 15K
G

FAN_PWM
2

2
100K

E
10K
B Q17
R383 3906
470

C
R370 R354 R209
100K 100K 20K
U31B VBM
R196
8

D32 MP5V
10K
A + 5
C 7 VBTM
NCNC 6
- R198
LM358 MP5V
30.1K 1%
1

1
MMBD4148 R207

C
R397 C237 R203 10K R197 C228
4

R214
R357 20K 31.6K 1% 0.1UF 2K B Q16 10K 1% 0.1UF
C456 680K 3904
2

2
8

0.1UF

E
R360 TSEN-M 3 + R208
10K 24 TSEN-M BMINT- 27K
1
2 -
U20A
LM393
1

C501 R218
C464 100K 1%
0.1UF
A 2200PF K-X7R A
2

5 +
7
6 -
GND POWER GND U20B ALPHA-TOP CORP.
LM393

Title
MICRO PROCESSOR

Size Document Number Rev


G510-1-4-01 2.0

Date: Friday, November 09, 2001 Sheet 28 of 29


8 7 6 5 4 3 2 1
8 7 6 5 4 3 2 1

REVISION HISTORY
D D
REV B. INITIAL RELEASE

REV.B-2 ADD TVOUT,LAN,MODIFY CLOCK GENERATOR

REV 1.0 Modify for differential CPU clock, headphone from OPA

REV 2.0 INTERCHANGE SOUND R,L SINGEL

REV 2.A To adjust quality of sound.

C C

B B

A A

ALPHA-TOP CORP.

Title
REVISION HISTORY

Size Document Number Rev


G510-1-4-01 2.A

Date: Friday, November 09, 2001 Sheet 29 of 29


8 7 6 5 4 3 2 1

Вам также может понравиться