Вы находитесь на странице: 1из 148

Indonesia Australia Partnership for Skills Development

Batam Institutional Development Project

Paket Pembelajaran dan Penilaian


Kode Unit : BSDC-0161

MEMPERBAIKI KERUSAKAN PADA SISTEM PLC SIEMENS


(Repair Faults in Specific Brand PLC Systems Siemens)

(13 May 2002 )

Daftar Isi
BAB 1 PENGANTAR.........................................................................................................1 Selamat Berjumpa di Buku Pedoman ini !..................................................................1 Persyaratan Minimal Kemampuan Membaca, Menulis & Berhitung...........................1 Definisi.......................................................................................................................1 Berapa Lama Mencapai Kompetensi ?.......................................................................2 Simbol........................................................................................................................2 Terminologi.................................................................................................................2 BAB 2 ARAHAN BAGI PELATIH .....................................................................................5 Peran Pelatih..............................................................................................................5 Strategi Penyajian......................................................................................................5 Alat Bantu yang Dibutuhkan untuk Menyajikan Kompetensi Ini..................................6 Peraturan....................................................................................................................6 Sumber-sumber untuk Mendapatkan Informasi Tambahan........................................6 BAB 3 STANDAR KOMPETENSI.....................................................................................7 Judul Unit...................................................................................................................7 Deskripsi Unit ............................................................................................................7 Kemampuan Awal .....................................................................................................7 Elemen Kompetensi dan Kriteria Unjuk Kerja ............................................................8 Variabel......................................................................................................................9 Pengetahuan dan Keterampilan Pokok....................................................................10 Konteks Penilaian.....................................................................................................10 Aspek Penting Penilaian...........................................................................................10 Keterkaitan dengan Unit Lain...................................................................................11 Kompetensi Kunci yang akan Didemonstrasikan dalam Unit Ini...............................11 Tingkat Kemampuan yang Harus Ditunjukkan dalam Menguasai Kompetensi ini....11 BAB 4 A B C STRATEGI PENYAJIAN .....................................................................................12 Rencana Materi.................................................................................................12 Cara Mengajarkan Standar Kompetensi ..........................................................14 Materi Pendukung untuk Pelatih ......................................................................19 Lembar Informasi.........................................................................................20 Tugas...........................................................................................................88 Transparansi..............................................................................................107 CARA MENILAI UNIT INI....................................................................................134 Apa yang Dimaksud dengan Penilaian ?................................................................134 Apa yang Dimaksud dengan Kompeten?...............................................................134 Pengakuan Kompetensi yang Dimiliki.....................................................................134 Kualifikasi Penilai....................................................................................................134 Ujian yang Disarankan...........................................................................................135 Checklist yang Disarankan Bagi Penilai.................................................................145 Lembar Penilaian ...................................................................................................146
Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

BAB 5

Bab 1

Pengantar

BAB 1

PENGANTAR

Selamat Berjumpa di Buku Pedoman ini !


Buku Paket Pembelajaran dan Penilaian ini menggunakan sistem pelatihan berdasarkan kompetensi untuk mengajarkan keterampilan ditempat kerja, yakni suatu cara yang secara nasional sudah disepakati untuk penyampaian keterampilan, sikap dan pengetahuan yang dibutuhkan dalam suatu proses pembelajaran. Penekanan utamanya adalah tentang apa yang dapat dilakukan seseorang setelah mengikuti pelatihan. Salah satu karakteristik yang paling penting dari pelatihan yang berdasarkan kompetensi adalah penguasaan individu secara aktual di tempat kerja. Pelatih harus menyusun sesi-sesi kegiatannya sesuai dengan : kebutuhan peserta pelatihan persyaratan-persyaratan organisasi waktu yang tersedia untuk pelatihan situasi pelatihan.

Strategi penyampaian dan perencanaan sudah dipersiapkan oleh pelatih untuk peserta pelatihan. Masalah yang disarankan akan memberikan suatu indikasi tentang apa yang harus dicantumkan dalam program tersebut untuk memenuhi/mencapai standar kompetensi. Strategi pembelajaran dan penilaian yang dipersiapkan dalam unit ini tidaklah bersifat wajib namun digunakan sebagai pedoman. Peserta pelatihan didorong untuk memanfaatkan pengetahuan dan pengalaman industri mereka. Contoh-contoh produk industri lokal atau hasil pengembangan sumber-sumber yang mereka miliki, dapat membantu dalam menyesuaikan materi dan memastikan relevansi pelatihan.

Persyaratan Minimal Kemampuan Membaca, Menulis & Berhitung


Untuk melaksanakan pelatihan secara efektif dan agar dapat mencapai standar kompetensi diperlukan tingkat kemampuan minimal dalam membaca, menulis dan menghitung berikut: Kemampuan membaca dan menulis Kemampuan menghitung Kemampuan baca, interpretasi dan membuat teks. Kemampuan menggabungkan informasi untuk dapat menafsirkan suatu pengertian Kemampuan minimal untuk menggunakan matematika dan simbol teknik, diagram dan terminologi dalam konteks umum dan yang dapat diprediksi serta dimungkinkan untuk mengkomunikasikan keduanya yaitu antara matematik dan teknik.

Definisi
Seseorang yang berkeinginan untuk memperoleh kompetensi seharusnya berkenan menamakan dirinya sebagai peserta latih. Dalam situasi pelatihan, anda dapat ditempatkan sebagai siswa, pelajar atau sebagai peserta, sehingga seorang pengajar kompetensi ini adalah sebagai pelatih. Sebaliknya, dalam situasi pelatihan anda juga dapat ditempatkan sebagai guru, mentor, fasilitator atau sebagai supervisor.

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 1

Bab 1

Pengantar

Berapa Lama Mencapai Kompetensi ?


Dalam sistem pelatihan berdasarkan kompetensi, fokusnya harus tertuju kepada pencapaian suatu kompeterisi/keahlian, bukan pencapaian pada pemenuhan waktu tertentu; dengan demikian dimungkinkan peserta pelatihan yang berbeda memerlukan waktu yang berbeda pula untuk mencapai suatu kompetensi tertentu.

Simbol
Dalam keseluruhan paket pelatihan akan kita lihat beberapa simbol. Berikut penjelasan tentang simbol : Simbol Keterangan Handout ( Pegangan Peserta ) Overhead Transparansi yang dapat digunakan dalam penyampaian materi pelatihan Penilaian kompetensi yang harus dikuasai Tugas / kegiatan atau aktivitas yang harus diselesaikan.

HO OHT Penilaian Tugas

Terminologi
Akses dan Keadilan Mengacu kepada fakta bahwa pelatihan harus dapat diakses oleh setiap orang tanpa memandang umur, jenis kelamin, sosial, kultur, agama atau latar belakang pendidikan. Penilaian Proses formal yang memastikan pelatihan memenuhi standar-standar yang dibutuhkan oleh industri. Proses ini dilaksanakan oleh seorang penilai yang memenuhi syarat (cakap dan berkualitas) dalam kerangka kerja yang sudah disetujui secara Nasional. Penilai Seseorang yang telah diakui/ditunjuk oleh industri untuk menilai/menguji para tenaga kerja di suatu area tertentu. Kompeten Mampu melakukan pekerjaan dan memiliki keterampilan, pengetahuan dan sikap yang diperlukan untuk melaksanakan pekerjaan secara efektif ditempat kerja serta sesuai dengan standar yang sudah ditetapkan. Pelatihan Berdasarkan Kompetensi Pelatihan yang berkaitan dengan kemampuan seseorang dalam menguasai suatu kompetensi/ keahlian secara terukur dan mengacu pada standar yang sudah ditetapkan. Aspek Penting Penilaian Menerangkan fokus penilaian dan poin-poin utama yang mendasari suatu penilaian. Konteks Penilaian Menetapkan dimana, bagaimana dan dengan metode apa penilaian akan dilaksanakan. Elemen Kompetensi
Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc Page 2

Bab 1

Pengantar

Elemen atau Sub-Kompetensi adalah keterampilan-keterampilan yang membangun suatu unit kompetensi. Acuan Penilaian Acuan penilaian adalah garis pedoman tentang bagaimana sebuah unit kompetensi harus dinilai. Adil Tidak merugikan para peserta tertentu. Fleksibel Tidak ada pendekatan tunggal terhadap penyampaian dan penilaian unjuk kerja dalam sistem pelatihan berdasarkan kompetensi. Penilaian Formatif Kegiatan penilaian berskala kecil yang dilakukan selama pelatihan, yaitu untuk membantu dalam memastikan bahwa pelajaran dilaksanakan secara baik dan adanya umpan balik kepada peserta tentang kemajuan yang mereka capai. Kompetensi Kunci Kompetensi yang menopang seluruh unjuk kerja dalam suatu pekerjaan. Ini meliputi: mengumpulkan, menganalisis, mengorganisasikan dan mengkomunikasikan ide-ide dan informasi, merencanakan dan mengorganisasikan aktifitas, bekerja dengan orang lain dalam sebuah tim, memecahkan masalah penggunaan teknologi, menggunakan ide-ide teknikmatematis . Kompetensi-kompetensi ini digolongkan ke dalam tingkat yang berbeda sebagai berikut: Tingkat
1 2

Karakteristik
Melakukan tugas-tugas rutin berdasarkan prosedur yang baku dan tunduk pada pemeriksaan kemajuannya oleh supervisor. Melakukan tugas-tugas yang Iebih luas dan lebih kompleks dengan peningkatan kemampuan untuk pekeijaan yang dilakukan secara otonom. Supervisor melakukan pengecekan-pengecekan atas penyelesaian pekerjaan. Melakukan aktifitas-aktifitas yang kompleks dan non-rutin, yang diatur sendiri dan bertanggung jawab atas pekerjaan orang lain.

Strategi Penyajian Strategi panyajian adalah dengan menyediakan informasi yang diperlukan tentang bagaimana melaksanakan pelatihan berdasarkan program yang dilaksanakan di tempat kerja dan/atau di tempat pelatihan/ organisasi yang bersangkutan. Keterkaitan dengan Unit Lain Menerangkan peran suatu unit dan tempatnya dalam susunan kompetensi yang ditetapkan oleh industri. Hal ini juga memberikan pedoman tentang unit lain yang dapat dinilai bersama. Standar Kompetensi Nasional Kompetensi-kompetensi yang sudah disepakati secara nasional dan standar-standar penampilan kerja yang dijadikan acuan oleh segala fihak dalam melakukan suatu pekerjaan.

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 3

Bab 1

Pengantar

Kriteria Unjuk kerja Kriteria-kriteria atau patokan yang digunakan untuk menilai apakah seseorang sudah mencapai suatu kompetensi dalam suatu unit kompetensi. Variabel Penjelasan tentang rincian tempat pelatihan dengan perbedaan konteks yang mungkin dapat diterapkan pada suatu unit kompetensi tertentu. Reliabel Menggunakan metode-rnetode dan prosedur-prosedur yang menguatkan terhadap standar kompetensi dan tingkatannya diinterpretasikan serta diterapkan secara konsisten kepada seluruh konteks dan seluruh peserta pelatihan. Valid Penilian terhadap fakta-fakta dan kriteria unjuk kerja yang sama akan menghasilkan hasil akhir penilaian yang sama dari penilai yang berbeda. Pengakuan Kemampuan yang Dimiliki (RCC- Recognition of Current Competence) Pengakuan akan keterampilan, pengetahuan dan kemampuan sesseorang yang telah dicapainya. (lihat RPL) Pengakuan Terhadap Pengalaman Belajar (RPL- Recognition of Prior Learning) Pengakuan terhadap hasil belajar sebelum mempelajari suatu unit kompetensi untuk mendukung pencapaian unit kompetensi tersebut. Hal tersebut biasanya adalah kompetensi yang berkaitan dengan standar kompetensi industi dan juga berkaitan dengan pembelajaran dan pelatihan sebelumnya. (lihat RCC) Penilaian Sumatif Penilaian ini dilakukan setetah pelatihan unit kompetensi selesai, yakni untuk memastikan bahwa peserta pelatihan sudah mencapai kriteria unjuk kerja. Peserta Orang yang menerima / mengikuti pelatihan. Pelatih Orang yang memberikan pelatihan. Pengetahuan dan Keterampilan Pokok Definisi atau uraian tentang keterampilan dan pengetahuan yang dibutuhkan untuk mencapai suatu keahlian/keterampilan pada tingkat yang telah ditetapkan Deskripsi Unit Gambaran umum tentang program pembelajaran/ kompetensi yang hendak dicapai.

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 4

Bab 2

Arahan Bagi Pelatih

BAB 2

ARAHAN BAGI PELATIH

Peran Pelatih
Salah satu peran anda sebagai pelatih atau guru adalah memastikan standar pelayanan yang tinggi melalui pelatihan yang efektif. Untuk memastikan bahwa anda siap bekerja pada kompetensi ini dengan peserta pelatihan, pertimbangkanlah pertanyaan-pertanyaan berikut ini: Seberapa yakin anda tentang pengetahuan dan ketrampilah anda sendiri yang dibutuhkan untuk menyampaikan setiap elemen? Apakah ada informasi atau peraturan baru yang mungkin anda butuhkan untuk diakses sebelum anda memulai pelatihan? Apakah anda merasa yakin untuk mendemonstrasikan tugas-tugas praktik? Apakah anda akan sanggup menerangkan secara jelas tentang pengetahuan pendukung yang dibutuhkan oleh peserta pelatihan untuk melakukan pekerjaan mereka secara tepat? Apakah anda menyadari ruang Iingkupan situasi industri dimana kompetensi ini mungkin diterapkan? Apakah anda menyadari tentang bahasa, kemampuan membaca dan menulis serta keterampilan memahami dan menggunakan matematika peserta pelatihan yang dibutuhkan untuk mendemonstrasikan kompetensi dalam standar kompetensi ini ? Apakah anda menyadari tentang kemampuan membaca gambar peserta pelatihan yang dibutuhkan untuk mendemonstrasikan kompetensi dalam standar kompetensi ini ? Sudahkah anda pertimbangkan isu-isu yang wajar dan dapat diterima dalam merencanakan penyampaian program pelatihan ini?

Strategi Penyajian
Variasi kegiatan pelatihan yang disarankan untuk penyampaian kompetensi ini meliputi : pengajaran ( tatap muka ) tugas-tugas praktik tugas-tugas proyek studi kasus melalui media (video, digital projector, referensi, dll ) kerja kelompok bermain peran dan simulasi. kunjungan/ kerja industri

Pelatih harus memilih strategi pelatihan yang Iayak untuk kompetensi yang sedang diberikan, baik situasi maupun kebutuhan pesertanya. Contohnya, jika praktik industri atau magang tidak memungkinkan, beragam simulasi, demonstrasi dan penggunaan multi media mungkin cukup memadai.

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 5

Bab 2

Arahan Bagi Pelatih

Alat Bantu yang Dibutuhkan untuk Menyajikan Kompetensi Ini


Ruang kelas atau ruang belajar memenuhi syarat minimum untuk penyampaian teori kepada peserta pelatihan, papan tulis, OHP dan kelengkapannya, flip chart dan kelengkapannya, dan alat-alat lain yang diperlukan.

Peraturan
Perhatikan peraturan-peraturan atau hukum yang relevan serta panduan yang dapat mempengaruhi kegiatan anda, dan yakinkan bahwa peserta pelatihan anda mengikutinya.

Sumber-sumber untuk Mendapatkan Informasi Tambahan


Sumber-sumber informasi meliputi beberapa kategori berikut ini : Sumber bacaan yang dapat digunakan : Judul: Pengarang: Penerbit: Tahun Terbit: Judul: Pengarang: Penerbit: Tahun Terbit Judul: Pengarang: Penerbit: Tahun Terbit Programmable Logic ControllerWelding Frank D. Petruzella Glencoe 1993 Industrial Electronic and robotics Schuler, Mc Namee McGraw Hill Book Company. 1986 S7-200 Programmable manual Siemens Siemens 2000

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 6

Bab 3

Standar Kompetensi

BAB 3

STANDAR KOMPETENSI

Dalam sistem pelatihan, Standar Kompetensi diharapkan dapat menjadi panduan bagi peserta pelatihan atau siswa untuk dapat : mengidentifikasikan apa yang harus dikerjakan peserta pelatihan mengidentifikasikan apa yang telah dikerjakan peserta pelatihan memeriksa kemajuan peserta pelatihan meyakinkan bahwa semua elemen ( Sub-Kompetensi ) dan kriteria unjuk kerja telah dimasukkan dalam pelatihan dan penilaian.

Judul Unit
Memperbaiki Kerusakan pada Sistem PLC Model Tertentu

Deskripsi Unit
Unit kompetensi ini bertujuan untuk melatih ketrampilan didalm memperbaiki kerusakan pada suatu jenis PLC tertentu. Untuk itu diperlukan pemahaman dari perangkat keras dan perangkat lunak dari PLC itu sendiri. Disamping itu unit ini juga melatih siswa agar dapat menggunakan system manual dari PLC itu sendiri sebagai sumber pokok informasi yang bisa didapatkan dilapangan. Setiap PLC disertai dengan suatu buku manual/petunjuk yang berisi informasi detail dari berbagai aspek untuk menggunakan PLC tersebut pada aplikasi-aplikasi sesuai dengan kebutuhan dari pemakai. Buku manual tersebut akan merupakan sumber utama dari informasi yang bisa didapatkan pada pemakaian di industri. Seorang engineer atau technician harus mempunyai kemampuan untuk menterjemahkan isi dari manual tersebut dan menganalisa sistem yang yang mengalami kerusakan berdasarkan isi dari manual tersebut sebagai dasar/pijakan pertama didalam proses pencarian kerusakan. Unit ini berorientasi pada analisa cara kerja dari aplikasi-aplikasi pada PLC. Teori yang dijelaskan hanya merupakan kesimpulan atau garis besar dari fungsi-fungsi dan fasilitas yang ada pada PLC. Penjelasan detailnya dapat dipelajari dari manual PLC itu sendiri.

Kemampuan Awal
Peserta pelatihan harus telah memiliki kemampuan awal berikut : Pengertian tentang PLC tingkat lanjut Pengetahuan tentang elektronika digital Pengetahuan tentang penggunaan komputer.

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 7

Bab 3

Standar Kompetensi

Elemen Kompetensi dan Kriteria Unjuk Kerja


Sub Kompetensi / Elemen 1.0 Mendalami perangkat keras pada PLC jenis tertentu Kriteria Unjuk Kerja Mendalami perangkat keras PLC jenis tertentu yang berhubungan dengan : 1.1 Panduan dasar pembuatan suatu sistem PLC dan aplikasinya Metoda Pemrosesan I/O Konsep pemrograman, bahasa pemrograman dan editor Waktu telusuran (Scan cycle) dan Waktu Eksekusi Memori, tipe data dan model pengalamatan.

1.2 Metoda Program Pengendalian 1.3 1.4 1.5 1.6

1.7 Perangkat keras untuk komunikasi dan jaringan 2.0 Penggunaan perangkat lunak dan kumpulan instruksi pada PLC 2.1 Perangkat lunak yang berisi program untuk pengoperasian PLC tersebut diinstalasi pada komputer dan dicoba untuk menjalankan beberapa program sederhana sebagai pengenalan penggunaan perankat lunak tersebut. Instruksiinstruksi yang ada dibandingkan dengan instruksi PLC secara umum 3.1 Beberapa contoh pemorograman untuk aplikasi praktis dijalankan untuk memastikan siswa mengerti tentang penggunaan perangkat keras dan metoda pemrograman untuk menjalankan perangkat keras tersebut. 4.1 Prosedur pencarian kerusakan dijelaskan. 4.2 Pencarian kerusakan untuk aplikasi praktis dipraktekan dan dicoba.

3.0 Membuat program untuk applikasi praktis dengan menggunakan PLC jenis tertentu. 4.0 Mencari kerusakan pada aplikasi praktis.

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 8

Bab 3

Standar Kompetensi

Variabel
Unit ini dipakai pada semua lingkup pekerjaan sektor dari bidang elektronika, kelistrikan dan mekatronika. Unit ini sesuai dengan aplikasi pada industri manufaktur dan bidang perbaikan dan perawatan. (a) Tingkat kesulitan pemograman sesuai dengan taraf kelompok yang ditentukan (b) Kerusakan yang terjadi berada pada tingkat sementara (hilang timbul) atau keseluruhan (selalu). (c) PLC yang digunakan dari jenis (merek) tertentu yang banyak dipakai pada industri. (d) Keselamatan kerja dan kesehatan dibahas tetapi tidak terbatas pada : Pemakaian pakaian kerja Pengetahuan tentang bahaya tersengat arus listrik Pengetahuan prosedur praktis dalam bekerja dengan komponen kelistrikan.

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 9

Bab 3

Standar Kompetensi

Pengetahuan dan Keterampilan Pokok


Keahlian dan pengetahuan dasar
Untuk meraih kompetensi, bukti dari keterampilan dan pengetahuan di bidang-bidang berikut ini dibutuhkan: Pemahaman Spesifikasi perangkat keras PLC Spesifikasi perangkat keras PLC yang berhubungan dengan : Panduan dasar pembuatan suatu sistem PLC dan aplikasinya Metoda Program Pengendalian Metoda Pemrosesan I/O Konsep pemrograman, bahasa pemrograman dan editor Waktu telusuran (Scan cycle) dan Waktu Eksekusi Memori, tipe data dan model pengalamatan

Menggunakan Alokasi memori pada PLC Perangkat keras untuk komunikasi dan jaringan

Menguasai Penggunaan Perangkat lunak dan kumpulan instruksi pada PLC. Kumpulan instruksi pada PLC Perangakat lunak. program untuk applikasi praktis dengan menggunakan PLC jenis Menganalisa program dan mencari kerusakan secara sistematis.

Pembuatan tertentu.

Konteks Penilaian
Unit ini harus dinilai pada waktu kerja atau pada pelatihan. Penilaian harus mencakup demonstrasi praktis ditambah bermacam metode untuk menilai pengetahuan dasar.

Aspek Penting Penilaian


Fokus khusus dari unit ini akan bergantung pada sektor industri. Program pelatihan prakejuruan dapat mengandung cakupan dari seluruh sektor industri. Lihat pada: 1. 2. 3. 4. Subjek ini idealnya diajarkan menggunakan sebuah lingkunngan teori/praktik terintegrasi. Penekanan pada aplikasi praktis penggunaan PLC Aplikasi tersebut harus berhubungan dengan analisa, perbaikan dan perawatan sistem PLC pada lingkungan industri elektronika Peralatan yang dibutuhkan termasuk : Model PLC tertentu (Siemens S7-200, CPU 221 atau 222) Manual PLC S7-200 Step 7 Micro/Win32 programming Software
Page 10

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Bab 3

Standar Kompetensi

1 Set Personal Komputer Beberapa Tombol tekan NO dan NC yang bisa dihubungkan pada Input PLC 4 buah Magnetik Kontaktor 3 phase, 24VDC coil, Lampu 24VDC yang bisa dihubungkan pada output PLC dengan komponen-komponen pendukung lainnya agar dapat digunakan dalam praktek. PLC Trainer (Jika tersedia) 1 buah tool set

Keterkaitan dengan Unit Lain


Ini adalah unit tingkat lanjut yang mendasari kinerja efektif dalam unit-unit perawatan lanjut secara keseluruhan. Dianjurkan unit ini dinilai/dilatih dalam hubungan dengan unit operasional dan jasa lainnya. Kecermatan harus dilakukan dalam pengembangan pelatihan untuk mencapai kebutuhan unit ini, Sebagai pelatihan pra kejuruan umum, organisasi harus melengkapi pelatihan, dengan konteks rentang industri yang umum tanpa ada bias pada sektor tertentu. Perubahan rentang akan membantu dalam hal ini, Untuk industri tertentu, pelatihan harus disesuaikan agar memenuhi kebutuhan sektor tersebut.

Kompetensi Kunci yang akan Didemonstrasikan dalam Unit Ini


Kompetensi Umum dalam Unit Ini Mengumpulkan, Mengelola dan Menganalisa Informasi Mengkomunikasikan Ide-ide dan Inforrnasi Merencanakan dan Mengorganisir Aktifitas-aktifitas Bekerja dengan Orang Lain dan Kelompok Tingkat 3 3 3 3 Kompetensi Umum dalam Unit Ini Menggunakan Ide-ide dan Teknik Matematika Memecahkan Masalah Menggunakan Teknologi Tingkat 3 3 3

Tingkat Kemampuan yang Harus Ditunjukkan dalam Menguasai Kompetensi ini


Tingkat
1 2

Karakteristik
Melakukan tugas-tugas rutin berdasarkan prosedur yang baku dan tunduk pada pemeriksaan kemajuannya oleh supervisor. Melakukan tugas-tugas yang Iebih luas dan lebih kompleks dengan peningkatan kemampuan untuk pekeijaan yang dilakukan secara otonom. Supervisor melakukan pengecekan-pengecekan atas penyelesaian pekerjaan. Melakukan aktifitas-aktifitas yang kompleks dan non-rutin, yang diatur sendiri dan bertanggung jawab atas pekerjaan orang lain.

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 11

Bab 4

Strategi Penyajian

Rencana Materi

BAB 4 A

STRATEGI PENYAJIAN

Rencana Materi
Penyajian bahan, pengajar, peserta dan penilai harus yakin dapat memenuhi seluruh rincian yang tertuang dalam standar kompetensi. Isi perencanaan merupakan kaitan antara kriteria unjuk kerja dengan pokok-pokok keterampilan dan pengetahuan .
Elemen Kriteria Unjuk Kerja Pengujian, analisa, dan pemeriksaan perangkat keras PLC yang berhubungan dengan : Topik Pelatihan Perangkat Keras PLC - Perancangan sistem PLC - Membuat konsep sistem pengendalian - Memeriksa spesifikasi I/O dan menentukan konsep penggunaan I/O tersebut - Memahami bahasa pemrograman pada PLC jenis tertentu dengan bantuan manual PLC. - Memahami Siklus telusuran scanning dari CPU - Mengenal tipe data dan model pengalamatan pada PLC jenis tertentu - Memilih Konfigurasi yang cocok untuk Input dan output sesuai dengan aplikasi. - Mengenal cara penggunaan perangkat keras untuk komunikasi Kegiatan Penyajian Tanya-jawab Diskusi Tampilan ut Hando OHT

Catatan: 1. 2.

1 Mendalami perangkat keras pada PLC jenis tertentu.

1.1 Panduan dasar pembuatan suatu


sistem PLC dan aplikasinya 1.2 Metoda Program Pengendalian 1.3 Metoda Pemrosesan I/O 1.4 Konsep pemrograman, bahasa pemrograman dan editor 1.5 Siklus telusuran (Scan cycle) dan Waktu Eksekusi 1.6 Memori, tipe data dan model pengalamatan. 1.7 Perangkat keras untuk komunikasi dan jaringan

Pengenalan perangkat lunak dan kumpulan instruksi pada PLC.

2.1 Perangkat lunak yang berisi program untuk pengoperasian PLC tersebut diinstalasi pada komputer dan dicoba untuk menjalankan beberapa program

Perangkat Lunak PLC:

Penyajian Tanya-jawab Diskusi

- Pengenalan pemrograman dengan


perangkat lunak Step 7 micro / win32 programming software

ut

Hando OHT

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 12

Bab 4

Strategi Penyajian

Rencana Materi

Elemen

Kriteria Unjuk Kerja sederhana sebagai pengenalan penggunaan perankat lunak tersebut. Instruksi-instruksi yang ada dibandingkan dengan instruksi PLC secara umum

Topik Pelatihan - Menggunakan Instruksi Simatic - Membandingkan Instruksi Simatic dengan Instruksi IEC 1131-3

Kegiatan

Tampilan

Membuat program untuk applikasi praktis dengan menggunakan PLC jenis tertentu.

3.1 Beberapa contoh pemorograman untuk aplikasi praktis dijalankan untuk memastikan siswa mengerti tentang penggunaan perangkat keras dan metoda pemrograman untuk menjalankan perangkat keras tersebut..

Pembuatan program aplikasi praktis - Pemrograman Timer

untuk

Penyajian Tanya jawab Diskusi

ut

Hando OHT

- Pemrograman pencacah (Counter) - Instruksi pengendalian program - Instruksi data processing - Instruksi matematis

4.0 Mencari kerusakan pada aplikasi praktis

4.1 Menganalisa program aplikasi dan mencari kerusakan pada sistem aplikasi.

Pencarian kerusakan pada aplikasi praktis - Konsep pencarian kerusakan - Proses pencarian kerusakan

Penyajian Tanya jawab Diskusi

ut

Hando OHT

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 13

Bab 4

Strategi Penyajian

Cara Mengajarkan Standar Kompetensi

Cara Mengajarkan Standar Kompetensi

Sesi ini menunjukkan hand-out, tugas / praktik dan transparansi yang cocok/sesuai dengan standar kompetensi. Keterampilan, pengetahuan dan sikap seperti apakah yang saya inginkan untuk dimiliki siswa.? 1.1 Panduan dasar pembuatan suatu sistem PLC dan aplikasinya. Siswa diharapkan dapat menerapkan cara perancangan suatu sistem PLC secara sitematis Bagaimana saya akan menyampaikan pengetahuan, keterampilan dan sikap kepada siswa? Instruktor/ pelatih menjelaskan tentang sistem perangkat keras pada PLC secara umum dan secara khusus pada pembuatan suatu sistem PLC model tertentu. Siswa diberi tugas untuk membuat perancangan sistem PLC setelah diberikan panduan tentang fungsi dari sistem yang akan dirancang. Beberapa pertanyaan untuk menguji dan memperdalam pengertian siswa tentang topik ini diberikan untuk tanya jawab. HO 2- 6 OHT 1 Tugas 1 1.2 Metoda Program Pengendalian
Siswa diharapkan dapat memahami sitem pengendalian secara umum dan secara khusus yang dilaksanakan dengan menggunakan PLC

Instruktor/ pelatih menjelaskan tentang sistem metoda program pengendalian secara umum untuk memberikan/mengingatkan siswa tentang konsep sistem pengendalian. Kemudian siswa diberikan tugas untuk membuat beberapa konsep sistem pengendalian dengan PLC dan menjelaskan cara kerja sistem tersebut. Beberapa pertanyaan untuk menguji dan memperdalam pengertian siswa tentang topik ini diberikan untuk tanya jawab. HO 2- 6 OHT 2 Tugas 2,3

1.3 Metoda Pemrosesan I/O


Siswa diharapkan dapat memahami metoda
Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Instruktor/ pelatih menjelaskan tentang sistem metoda pemrosesan I/O pada PLC jenis tertentu dan membandingkan dengan sistem I/O secara umum.
Page 14

Bab 4

Strategi Penyajian

Cara Mengajarkan Standar Kompetensi

Keterampilan, pengetahuan dan sikap seperti apakah yang saya inginkan untuk dimiliki siswa.?
pemrosesan titik-titik I/O secara umum dan secara khusus yang dilaksanakan pada PLC jenis tertentu

Bagaimana saya akan menyampaikan pengetahuan, keterampilan dan sikap kepada siswa? Kemudian siswa diberikan tugas untuk mempelajari spesifikasi I/O dari PLC tersebut berdasarkan hardware manual PLC kemudian mempelajari dan mengamati titik-titik I/O tersebut pada PLC sesungguhnya serta melihat jenis koneksi yang harus digunakan. Beberapa pertanyaan untuk menguji dan memperdalam pengertian siswa tentang topik ini diberikan untuk tanya jawab. HO 4 9 OHT 3-6 Tugas 4, 5, 6, 7

1.4 Konsep pemrograman, bahasa pemrograman dan editor


Siswa diharapkan dapat memahami konsep pemrograman pada PLC jenis tertentu. Siswa diharapkan dapat memahami penggunaan editor pemrograman pada PLC jenis tertentu. Siswa diharapkan dapat memahami penggunaan jenis-jenis instruksi STL,LAD,FBD serta IEC 11313 pada PLC jenis tertentu.

Instruktor/ pelatih menjelaskan tentang konsep pemrograman pada PLC jenis tertentu, menjelaskan editor yang digunakan untuk PLC tersebut, menjelaskan instruksi standar yang dipakai (IEC 1131-3) Kemudian siswa diberikan tugas untuk mempelajari perbedaan setiap jenis instruksi STL, LAD, FBD serta IEC 1131-3 dan menulis suatu instruksi dalam keempat jenis instruksi tersebut. Beberapa pertanyaan untuk menguji dan memperdalam pengertian siswa tentang topik ini diberikan untuk tanya jawab. HO 4 9 OHT 7-9 Tugas 8

1.5 Siklus telusuran (Scan cycle) dan Waktu Eksekusi


Siswa diharapkan dapat memahami bagaimana menentukan lamanya suatu siklus telusuran CPU dalam menjalankan suatu program.

Instruktor / pelatih menjelaskan tentang apa yang dimaksud dengan Siklus telusuran (scan cycle) dan apa saja yang dijalankan CPU selama proses scan cycle tersebut. Faktor-faktor yang mempengaruhi lamanya scan cycle tersebut dijelaskan. Kemudian siswa diberikan tugas untuk mencari lamanya waktu eksekusi untuk beberapa instruksi, serta menentukan lamanya scan cycle untuk suatu program yang diberikan Beberapa pertanyaan untuk menguji dan memperdalam pengertian siswa tentang topik

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 15

Bab 4

Strategi Penyajian

Cara Mengajarkan Standar Kompetensi

Keterampilan, pengetahuan dan sikap seperti apakah yang saya inginkan untuk dimiliki siswa.?

Bagaimana saya akan menyampaikan pengetahuan, keterampilan dan sikap kepada siswa? ini diberikan untuk tanya jawab. HO 4 9 OHT 10 Tugas 9

1.6 Memori, tipe data dan model pengalamatan.


Siswa diharapkan dapat memahami jenis-jenis memori dan fungsinya pada PLC jenis tertentu. Siswa diharapkan dapat memahami cara mengakses memori-memori tersebu..

Instruktor/ pelatih menjelaskan tentang apa yang dimaksud dengan Memori, tipe data dan model pengalamatan yang ada pada CPU jenis tertentu. Jenis-jenis moemori dan fungsifungsinya dijelaskan. Tipe-tipe data pada operand instruksi dijelaskan. Sistem pengecekan tipe data yang dapat dilakukan CPU jenis tertentu dijelaskan. Model pengalamatan langsung dan tidak langsung dijelaskan. Kemudian siswa diberikan tugas untuk mempelajari spesifikasi hardware dari CPU tertentu yang berhubungan dengan alamat dan jenis-jenis memori yang tersedia pada CPU tersebut. Siswa diajak untuk membuat contoh program yang dapat mengakses memori-memori tersebut sesuai dengan fungsinya. Membuat contoh program untuk mengakses memori dengan metoda langsung dan tak langsung. Beberapa pertanyaan untuk menguji dan memperdalam pengertian siswa tentang topik ini diberikan untuk tanya jawab. HO 4 9 OHT 7,8,9,13,14 Tugas 10

1.7 Perangkat keras untuk komunikasi dan jaringan


Siswa diharapkan dapat memahami penggunaan perangkat keras untuk membentuk sistem komunikasi jaringan pada PLC jenis tertentu.

Instruktor/ pelatih menjelaskan tentang jenis-jenis komunikasi dan jaringan yang dapat dilakukan oleh PLC jenis tertentu. Komponen-komponen yang diperlukan dalam membentuk sistem komunikasi dan jaringan tersebut disebutkan dan dijelaskan. Kemudian siswa diberikan tugas untuk mempelajari spesifikasi hardware dari komponenkomponen yang dibutuhkan untuk membuat suatu sistem komunikasi dan jaringan. Sistem komunikasi sederhana antar personal komputer dan CPU dicoba. Beberapa pertanyaan untuk menguji dan memperdalam pengertian siswa tentang topik
Page 16

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Bab 4

Strategi Penyajian

Cara Mengajarkan Standar Kompetensi

Keterampilan, pengetahuan dan sikap seperti apakah yang saya inginkan untuk dimiliki siswa.?

Bagaimana saya akan menyampaikan pengetahuan, keterampilan dan sikap kepada siswa? ini diberikan untuk tanya jawab. HO 4 9 OHT 12-13 Tugas 11

2.1 Perangakat lunak yang berisi program untuk pengoperasian PLC tersebut diinstalasi pada komputer dan dicoba untuk menjalankan beberapa program sederhana sebagai pengenalan penggunaan perangkat lunak tersebut.
Siswa diharapkan dapat menggunakan editor pemrograman dalam PLC jenis tertentu.

Instruktor/ pelatih menjelaskan tentang instalasi perangkat lunak Step 7 micro/ WIN 32 programming software dan menerangkan pembuatan beberapa proggram sederhana sebagai langkah pengenalan terhadap perangkat lunak tersebut. Instruktor/ pelatih menerangkan tentang jenis-jenis instruksi pemrograman pada PLC model tertentu secara lebih mendetail disertai dengan contoh-contoh penggunaanya. Instruksi tersebut dibandingkan dengan instruksi pada PLC secara umum. Kemudian siswa diberikan tugas untuk merubah penulisan program sederhana dalam bentuk instruksi STL, LAD, FBD jika diberikan suatu instruksi standar. Siswa diberikan tugas untuk membuat beberapa program sederhana dan menjalankan program tersebut pada PLC. Beberapa pertanyaan untuk menguji dan memperdalam pengertian siswa tentang topik ini diberikan untuk tanya jawab. HO 15-17 OHT 16-17 Tugas 12,13

3.1 Beberapa contoh pemrograman untuk

aplikasi praktis dijalankan untuk memastikan siswa mengerti tentang penggunaan perangkat keras dan metoda pemrograman untuk menjalankan perangkat keras tersebut..
Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Instruktor/ pelatih menjelaskan tentang pembuatan pemrograman untuk aplikasi praktis yang mencakup instruksi Timer, instruksi Counter, Instruksi pengendalian program, Instruksi data processing, dan instruksi matematis. Siswa diberikan tugas untuk menulis program beberapa aplikasi PLC setelah diberikan Flowchartnya. Beberapa pertanyaan untuk menguji dan memperdalam pengertian siswa tentang topik
Page 17

Bab 4

Strategi Penyajian

Cara Mengajarkan Standar Kompetensi

Keterampilan, pengetahuan dan sikap seperti apakah yang saya inginkan untuk dimiliki siswa.?
Siswa diharapkan dapat membuat suatu program aplikasi dan menjalankannya dengan menggunakan PLC jenis tertentu

Bagaimana saya akan menyampaikan pengetahuan, keterampilan dan sikap kepada siswa? ini diberikan untuk tanya jawab. HO 18 - 28 OHT18-20 Tugas 14,15

4.1 Menganalisa program aplikasi dan

mencari kerusakan pada sistem aplikasi.


Siswa diharapkan dapat menganalisa suatu program aplikasi dan mengerti jalanya program tersbut, sehingga apabila terjadi kesalahan/kerusakan pada sistem aplikasi, siswa tersebut dapat menganalisa cara kerja sistem dan menemukan sumber masalah atau kerusakan yang terjadi.

Instruktor/ pelatih menjelaskan tentang prosedur pencarian kerusakan dan metoda analisa dari sistem aplikasi. Siswa diberi tugas untuk membuat flowchart dari program aplikasi yang sudah jadi serta menuliskan cara kerja dari sistem tersebut. Instruktur kemudian memasukan suatu kerusakan pada sistem tersebut dan siswa diharap untuk menemukan dan memperbaiki kerusakan tersebut sehingga sistem bisa bekerja kembali. Beberapa pertanyaan untuk menguji dan memperdalam pengertian siswa tentang topik ini diberikan untuk tanya jawab. HO 38 - 46 Tugas 16

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 18

Bab 4

Strategi Penyajian

Materi Pendukung untuk Pelatih

Materi Pendukung untuk Pelatih


1. Lembar Informasi (Handout) : Merupakan pegangan peserta pelatihan yang berisi materi/teori penunjang dan informasi yang sesuai dengan kriteria unjuk kerja yang melingkupinya. Tugas : Merupakan latihan keterampilan praktik yang harus dicapai berkenaan dengan kemampuan yang sesuai dengan rincian kompetensi pada deskripsi unit. Transparansi (Overhead Transparancy /OHT) : Isinya melingkupi setiap kriteria unjuk kerja yang dilengkapi dengan pokok-pokok sajian dan/ atau gambar-gambar yang diperlukan untuk penyampaian materi.

Materi pendukung bagi guru dibagi dalam tiga hal, yaitu:

2.

3.

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 19

Bab 4

Strategi Penyajian

Lembar Informasi

Lembar Informasi

HO 1

Memperbaiki Kerusakan pada Sistem PLC Model Tertentu

Kode Unit : BSDC-0161

Nama Peserta No. Identitas

: : ..

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 20

Bab 4

Strategi Penyajian

Lembar Informasi

HO 2 1. Perangkat keras pada PLC 1.1 Panduan dasar pembuatan suatu sistem PLC dan aplikasinya.
Ada banyak metoda dalam merancang suatu sistem PLC. Dibawah ini diberikan beberapa panduan yang dapat digunakan dalam merancang berbagai sistem. Tentu saja anda harus mengikuti prosedur yang ada pada perusahaan saudara dan juga Prosedur Kerja Standar yang ada pada perusahaan saudara. Langkah dibawah ini hanya merupakan salah satu contoh praktis 1. Bagilah proses-proses yang terlibat dalam sistem yang anda rancang Bagilah proses anda menjadi bagian-bagian yang mempunyai tingkat ketergantungan yang berbeda-beda. Pembagian ini menjadi batasan diantra kontroler dan pengaruh dari fungsi-fungsi lainya sesuai dengan spesifikasi dan sumber yang ada. 2. Buatlah spesifikasi dari fungsi-fungsi setiap bagian pada sistem. Tulislah gambaran dari operasi tiap bagian pada keseluruhan proses yang mencakup 3. Input/Output Gambaran fungsi dari tiap operasi Status yang diijinkan (menunjukan apa yang harus dicapai sebelum ada tindakan lebih lanjut) untuk aktuator, solenoid, motor dsb. Gambaran dari antarmuka (interface) operator Antarmuka dengan bagian proses lainnya

Rancanglah fasilitas keselamatan/proteksi pada sistem yang anda rancang Identifikasi peralatan-peralatan yang memerlukan proteksi secara Hardwire untuk keselamatan baik peralatan maupun operator. Komponen-komponen dapat gagal melakukan fungsinya dan menyebabkan kondisi yang berbahaya. Kondisi berbahaya ini dapat menyebabkan kecelakaan pada orang atau barang dilokasi, hal yang penting disini adalah membuat suatu sistem safety dengan menggunakan komponen elektro mekanikal pengganti/safety yang akan bekerja diluar dari sistem CPU untuk mencegah kondisi yang tidak diinginkan. Hal berikut ini harus diperhatikan dalam merancang sistem keselamatan : Identifikasi operasi yang tidak benar dan berbahaya dari aktuator. Identifikasi kondisi yang dapat memastkan bahwa operasi tersebut tidak lagi berbahaya, dan tentukan bagaimana untuk mendeteksi kondisi tersebut tanpa melalui CPU. Identifikasi bagaimana CPU dan I/O mempengaruhi proses pada waktu terdapat power, tidak terdapat power, dan kesalahan yang dapat dideteksi. Informasi ini hanya digunkan untuk merancang operasi normal dan tidak normal dan tidak bisa diandalkan untuk tujuan safety. Rancanglah komponen manual atau elektro mekanikal yang dapat mengambil alih kontrol dari blok yang berbahaya dari CPU.

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 21

Bab 4

Strategi Penyajian

Lembar Informasi

HO 3
Buatlah informasi status dari rangkaian independen tersebut agar diketahui oleh CPU sehingga program dan antarmuka operator dapat mengetahui informasi yang diperlukan. Identifikasi kebutuhan safety yang lain untuk keselamatan dari keseluruhan proses.

4.

Tentukanlah lokasi-lokasi dari operator

Berdasarkan keperluan dari fungsi yang dibutuhkan, gambarlah lokasi operator temasuk hal berikut ini : 5. Gambaran dasar yang menunjukan lokasi dari tiap operator dalam hubungannya dengan proses mesin. Lay out mekanikal dari komponen-komponen( display, sakelar, lampu dsb) untuk lokasi operator Gambar elektrikal dari hubungan I/O dan CPU atau Modul tambahan.

Buatlah gambar dari konfigurasi PLC Berdasarkan kebutuhan spesifikasi fungsional, gambarlah konfigurasi dari komponen pengendali yang termasuk hal dibawah ini: Gambar yang menunjukan lokasi tiap CPU dalam hubungannya dengan proses mesin Lay out mekanikal dari CPU dan modul I/O tambahan (ternasuk lemari, box,dan peralatan lainnya) Gambar elektrikal untuk CPU dan modul I/O tambahan (termasuk model dari komponen, alamat komunikasi, dan alamat I/O.

6.

Buatlah daftar dari simbol-simbol dari sinyal yang digunakan sebagai referensi.

Jika anda menggunakan simbol-simbol untuk menunjukan alamat-alamat, maka buatlah simbol untuk alamat absolut. Sertakanlah sinyal I/O dan elemen-elemen lain yang digunakan dalam program anda. Gambar berikut menunjukan contoh dari diagram yang menggambarkan hubungan program aplikasi dengan input dan output

Gambar 1.1 : Hubungan program aplikasi dengan input dan output

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 22

Bab 4

Strategi Penyajian

Lembar Informasi

HO 4 1.2 Metoda Program Pengendalian 1.2.1 Sistem Pengendalian secara umum


Suatu proses pengendalian membutuhkan adanya input dan output. Dalam hal ini fungsi Input adalah suatu besaran yang akan menjadi dasar/masukan bagi suatu proses lebih lanjut yang akan menganalisa input tersebut dan membandingkannya dengan suatu kriteria yang sudah dibuat sesuai dengan spesifikasi dari proses terebut. Kemudian setelah input tersebut diproses maka akan ada suatu hasil akhir yang akan dikeluarkan. Hasil akhir ini disebut Output. Dalam suatu proses pengendalian dikenal dua macam sistem dasar yang disebut Pengendalian Ikal Terbuka (Open Loop Control) dan Pengendalian Ikal Tertutup (Closed Loop Control). Pada Pengendalian Ikal Terbuka (Open Loop Control )ini hasil akhir yang dikeluarkan hanya bergantung kepada masukannya dan hasil akhir itu sendiri tidak mempunyai pengaruh apapun terhadap proses ini. Contohnya : seorang guru menyuruh murid mengerjakan sesuatu (input) kemudian meninggalakan ruangan (output). Sistem Ikal Terbuka ini dapat digambarkan dalam blok diagram sebagai berikut :

R(s)

Gco(s)

Gp(s)

Y(s)

Y = Gco Gp R

Y/R = Geo Gp

Suatu proses otomatis akan merupakan jenis pengendalian ikal tertutup, karena proses yang dijalan kan akan bergantung kepada hasil akhirnya juga. Contoh : dalam kelas yang sama guru menyuruh murid mengerjakan sesuatu, kemudian mengawasi hasil pekerjaan mereka untuk memastikan perkerjaan tersebut diselesaikan dengan baik. Jika murid tersebut berhenti mengerjakan dan bertindak yang tidak seharusnya, maka guru akan mengambil aksi. Dalam hal ini guru mengadakan pengamatan, mendapatkan umpan balik (feedback) dan mengambil aksi yang sesuai jika target tidak tercapai. Jadi dalam sistem pengendalian ikal tertutup akan melibatkan umpan balik untuk memastikan kondisi yang diinginkan tercapai. Sistem Ikal tertutup ini dapat digambarkan dalam blok diagram sebagai berikut : R(s) +

E Gcc(s) Gp(s) Feedback H(s)

_ HY Y

Y = E Gcc Gp

E = R HY

Y/R = (Gcc Gp)/( 1 + Gcc Gp H)

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 23

Bab 4

Strategi Penyajian

Lembar Informasi

HO 5
Suatu proses otomatis akan merupakan jenis pengendalian ikal tertutup, karena proses yang dijalankan akan bergantung kepada hasil akhirnya juga. Contoh : dalam kelas yang sama guru menyuruh murid mengerjakan sesuatu, kemudian mengawasi hasil pekerjaan mereka untuk memastikan perkerjaan tersebut diselesaikan dengan baik. Jika murid tersebut berhenti mengerjakan dan bertindak yang tidak seharusnya, maka guru akan mengambil aksi. Dalam hal ini guru mengadakan pengamatan, mendapatkan umpan balik (feedback) dan mengambil aksi yang sesuai jika target tidak tercapai. Jadi dalam sistem pengendalian ikal tertutup akan melibatkan umpan balik untuk memastikan kondisi yang diinginkan tercapai. Y = E Gcc Gp E = R HY Y/R = (Gcc Gp)/( 1 + Gcc Gp H)

Contoh contoh lain dari sistem pengendalian ikal terbuka: - Sebuah microwawe yang diset untuk menjalankan proses defrost selama 2 menit. Piringan akan berputar selama 2 menit tanpa memperdulikan apakah makanan sudah terdefrost secara keseluruhan atau belum. - Sebuah robot mainan yang diprogram untuk berjalan lurus. Robot akan berjalan lurus tanpa memperdulikan apakan ada halangan didepannya atau tidak. - Sistem penyiraman taman yang diset untuk memancarkan air pada waktu tertentu. Sistem ini akan memancarkan air pada waktu yang sudah ditetapkan tanpa memperdulikan apakah hari sedang hujan atau tidak. Contoh contoh lain dari sistem pengendalian ikal tertutup: Ingatlah bahwa sistem ini selalu melibatkan umpan balik. Umpan balik berarti sensor akan mengambil data secara konstan dan mengirimkan data tersebut keprosesor untuk mengambil keputusan akan proses selanjutnya. Setiap sistem yang berusaha untuk menjaga suhu pada temperatur yang tetap akan melibatkan umpan balik. Contohnya - Sistem pemanas sentral - Oven - Tanki pemeliharan ikan tropis Semua sistem diatas mempunyai sensor untuk mengukur temperature dan memberikan hasil pengukuran pada prosesor. Pengambilan keputusan diambil secara teratur untuk menambahkan panas atau tidak.

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 24

Bab 4

Strategi Penyajian

Lembar Informasi

HO 6 1.2.2 Sistem Pengendalian dengan PLC


Untuk membuat sistem pengendalian dengan PLC membutuhkan beberapa langkah sistematis yang dapat disimpulkan sebagai berikut :
(a) Menentukan urutan proses-proses yang terjadi dalam sistem pengendalian tersebut dengan menyebutkan bagian input (sensor, sakelar dsb) yang memonitor kondisi keluaran dari proses yang dikendalikan, proses (pada CPU PLC) kriteria/tujuan yang akan diterapkan pada porses yang dikendalikan, dan output (relay, solenoid, motor dsb) yang akan menendalikan pergerakan atau aktivitas dari proses yang dikendalikan. Blok diagram atau diagram alir dapat digunakan sebagai alat bantu. Menentukan jenis dan spesifikasi dari komponen input dan output. Penentuan komponen input dan output ini berhubungan dengan spesifikasi dari input dan output terminal pada PLC sehingga dapat ditentukan jenis PLC yang diperlukan untuk aplikasi tersebut. Pada tahap ini penomoran input dan output harus ditentukan sebagai referensi/acuan dalam pembuatan program pada PLC. Penulisan program untuk mewujudkan sistem pengendalian seperti yang disebutkan pada bagian (a). Untuk instruksi SIMATIC dari Siemens Penulisan program ini dapat dilaksanakan dalam Statement List Editor (STL), Ladder logic (LAD), atau function Block Diagram (FBD). Memasukan program dalam memory. Dalam langkah ini program yang telah kita tulis dimasukan kedalam memory PLC dengan menggunakan bantuan komputer atau hand held programmer yang tersedia. Untuk beberapa jenis PLC tertentu, anda harus melakukan deklarasi nomor-nomor input dan output yang tersedia pada PLC tersebut sebelum digunakan. Setelah program dimasukan kedalam memori kemudian dilakukan pengecekan untuk memastikan program yang dibuat tidak mengandung kesalahan kode. Pengecekan ini bisa dilakukan melalui fungsi diagnosa atau dengan proses simulasi.

(b)

(c)

(d)

Menjalankan sistem. Sebelum sistem dijalankan, lakukan pengecekan terhadap semua pengabelan dari komponen-komponen input dan output sesuai dengan konfigurasi dari I/O yang telah ditentukan sebelumnya pada bagian (b). Sebagai contoh dibawah ini adalah rancangan sistem pengendalian stepper motor dengan PLC.

Gambar 1.2 : Sistem pengendalian Stepper motor dengan PLC

HO-7
Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc Page 25

Bab 4

Strategi Penyajian

Lembar Informasi

Struktur Program dari proses pengendalian yang dirancang.

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 26

Bab 4

Strategi Penyajian

Lembar Informasi

HO-8 1.3 Metoda pemrosesan I/O


PLC berhubungan dengan bagian /komponen lain melalui terminal I/O. Input akan memonitor sinyal dari komponen-komponen seperti sensor dan sakelar (switch). Output akan mengendalikan operasi dari pompa, motor, relay dll. Spesifikasi dari I/O ini sangat penting dan bervariasi bergantung kepada jenis PLC yang digunakan. Pemahaman tentang karakteristik dan spesifikasi I/O ini sangat penting didalam merancang dan menganalisa suatu sistem rangkaian PLC. Spesifikasi I/O ini dapat dibaca detailnya pada manual dari PLC itu sendiri. Biasanya manual PLC akan memberikan contoh dari pemakaian I/O tersebut berserta cara pemakaian/ hal-hal penting yang harus diingat dalam menggunakan I/O tersebut. Dalam unit ini akan dibahas beberapa hal penting yang perlu diketahui tentang I/O dari PLC secara umum, PLC Siemens S7-200 CPU dan PLC Omron C200HX pada khususnya.

1.3.1 Local I/O dan Expansion I/O


Input dan Output adalah titik-titik dimana sinyal-sinyal yang diperlukan untuk proses pengendalian didapatkan. Pada sistem PLC umum jumlah I/O dapat ditambah dengan menambahkan suatu modul I/O tambahan (expansion I/O module). S7-200 CPU mempunyai jumlah digital I/O yang dapat dilihat pada bagian spesifikasi pada appendix A pada manual S7-200 Programmable Controller. S7-200 CPU 222, CPU 224 dan CPU 226 dapat menerima tambahan module digital dan analog I/O. Lihat spesifikasi pada appendix A.

Alamat dari modul I/O lokal dan tambahan


I/O lokal yang diberikan oleh CPU mempunyai alamat (address) yang tetap. Anda dapat menambah jumlah I/O dengan menghubungkan module tambahan kearah kanan dari CPU sehingga membentuk rantai I/O. Alamat dari I/O tambahan tersebut ditentukan oleh tipe/jenis I/O dan posisi dari modul dalam rantai tadi dengan urutan sesuai dengan input atau jenis modul sebelumnya yang sama. Sebagai contoh sebuah modul output tidak mempengaruhi alamat dari modul input, dan sebaliknya. Demikian juga alamat modul analog tidak akan mempengaruhi alamat modul digital dan sebaliknya. Module tambahan digital selalu menyediakan prosses image register yang merupakan increment dari 8 bit (1 byte). Jika sebuah module tidak mempunyai cukup I/O untuk setiap Byte yang disediakan tersebut, maka bit yang tidak terpakai didak dapat dialokasikan untuk modul I/O berikutnya didalam rantai tadi. Untuk modul input, bit yang tidak terpakai di set ke nol pada tiap siklus perubahan input. Modul analog selalu dialokasikan dalam increment dari dua titik (2 bit). Jika sebuah module tidak mempunyai I/O untuk titik tersebut, titik I/O tersebut akan hilang dan tidak dapat digunakan untuk module selanjutnya. Lihat Gambar dibawah sebagai contoh dari pemakaian konfigurasi perangkat keras yang berbeda mengakibatkan penomoran alamat yang berbeda.

HO-9
Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc Page 27

Bab 4

Strategi Penyajian

Lembar Informasi

Gambar 1.3: Penomoran I/O untuk CPU 221 dan CPU 224 dengan modul tambahan.

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 28

Bab 4

Strategi Penyajian

Lembar Informasi

HO-10 1.3.2 Menggunakan Input Filter untuk menghilangkan derau (noise)


Pada input digital sering terjadi gangguan sinyal digital yang masuk yang disebabkan karena adanya noise (sinyal tidak ideal karena terdapat noise frekwensi tinggi, tegangan transient, loncatan tegangan (voltages spike)dsb. Untuk mengatasi hal tersebut CPU S7-200 mempunyai kemampuan untuk memfilter masukan pada terminal input sesuai dengan beberapa pilihan yang tersedia. Lihat gambar berikut

Gambar : Mengatur konfigurasi Input Filters untuk menghilangkan derau (noise)

1.3.3 Menggunakan Pendeteksi pulsa (Pulse Catch) (pada Siemens S7-200)


Pada suatu saat diperlukan input yang dapat mendeteksi pulsa digital yang mempunyai durasi sangat pendek atau lebih kecil dari waktu telusuran (scan cycle) dari CPU. Untuk itu diperlukan suatu fungsi khusus yang dapat mendeteksi transisi naik (positive going) atau transisi turun (negative going) dan mempertahankan nilai akhirnya sampai input yang bersangkutan dibaca oleh CPU. Fungsi ini disebut pulse catch dan dapat diaktifkan secara individual untuk tiap digital input. Perbandingan proses pengambilan input dengan dan tanpa fungsi pendeteksi pulsa ini dapat dilihat pada gambar berikut.

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 29

Bab 4

Strategi Penyajian

Lembar Informasi

HO-11
Gambar 1.4 : Kondisi kerja dengan dan tanpa pendeteksi pulsa (pulse catch).

Sebagai catatan anda harus memperhatikan fungsi filter pada input yang sama. Pengaturan input filter ini tidak boleh menghilangkan pulsa yang akan dideteksi atau dengan kata lain pengaturan waktu input filter harus lebih kecil dari lebar pulsa minimum yang akan dideteksi. Dalam blok diagram dibawah terlihat bahwa input filter akan memproses sinyal digital sebelum pendeteksi pulsa. Contoh praktis dari pemakaian pendeteksi pulsa ini dapat dilihat pada gambar berikut

Gambar 1.5 : Contoh pemakaian pendeteksi pulsa.

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 30

Bab 4

Strategi Penyajian

Lembar Informasi

HO-12
Gambar 1.6 : Menu konfigurasi dari pendeteksi pulsa

Untuk mengaktifkan fungsi pendeteksi pulsa ini dapat dilakukan pada menu command View > System Block dan klik pada menu Pulse Catch Bits. Lihat gambar berikut.

1.3.4 Menggunakan tabel output untuk mengatur konfigurasi status dari output.
Siemens S7-200 mempunyai kemampuan untuk mengatur status dari digital output setelah transisi CPU dari Run ke Stop. Status dari digital output akan tetap setelah CPU berhenti sesuai dengan konfigurasi yang ditentukan pada output table. Status output ini dapat dipilih untuk mengikuti status sebelumnya atau mengikuti tabel output (lihat gambar berikut).

Gambar 1.7 : Menu konfigurasi status output

HO-13
Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc Page 31

Bab 4

Strategi Penyajian

Lembar Informasi

1.3.5 Menggunakan filter sinyal analog input


Siemens S7-200 CPU 222, CPU 224 dan CPU 226 mempunyai kemampuan untuk memfilter sinyal analog pada analog input. Nilai setelah proses penapisan (filtering) akan merupakan nilai rata-rata dari sejumlah cuplikan (sampling) pada sinyal analog tersebut. Jumlah cuplikan ini dapat diatur dari 16 4080 cuplikan. Nilai cuplikan atau sampling ini disebut Deadband. Deadband ini sering juga disebut perubahan dari nilai rata-rata yang terjadi setelah cuplikan nilai analog yang terakhir diambil. Deadband ini akan berpengaruh terhadap tanggapan (response) dari sinyal analog tersebut. Semakin besar deadband maka tanggapan sinyal akan semakin lambat. Untuk mengaktifkan konfigurasi analog filter ini, perhatikan gambar berikut.

Gambar 1.8 : Menu konfigurasi dari analog input filter

1.3.6 Menggunakan High Speed I/O


Pencacah Kecepatan Tinggi (High Speed Counter) Siemens S7-200 CPU mempunyai pencacah berkecepatan tinggi yang dapat mencacah kejadian (events) yang terjadi pada input sampai dengan frekwensi 20kHz tanpa mengurangi unjuk kerja CPU. CPU S7-200 mempunyai lima pencacah kecepatan tinggi yang dinamai HSC0, HSC1, HSC2, HSC3, HSC4, dan HSC5. Kelima pencacah tersebut mempunyai karakteristik yang berbeda dan masing-masing terhubung pada Input yang sudah ditentukan. Input yang sama tidak dapat digunakan untuk dua macam pencacah yang berbeda, tetapi jika ada input yang tidak dipakai oleh pencacah kecapatan tinggi ini, input tersebut bisa digunakan untuk tujuan yang lain. Karakteristik dari pencacah kecepatan tinggi ini diberikan pada tabel berikut. Untuk penjelasan yang lebih mendetail dapat dilihat pada manual CPU PLC itu sendiri.

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 32

Bab 4

Strategi Penyajian

Lembar Informasi

HO-14

Tabel 1.1 karakteristik dari pencacah kecepatan tinggi HSC0, HSC3, HSC4, dan HSC5

Tabel 1.2 karakteristik dari pencacah kecepatan tinggi HSC1 dan HSC2

Setiap pencacah mempunyai input yang dialokasikan untuk clok, direction control, reset dan start Pulsa Output Kecepatan Tinggi (High Speed Pulse Output) CPU S7-200 mempunyai fasilitas untuk mengeluarkan pulsa kecepatan tinggi pada output Q0.0 dan Q0.1 yang dapat menghasilkan keluaran PWM atau Deretan pulsa PTO (Pulse Train Output). PTO akan mengeluarkan pulsa sejumlah yang diinginkan dengan duty cycle 50% dan perioda dari 2ms 65535ms. PWM akan mengeluarkan pulsa dengan dutycycle yang dapat diatur dari 0% sampai 100%. Untuk spesifikasi lebih detail lihat manual dari CPU.

HO-15
Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc Page 33

Bab 4

Strategi Penyajian

Lembar Informasi

1.3.7 Menggunakan Pengaturan Analog (Analog Adjustment)


Fasilitas pengaturan analog ini berupa dua buah potensiometer yang dapat diatur harganya sesuai dengan kebutuhan. Perubahan resistansi potensiometer ini akan merubah isi dari Special Memori (SMB28 dan SMB29). Isi dari SMB28 dan SMB29 hanya dapat dirubah melalui analog adjustment 1 dan analog adjustment 2. Nilai dari perubahan ini berkisar dari 0 255 dengan repeatability = 2 . Pengaturan analog ini sangat berguna untuk mengganti nilai timer,counter atau memasukan nilai setting yang baru. Gambar dibawah menunjukan contoh dari penggunaan analog adjustment ini.

Gambar 1.9 : Contoh penggunaan analog adjustment.

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 34

Bab 4

Strategi Penyajian

Lembar Informasi

HO-16 1.4 Konsep Pemrograman, Bahasa Pemrograman dan Editor 1.4.1 Instruksi Simatic dan IEC 1131-3 pada CPU S7-200
S7-200 CPU mempunyai kemampuan untuk menjalankan program dalam dua format instruksi yaitu instruksi Simatec dan instruksi IEC 1131-3. Instruksi Simatec dibuat secara khusus untuk dijalankan pada CPU PLC jenis Siemens S7200. Instruksi Simatec ini tidak dapat dijalankan pada CPU dari jenis lain misalnya C200HX dari Omron Instruksi IEC merupakan instruksi yang dikembangkan oleh International Electrotechnical Commission dan instruksi ini mempunyai format standar yang dikenal oleh beragam jenis PLC. Kedua instruksi tersebut masing masing mempunyai keunggulan. Pilihan yang dibuat mutlak tergantung kepada pembuat program. Meskipun demikian, dibawah ini disebutkan hal-hal yang patut dijadikan pertimbangan untuk memilih jenis instruksi diatas. Simatic Instruksi Simatic biasanya mempunyai waktu eksekusi yang tersingkat Ada tiga jenis editor yang bisa digunakan dalam instruksi Simatec yaitu (LAD, STL,dan FBD)

IEC 1131-3 Biasanya lebih mudah belajar membuat program untuk berbagai jenis PLC. Instruksi yang dipakai lebih sedikit sehingga lebih mudah mempelajarinya. Beberapa instruksi bekerja berlainan dengan instruksi yang sama pada Simatec. Instruksinya kemungkinan mempunyai waktu eksekusi yang lebih lama. Instruksi ini hanya bisa digunakan dengan editor LAD dan FBD IEC 1131-3 memberikan spesifikasi bahwa variabel-variabel harus dideklarasikan dengan jenis dan sistem pengecekan dari tipe datanya.

1.4.2 Tipe Data Variabel pada Simatic dan IEC 1131-3


Setiap instruksi Simatic dan IEC 1131-3 atau parameter subrutin diidentifikasi oleh definisi yang baku yang disebut signature. Untuk semua instruksi standar, jenis data yang diijinkan untuk setiap operand instruksi diambil dari signature. Untuk parameter subrutin, subrutin signature-nya dibuat oleh pemakai melalui tabel variabel lokal. Lihat contoh tipe data elementary dan complex pada tabel : berikut ini.

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 35

Bab 4

Strategi Penyajian

Lembar Informasi

HO-17

Tabel 1.3 : Tipe data elementary

Tabel 1.4 : Tipe data complex Step 7-Micro/Win32 yang merupakan editor bagi CPU S7-200 melakukan pengecekan data yang disebut simple data checking dan no data cheking untuk instruksi simatic, dan menerapkan strong data cheking untuk instruksi IEC 1131-3 Keunggulan dari data type checking adalah ia akan mengihindarkan terjadinya kesalahan tipe data pada waktu pembuatan program. Sebagai contoh hubungan matematis perbandingan < 1 adalah suatu instruksi bertanda (signed). 1 adalah lebih kecil dari 0 untuk tipe data bertanda. Tetapi jika instruksi <1 digunakan dalam tipe data tak-bertanda (unsigned) maka 40000 bisa menjadi lebih kecil dari 0 untuk sebuah instruksi a < 1. Kita

HO-18

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 36

Bab 4

Strategi Penyajian

Lembar Informasi

harus memastikan penggunaan nomor unsigned untuk instruksi signed tidak melebihi batasan positif tif adau negatif. Kesalahan penggunaan tipe data ini bisa berakitbat terjadinya hasil yang tdak diharapkan pada sistem kerja PLC atau mengakibatkan terjadinya kecelakaan kerja. Sistem kerja dari PLC yang tidak dapat diperkirkarakan dapat menimbulkan kematian atau luka yang serius pada operator, dan/atau kerusakan peralatan yang berat. Kesimpulannya, dalam mode editor untuk instruksi IEC 1131-3, strong data checking menolong anda untuk mengidentifikasi kesalahan-kesalahan tersebut selama proses kompilasi, yaitu dengan menghasilkan kode-kode kesalahan untuk tipe-tipe data yang tidak diijinkan untuk instruksi tersebut. Kemampuan untuk melakukan pendeteksian atau pemeriksaan kesalahan jenis ini tidak terdapat pada editor untuk instruksi SIMATIC. Karena mode pemrograman IEC 1131-3 adalah strongly data type dan SIMATIC adalah bukan strongly data type, maka tidak diijinkan untuk melakukan pemindahan pogram antar kedua bahasa ini.

1.4.3 Instruksi Overloaded


Instruksi-instruksi overloaded dapat digunakan untuk berberapa tipe data. strong data type masih berlaku disini karena semua operand harus sesuai sebelum semua instruksi dapat dikompilasi dengan sempurna. Tabel dibawah ini menunjukan contoh dari instuksi IEC overloaded ADD

Tabel 1.5 : Contoh dari instruksi overloaded ADD

Semua operand harus mempunyai tipe data yang sama. Misalnya : ADD IN1 = INT, IN2 = WORD, IN3 = INT Pada mode pemeriksaan strong data type proses kompilasi akan menghasilkan kode kesalahan karena penggunaan tipe data yang tidak sama pada IN1 (integer 16 bit) dan IN2 (word 16 bit). Proses kompilasi akan menghasilkan : ADD_I (Add Integer). Pada mode pemeriksaan simple data type proses kompilasi tidak menghasilkan kesalahan, sehingga instruksi ADD 40000,1 akan menghasilkan angka negatif bukannya 40001.

1.4.4 Penggunaan pengalamatan langsung (Direct Addressing) pada instruksi overloaded IEC
Pemrograman pada mode IEC 1131-3 mengijinkan anda untuk menggunakan lokasi memori secara langsung sebagai bagian dari instruksi tersebut. Kedua variabel memori dan lokasi memori dapat digunakan dalam parameter ini. Tipe data dari parameter-parameter ini didapat dari pemeriksaan prameter lain yang tertulis pada instruksi tersebut. Jika sebuah parameter instruksi dikonfigurasikan untuk menggunakan variabel data jenis tertentu, maka

HO-19
Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc Page 37

Bab 4

Strategi Penyajian

Lembar Informasi

parameter-parameter yang lain secara otomatis mengikuti. Berikut ini contoh dari penggunaan model pengalamatan langsung pada instruksi overloaded.
Tabel 1.6 : Contoh tipe data untuk pengalamatan langsung

Tabel 1.7 : Contoh penggunaan instruksi IEC overloaded

HO-20 1.4.5 Penggunaan instruksi konversi tipe data


Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc Page 38

Bab 4

Strategi Penyajian

Lembar Informasi

Instruksi konversi tipe data ini digunakan untuk merubah suatu tipe data tertentu kedalam bentuk tipa data yang lain. Lihat tabel berikut untuk mendapatkan informasi yang lebih jelas tentang instruksi ini

Tabel 1.8 : Instruksi-instruksi konversi

Pada mode editor untuk IEC 1131-3, anda dapat menggunakan instruksi overloaded MOVE untuk mengkonversikan diantara tipe INT dan WORD, dan DINT dan DWORD. Instruksi ini dapat mengkonversi tipe-tipe data yang besarnya sama (INT dan WORD sama-sama 16 bit). Tabel berikut menunjukan contoh penggunaan instruksi MOVE pada mode IEC 1131-3

Tabel 1.9 : penggunaan instruksi MOVE

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 39

Bab 4

Strategi Penyajian

Lembar Informasi

HO-21 1.4.6 Elemen-elemen dasar pemrograman dengan instruksiinstruksi SIMATIC dan IEC
CPU akan secara terus menerus mengeksekusi program untuk menjalankan proses yang dikehendaki. Program ini dapat anda buat dengan menggunakan program STEP-7Micro/Win32 dari Siemens yang mampu untuk membuat program dalam bentuk instruksi SIMATIC atau IEC. Dalam suatu program sebaiknya dibuat suatu organisasi yang terdiri dari tiga bagian pokok berikut : Program utama: Bagian ini merupakan inti dari program dimana anda menempatkan instruksi-instruksi yang mengendalikan aplikasi/sistem anda. Instruksi-instruksi ini akan ditelusuri dan dijalankan satu persatu secara berurut sebanyak satu kali setiap 1 siklus telusuran (scan cycle). Rutin Interupt : Elemen ini bisa digunakan dan bisa tidak. Jika digunakan elemen ini akan dijalankan jika terjadi suatu kejadian(event) untuk suatu interupt. Subrutin: bagian ini juga merupakan elemen yang dapat dipilih untuk digunakan atau tidak, tergantung kepada pembuat program. Elemen subrutin ini hanya akan dieksekusi jika dipanggil dari bagian program utama. Berikut ini adalah contoh dari penggunaan interupt dan subrutin dalam suatu program yang akan membaca nilai analog dari input dengan kecepatan pencuplikan sebesar 100ms per data.

Gambar 1.9 : Contoh pembuatan program dengan elemen-elemen dasarnya.

HO-22
Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc Page 40

Bab 4

Strategi Penyajian

Lembar Informasi

1.5 Siklus Telusuran Scan Cycle dan Waktu Eksekusi


CPU dirancang untuk mengeksekusi sederetan instruksi yang terkandung dalam program secara berulang ulang. Proses eksekusi program yang berulang ini disebut siklus telusuran atau scan cycle. Selama proses siklus telusuran tersebut CPU melaksanakan beberapa tugas berikut : Membaca input Mengeksekusi program Memproses jika ada permintaan komunikasi Mengeksekusi self diagnostic CPU Menulis pada terminal output

Berikut ini adalah gambar dari satu siklus telusuran pada CPU

Gambar 1.10 : satu siklus telusuran CPU

Tugas-tugas yang akan dilaksanakan CPU bergantung pada mode dari CPU tersebut. Pada mode RUN , CPU akan mengeksekusi program anda dan pada mode STOP program anda tidak dieksekusi. Membaca Input Digital Setiap silklus telusuran dimulai dengan membaca nilai yang ada pada input digital dan kemudian menuliskan nilai tersebut pada lokasi meori yang dinamai dengan process image-input register CPU akan menyediakan memori untuk process image register ini dalam format delapan bit (satu byte) per lokasi. Jika CPU tersebut tidak mengalokasikan memori untuk input tertentu, maka lokasi memori tersebut tidak dapat digunakan lagi untuk modul CPU tambahan. Untuk Input yang tidak digunakan CPU akan mereset ke nol pada tiap proses siklus telusuran. Untuk penjelasan lebih lanjut tentang proses pengambilan nilai input analog bisa dibaca pada CPU manual.

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 41

Bab 4

Strategi Penyajian

Lembar Informasi

HO-23
Mengeksekusi Program Selama fasa eksekusi dari proses telusuran, CPU menjalankan program anda yang dimulai dari instruksi pertama dan berlanjut ke instruksi-instruksi selanjutnya sampai instruksi terakhir. Instruksi I/O immediate akan mengakses input dan output pada waktu eksekusi program atau rutin interupt. Jika anda menggunakan interupt pada program anda, interupt rutin yang berhubungan dengan terjadinya interupt tidak dijalankan pada siklus telusuran normal, tetapi akan dieksekusi sewaktu interupt terjadi. Menjalankan permintaan komunikasi Selama masa pemrosesan permintaan komunikasi dalam siklus telusuran, CPU memproses semua pesan yang diterima dari terminal komunikasi. Menjalankan proses CPU diagnostic Selama fasa ini, CPU memeriksa kondisi dan kesiapannya serta memeriksa memori program. Ia juga memeriksa status dari tiap modul I/O Menulis pada digital output Pada setiap akhir siklus telusuran, CPU akan menulis nilai yang tersimpan dalam processimage output register ke digital output CPU akan menyediakan memori untuk process image output register dalam format delapan bit (satu byte) per lokasi. Jika CPU tersebut tidak mengalokasikan memori untuk output tertentu, maka lokasi memori tersebut tidak dapat digunakan lagi untuk modul CPU tambahan. Pada waktu mode operasi CPU diubah dari mode RUN ke STOP, digital output akan terset sesuai dengan kondisi pada output table atau tetap pada kondisi sebelumnya. Defaultnya adalah digital output akan dimatikan. Nilai analog output akan tetap seperti sebelumnya. Process image Input dan Process image Output Register Ada beberapa keuntungan untuk menggunakan process image register dibandingkan dengan mengakses input dan output secara langsung sebagai berikut: Pencuplikan nilai input pada permulaan siklus telusuran akan tersinkronisasi dan nilainya akan tetap selama eksekusi program dijalankan. Output akan diperbaharui nilainya pada akhir siklus telusuran yaitu setelah proses eksekusi program selesai. Kedua hal ini akan membuat sistem menjadi lebih stabil. Program anda dapat mengakses image register secara lebih cepat dari pada mengakses titik I/O secara langsung sehingga proses eksekusi program menjadi lebih cepat. titik I/O merupakan besaran bit yang harus diakses sebagai bit, tetapi anda dapat mengakses image register sebagai bit, byte, word, atau double word. Hal ini menyebabkan image register lebih fleksibel.

Immediate I/O Instruksi immediate I/O mengijinkan anda untuk mengakses secara langsung pada titik-titik I/O tersebut, meskipun biasanya image register lebih banyak digunakan. Pricess image input register tidak berubah pada waktu anda menggunakan sebuah instruksi immediare untuk mengakses input. Proses image output register akan berubah isinya secara berbarengan pada waktu anda membuat suatu instruksi immediate untuk mengakses output.
Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc Page 42

Bab 4

Strategi Penyajian

Lembar Informasi

HO-24 1.6 Memori, tipe data dan model pengalamatan


CPU menyimpan informasi pada berbagai lokasi pada jangkauan memori dari sistem. Tiap lokasi memori ini mempunyai alamat yang unik sesuai dengan fungsinya. Anda dapat mengakses memori secara langsung dengan memberikan alamat memori tersebut pada instruksi. Untuk itu didalam format data yang akan diakses terkandung informasi dari jenis register yang digunakan dan besar dari register tersebut misalnya IB, QB, LB, DW dsb. Jenis-jenis data tersebut dibahas dalam bagian 1.6 ini. Sistem pengalamatan bisa digolongkan dalam Absolute Addressing dan Symbolic Addressing. Sistem absolute addressing akan mengacu pada memori map dari CPU yang bersangkutan dan pemakaianya bergantung pada panjang/besar instruksi-instruksi yang dijelaskan. Sistem Symbolic Addressing menggunakan symbol-simbol untuk menggambarkan fungsi dan absolute address dari lokasi memori. Sistem Symbolic Addressing ini banyak dipakai oleh manufaktur PLC karena mudah dalam penggunaan dan analisa pemrograman. Dalam unit ini akan dijelaskan pemakaian symbolic addressing pada CPU S7-200 dari Siemens yang akan dibagi menjadi system Direct Addressing dan system Indirect Addressing.

1.6.1 Menggunakan alamat memori untuk mengakses barbagai macam data.


Untuk mengakses sebuah bit pada daerah memori, anda harus mempunyai alamat yang mengandung identitas daerah memori, alamat byte dan nomor bit. Sebagai contoh gambar dibawah menunjukan proses mengakses sebuah bit pada memori dengan pengalamatan yang disebut byte bit addressing. Dalam hal ini identitas memory = I (input), byte = 3 dan diikuti dengan titik (.) sebagai pemisah dengan alamat bit (bit 4)

Gambar 1.11 : mengakses sebuah bit data pada momori CPU

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 43

Bab 4

Strategi Penyajian

Lembar Informasi

HO-25
Ada berbagai macam data pada memori CPU yang dapat diakses. CPU area ini antara lain disebut V, I, Q ,M, S, L dan SM. Untuk mengakses sebuah byte, word atau double word pada memori CPU, anda harus mengetahui alamat spesifik dari memori tersebut. Alamat spesifik ini mengandung informasi indentifikasi daerah, ukuran data, dan alamat awal dari data tersebut lihat gambar berikut sebagai contoh :

Membandingkan pengaksesan Byte, Word, dan double Word pada alamat yang sama.

1.6.2 Jangkauan dari nilai integer


Tabel dibawah ini menunjukan nilai integer dari beberapa tipe data.

Tabel 1.10 : Jangkauan nilai Integer

HO-26
Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc Page 44

Bab 4

Strategi Penyajian

Lembar Informasi

1.6.3 Pemberian alamat pada Process Image Input Register


Seperti telah dijelaskan sebelumnya CPU akan mencuplik dari dari titik input pada permulaan dari siklus telusuran, dan menuliskan nilainya psda process image input register. Untuk mengakses process image input register ini dalam bit, byte, word atau double word ikuti format berikut : Bit Byte, Word, Double Word I [byte adress].[bit adress] I [size].[starting byte address] I0.1 IB.4

1.6.4 Pemberian alamat pada Process Image Output Register


Seperti telah dijelaskan sebelumnya pada akhir dari siklus telusuran CPU akan mengkopi nilai yang ada dalam process image output register kepada titik-titik output yang berhubungan. Untuk mengakses menuliskan isi process image output register ini dalam bit, byte, word atau double word ikuti format berikut : Bit Byte, Word, Double Word Q [byte adress].[bit adress] Q [size].[starting byte address] Q0.1 QB.4

1.6.5 Pemberian alamat pada daerah memori Variabel (V)


Anda dapat menggunakan memori V untuk menyimpan hasil sementara dari operasi yang sedang dijalankan oleh kontorl logika pada program anda. Memory V juga dapat digunakan untuk menyimpan data-data lain yang diperlukan dalam proses. Untuk mengakses memori V ini dalam bit, byte, word atau double word ikuti format berikut : Bit Byte, Word, Double Word V [byte adress].[bit adress] V [size].[starting byte address] V0.1 VB.4

1.6.6 Pemberian alamat pada daerah memori Bit (M)


Anda dapat menggunakan memori M sebagai control relay untuk menyimpan hasil sementara dari operasi yang sedang dijalankan atau informasi kontrol lainnya. Meskipun namanya bit memory area yang menunjukan bahwa informasi yang disimpan adalah berbentuk bit, tetapi anda dapat mengakses memori bit ini dalam byte, word atau double word ikuti format berikut : Bit Byte, Word, Double Word M [byte adress].[bit adress] M [size].[starting byte address] M0.1 MB.4

1.6.7 Pemberian alamat pada daerah memori Sequence Control Relay (S)
Sequence Control Relay Bit digunakan untuk mengorganisasikan operasi atau langkah dari mesin kedalam suatu segmen program yang setara. SCR mengijinkan penggunaan logical segmentation pada program kontrol. anda dapat mengakses memori bit ini dalam byte, word atau double word ikuti format berikut : Bit Byte, Word, Double Word S [byte adress].[bit adress] S [size].[starting byte address] S0.1 SB.4

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 45

Bab 4

Strategi Penyajian

Lembar Informasi

HO-27 1.6.8 Pemberian alamat pada daerah Special Memory (SM)Bit


Bit SM ini digunakan sebagai media komunikasi atau pertukaran informasi diantara CPU dan program. Bit ini dapat digunakan untuk memilih dan mengendalikan beberapa fungsi khusus dari CPU S7-200 seperti : Bit yang dapat digunakan untuk menjalankan siklus telusuran yang pertama Bit yang akan togel pada kecepatan tertentu Bit yang akan menunjukan status dari instruksi operasi matematika

Untuk keterngan lebih lanjut tentang SM bit ini dapat dilihat pada lampiran C manual S7-200. Akses ke lokasi SM ini dapat dilakukan dalam Bit, Byte, Word atau Double Word. Format : Bit Byte, Word, Double Word SM [byte adress].[bit adress] SM [size].[starting byte address] SM0.1 SMB.86

1.6.9 Pemberian alamat pada daerah memori lokal (L)


CPU S7-200 mempunyai 64 byte lokal memori (L) yang dapat digunakan sebagai memori pencatat atau untuk menyampaikan parameter-parameter pada subrutin. Jika anda menggunakan LAD atau FBD, maka Step-7Micro/Win32 akan menyisakan 4 byte memory dari 64 byte yang tersedia untuk kepentingannya sendiri. Jika anda menggunakan STL maka 64 byte seluruhnya dapat digunakan, meskipun tetap dianjurkan untuk menyisakan 4 byte memori tersebut. L memori ini hampir sama dengan V memori dengan satu perkecualian, yaitu V memori mempunyai lingkup Global dan L memori mempunyai lingkup lokal. Global disini berarti dapat dikenal atau diakses dari semua bagian program (program utama, subrutin, dan interupt rutin). Lokal disini berarti hanya bisa diakses dari satu bagian program saja dan nilai pada memori ini tidak dapat diakses oleh bagian program yang lain. L memori yang dialokasikan untuk program utama tidak dapat diakses oleh subrutin atau interupt rutin dan sebaliknya. Pengalokasian L memori ini dilakukan oleh CPU berdasarkan keperluan. Ini berarti pada saat program utama dijalankan L memori untuk subrutin dan interupt rutin belum dialokasikan. Pada waktu subrutin atau interupt rutin tersebut dijalankan, L memori yang diperlukan baru dialokasikan sesuai kebutuhan. Alamat L memori yang baru dapat menggunakan alamat L memori dari subrutin atau interup rutin yang berlainan atau dengan kata lain program utama, subrutin, dan interupt rutin dapat menggunakan alamat L memori yang sama. L memori ini tidak diinisialisasi pada waktu dialokasikan dan bisa berisi nilai apa saja. Pada waktu suatu subrutin dijalankan dan nilai parameter disimpan maka nilai ini akan disimpan pada L memori. L memori yang tidak menerima nilai apapun dapat berisi data apapun. L memori dapat diakses sebagai Bit, Byte, Word atau Double Word. L memori dapat digunakan untuk menyimpan pointer bagi sistem pengalamatan tidak langsung indirect addressing, tetapi L memori ini tidak dapat dilamati dengan sistem indirect addressing. Format : Bit Byte, Word, Double Word L [byte adress].[bit adress] L [size].[starting byte address] L0.0 LB.33
Page 46

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Bab 4

Strategi Penyajian

Lembar Informasi

HO-28 1.6.10 Pemberian alamat pada daerah Timer memori (T)


CPU S7-200 mempunyai timer yang berfungsi untuk menghitung pertambahan waktu. Resolusi dari timer ini adalah : 1ms, 10ms atau 100ms. Dalam timer ini ada 2 variabel sebagai berikut : Current Value : yaitu suatu 16 bit signed integer yang berisi informasi banyaknya waktu yang dihitung oleh timer Timer bit : bit ini dapat di set atau clear sebagai hasil dari perbandingan nilai dari Current value dan preset value. Preset value dimasukan sebagai bagian dari instruksi timer.

Kedua variabel diatas dapat diakses dengan menggunakan alamat (T + nomor timer). Akses terhadap timer bit atau current value akan bergantung pada instruksi yang digunakan. Instruksi dengan Bit operand akan mengakses timer bit, dan instruksi dengan word operand akan mengakses current value. Lihat gambar berikut untuk lebih jelasnya.
Gambar 1.12 : akses dari instruksi timer

1.6.11 Pemberian alamat pada daerah Counter memori (C)


CPU S7-200 mempunyai counter yang berfungsi untuk menghitung transisi dari Lo ke Hi pada input counter. CPU mempunyai tiga macam counter yang menghitung naik, menghitung turun, dan menghitung naik turun. Ada dua buah variabel yang berhubungan dengan counter ini: Counter Value : yaitu suatu 16 bit signed integer yang berisi informasi banyaknya transisi yang dihitung oleh counter Counter bit : bit ini dapat di set atau clear sebagai hasil dari perbandingan nilai dari Current value dan preset value. Preset value dimasukan sebagai bagian dari instruksi counter.

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 47

Bab 4

Strategi Penyajian

Lembar Informasi

HO-29
Kedua variabel diatas dapat diakses dengan menggunakan alamat (C + nomor counter). Akses terhadap counter bit atau counter value akan bergantung pada instruksi yang digunakan. Instruksi dengan Bit operand akan mengakses counter bit, dan instruksi dengan word operand akan mengakses counter value. Lihat gambar berikut untuk lebih jelasnya.

Gambar 1.13 : Akses dari counter memori

1.6.12 Pemberian alamat pada Analog Input (AI)


CPU S7-200 akan mengkonversikan nilai analog (seperti suhu atau tegangan) kedalam suatu nilai digital 16 bit. Pengakasesan nilai ini dilakukan dengan memberikan identifikasi area (AI), ukuran data (W), dan alamat byte permulaan. Karena nilai analog ini tersimpan dalam Word dan selalu dimulai dengan bye genap (seperti 0, 2, 4 dst), maka pengaksesannya juga dilakukan pada byte genap (seperti AIW0, AIW2, atau AIW4). Gambar dibawah ini menunjukan cara mengakses analog input.

Gambar 1.14 : Pengaksesan Analog Input

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 48

Bab 4

Strategi Penyajian

Lembar Informasi

HO-30 1.6.13 Pemberian alamat pada Analog Output (AQ)


CPU S7-200 akan mengkonversikan nilai digital 16 bit kedalam suatu tegangan atau arus yang sebanding dengan nilai digital tadi. Penulisan nilai digital ini dilakukan dengan memberikan identifikasi area (AQ), ukuran data (W), dan alamat byte permulaan. Karena nilai analog output ini tersimpan dalam Word dan selalu dimulai dengan bye genap (seperti 0, 2, 4 dst), maka pengaksesannya juga dilakukan pada byte genap (seperti AQW0, AQW2, atau AQW4). Analog output ini merupakan nilai yang hanya dapat ditulis dan tidak dapat dibaca (Write only)

Gambar 1.15 :mengakses Analog Output

1.6.14 Pemberian alamat pada Accumulator (AC)


Accumulator merupakan suatu piranti yang dapat digunakan seperti memori. Sebagai contoh anda dapat menggunakan accumulator untuk menyampaikan parameter-parameter ke / dari subrutin dan untuk menyimpan nilai sementara yang digunakan dalam perhitungan. CPU menyediakan 4 buah accumulator 32 bit (AC0, AC1, AC2, dan AC3) yang dapat diakses sebagai byte, word atau double word. Untuk mengakses accumulator sebagai byte atau word gunakanlah 8 atau 16 bit LSB dari nilai yang tersimpan pada accumulator.

Gambar 1.16 : instuksi-instruksi untuk mengakses accumulator

HO-31 1.6.15 Pemberian alamat pada High Speed Counter (HC)


Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc Page 49

Bab 4

Strategi Penyajian

Lembar Informasi

Pencacah kecepatan tinggi atau High Speed Counter ini dirancang untuk mencacah kejadian yang sangat cepat tanpa tergantung pada siklus telusuran CPU. High Speed Counter ini mempunyai current value dalam signed integer 32bit. Untuk mengakses nilai current value ini digunakan alamat dari memori jenis (HC) dan nomor counter (misalnya HC0). Nilai pada memori HC ini bersifat read only dan hanya dapat diakses sebagai double word.

Gambar 1.17 : Pengaksesan High Speed Counter

1.6.16 Penggunaan Nilai Konstanta


S7-200 CPU mengijinkan penggunaan berbagai tipe konstanta dalam instruksi program. Konstanta ini bisa berupa byte, word, atau double word. CPU akan menyimpan semua konstanta tersebut dalam bentuk biner yang kemudian dapat ditampilkan dalam bentuk desimal, heksa desimal, ASCII, atau format floating point Desimal format: Heksa desimal format: ASCII format: Real atau Floating point: Binary [nilai desimal] 16#[nilai heksadesimal] [ASCII text] ANSI/IEEE 754-1985 2#1010_0101_1010_0101

Pada konstanta ini CPU tidak melakukan data checking sehingga bisa terjadi perbedaan interpretasi untuk tiap instruksi, misalnya instruksi Add akan mengambil nilai pada VW100 sebagai signed integer sedangkan instruksi Or menganggap nilai yang sama sebagai nilai biner unsigned.

1.6.17 Sistem pengalamatan tidak langsung pada instruksi SIMATIC


Sistem pengalamatan tidak langsung atau indirect addressing ini menggunakan pointer sebagai penunjuk lokasi memori yang akan diakses. Tipe memori yang dapat diakses dengan pointer pada CPU S7-200 adalah : I, Q, V, M, S, (hanya current value)T dan C (hanya current value). Untuk sistem pengalamatan tidak langsung ini sebuah pointer harus dibuat terlebih dahulu. Pointer ini sebenarnya adalah memori yang berisi data dalam double word. Memori yang dapat digunakan sebagai pointer adalah V, L dan Accumulator. Untuk membuat pointer ini digunakan instruksi MOVD untuk memindahkan alamat dari memori kelokasi pointer.

HO-32
Operand dari instruksi MOVD ini harus didahului oleh tanda & untuk menandakan bahwa nilai tersebut merupakan alamat memori. Contoh : MOVD &VB100 , VD204
Page 50 Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Bab 4

Strategi Penyajian

Lembar Informasi

MOVD MOVD

&MB4 , AC2 &C4 , LD6

Gambar 1.18 : Penggunaan pointer untuk mengakses data

Dalam gambar diatas ditunjukan bagaimana pointer digunakan untuk memindahkan data pada alamat dari VB200 ke ACO. Penggunaan tanda asterisk * untuk menunjukan bahwa operand tersebut adalah suatu pointer. Nilai dari suatu pointer dapat dirubah dengan instruksi double word (32 bit) dengan instruksi matematika sederhana seperti ADD atau INC. Untuk mengakses byte nilai pointer ditambah dengan 1 Untuk mengakses word nilai pointer ditambah dengan 2 Untuk mengakses doubel word nilai pointer ditambah dengan 4

Gambar 1.19 : Mengubah nilai pointer untuk mengakses sebuah data tipe word

HO-33 1.7 Perangkat keras untuk komunikasi dan jaringan


Jenis Komunikasi S7-200 CPU dapat dikonfigurasikan untuk bekerja dalam komunikasi jaringan. Sebuah personal komputer dengan program Step7-Micro/Win32 atau sebuah piranti pemrogram
Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc Page 51

Bab 4

Strategi Penyajian

Lembar Informasi

SIMATIC PG740 dapat digunakan sebagai master device. Jenis-jenis komunikasi jaringan yang dapat dilaksananakan adalah sebagai berikut : Single Master : Sebuah piranti master tunggal dihubungkan pada satu atau beberapa piranti slave seperti yang ditunjukan pada gambar dibawah.

Multiple Master : Sebuah piranti master tunggal dihubungkan pada satu atau lebih piranti slave atau piranti master yang lain seperti yang ditunjukan gambar berikut.

HO-34
Menggunakan modem 10 bit: Sebuah piranti master tunggal dihubungkan pada satu atau lebih piranti slave atau piranti master yang lain dengan menggunakan modem 10 bit. Contoh 10 bit modem ini adalah Hayes-compatible. Hanya satu hubungan dengan CPU S7-200 yang dapat dibuat dengan menggunakan modem 10 bit ini. Untuk itu diperlukan beberapa komponen berikut :

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 52

Bab 4

Strategi Penyajian

Lembar Informasi

Satu CPU S7-200 yang kompatibel dengan modem 10 bit yaitu model : CPU221, CPU222, CPU224 dan CPU226. CPU lainnya tidak mendukung penggunaan format 10 bit. Kabel RS232 standar untuk menghubungakan Personal Computer ke modem lokal full-duplex 10 bit Kabel PC/PPI (5-switch) untuk menghubungkan remote modem ke CPU. Adapto 9 pin ke 25 pin jika diperlukan.

o o o

Gambar berikut menunjukan penggunaan modem 10 bit dalam jaringan dengan kabel PPI 5switch (Kabel PPI 4-switch tidak dapat digunakan dalam format 10 bit)
Gambar 1.20 : Penggunaan 10 Bit modem dalam jaringan komunikasi

Menggunakan modem 11 bit: Sebuah piranti master tunggal dihubungkan pada satu atau lebih piranti slave atau piranti master yang lain dengan menggunakan modem 11 bit. Modem yang banyak dipasaran kebanyakan tidak mendukung penggunaan format 11 Bit. Bergantung kepada hubungan dari personal komputer ke satu atau lebih CPU S7-200 dan atau hubungan ke jaringan maka diperlukan beberapa komponen berikut : o o o Kabel RS232 standar untuk menghubungakan Personal Computer ke Modem full-duplex 11 bit Kabel PC/PPI (4 atau 5-switch) untuk menghubungkan remote modem ke CPU. Jika digunakan PC/PPI kabel 4-switch maka dibutuhkan null modem adaptor. Jika diperlukan hubungan dengan beberapa CPU dalam jaringan maka diperlukan network adaptor (PROFIBUS dari siemens)

Gambar berikut menunjukan penggunaan modem 11 bit dalam jaringan dengan kabel PPI 4switch

HO-35
Gambar 1.21 : Penggunaan Modem 11 Bit dalam jaringan

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 53

Bab 4

Strategi Penyajian

Lembar Informasi

Jaringan Master Gambar berikut menunjukan suatu konfigurasi dari personal computer yang dihubungkan pada beberapa CPU S7-200. Step7-Micro/Win32 dirancang untuk berkomunikasi dengan satu CPU pada waktu tertentu, tetapi komputer dapat mengakses CPU yang mana saja pada jaringan secara bergantian. Dalam gambari ini CPU dapat menjadi master atau slave sedangkan TD200 adalah sebuah master.

Gambar 1.22 : Penggunaan kabel PC/PPI untuk berkomunikasi dengan beberapa CPU pada konfigurasi Multiple Master Option Enabled.

HO-36
Repeater Jaringan Repeater jaringan ini diperlukan jika jarak antar CPU saling berjauhan, jika diinginkan penambahan CPU, atau untuk mengisolasi suatu jaringan dari jaringan yang lain. PROFIBUS bisa menghubungkan 32 komponen dalam network dalam jarak sampai 1200m. Sebuah repeater akan mengijinkan penambahan 32 komponen lagi dan penambahan jarak 1200m pada rate 9600 Baud. Dalam jaringan dapat digunakan 9 buah repeater dengan panjan jaringan tidak lebih dari 9600m. Setiap repeater akan memberikan Bias dan Terminasi untuk tiap segmen jaringan.

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 54

Bab 4

Strategi Penyajian

Lembar Informasi

Gambar 1,.23 : Penggunaan repeater dalam jaringan.

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 55

Bab 4

Strategi Penyajian

Lembar Informasi

HO-37 2.0 Pengenalan perangkat lunak dan kumpulan instruksi pada PLC jenis tertentu. 2.1 Editor dan Bahasa Pemrograman
Bahasa pemrograman pada berbagai jenis PLC sangat beragam, oleh karena itu seperti telah disinggung sebelumnya telah dibentuk suatu standar pemrograman yang disebut IEC 1131-3. Bahasa pemrograman ini sudah diikuti oleh menufaktur dari berbagai jenis PLC. Pada bagian ini kita akan membahas bahasa pemrograman yang dapat digunakan pada PLC Siemens khususnya jenis S7-200 CPU. Pada CPU S7-200 ini pemrograman yang dibahas dilakukan dengan program Step 7 Micro/win32 yang merupakan bahasa pemrograman berbasis Window. Dalam Step 7 Micro/win32 ini dapat dilakukan pemrograman dalam 2 macam set instruksi yaitu instruksi SIMATIC (dari Siemens) dan Instruksi IEC 1131-3 (berlaku umum). Pada penggunaan Instruksi SIMATIC terdapat 3 macam editor pemrograman yang dapat digunakan yaitu : LAD (Ladder ladder), STL (statement list editor), dan FBD (Function Block Diagram editor) Untuk penggunaan instruksi IEC 1131-3 hanya terdapat 2 macam editor yang dapat digunakan dengan program Step 7 Micro/win32 ini yaitu: LAD (Ladder ladder) dan FBD (Function Block Diagram editor).

2.1.1 Statement List Editor.


Statement List Editor memungkinkan anda untuk memasukan program dalam bentuk instruksi mnemonic. Secara umum STL ini lebih cocok digunakan oleh progamer yang berpengalaman dalam penggunaan PLC dan Logic programming. Penggunaan STL ini memungkinkan untuk menjalankan suatu instruksi yang tidak mungkin dilaksanakan pada editor LAD atau FBD. Hal ini disebabakan karena pemrograman dilakukan pada bahasa dasar dari CPU tersebut sedangkan pada LAD dan FBD ada aturan-aturan khusus yang harus diikuti sehubungan dengan penggunaan grafik dalam editor tersebut sehingga diagram akhirnya tidak mengandung kesalahan.
Gambar 2.1 : contoh penggunaan STL editor

Seperti anda lihat pada gambar diatas instruksi yang digunakan oleh STL hampir menyerupai instruksi pada pemrograman dengan Assembly Language. CPU akan mengeksekusi instruksi dari atas ke bawah dan kemudian mulai kembali dari atas. S7-200 CPU menggunakan sebuah logic stack untuk menyelesaikan persamaan logika (lihat gambar berikut). Dalam editor LAD dan FBD secara otomatis akan dihasilkan instruksi-

HO-38
Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc Page 56

Bab 4

Strategi Penyajian

Lembar Informasi

instruksi yang diperlukan untuk mengendalikan pekerjaan/operasi pada stack, akan tetapi pada editor STL instruksi-instruksi tersebut harus dimasukan untuk mengendalikan pekerjaan/operasi pada stack

Gambar 2.2 : Stack Logika pada CPU S7-200

Secara umum ada hal-hal yang harus diperhatikan jika anda memilih untuk menggunakan editor STL sebagai berikut : STL merupakan editor yang paling sesuai bagi programer yang sudah berpengalaman. STL kadang-kadang mampu melaksanakan fungsi-fungsi yang tidak dapat dilakukan pada LAD dan FBD. Anda hanya dapat menggunakan editor STL untuk instuksi dari SIMATIC Anda selalu dapat menggunakan STL editor untuk melihat atau mengedit programprogram yang dibuat dengan menggunakan LAD atau FBD. Tetapi sebaliknya anda tidak selalu dapat melihat atau merubah program yang dibuat dengan STL pada editor LAD atau FBD.

HO-39 2.1.2 Ladder Editor.

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 57

Bab 4

Strategi Penyajian

Lembar Informasi

Pemrograman dengan menggunakan editor Ladder ini mengijinkan anda untuk membuat program yang menyerupai diagram pengabelan kelistrikan (electrical wiring diagram). Diagram Ladder ini mungkin merupakan pilihan yang paling banyak dipakai dan disukai oleh banyak progamer PLC dan bagian perawatan karena menamplikan program dalam bentuk yang sudah dikenal dan mudah dianalisa. Diagram Ladder ini secara umum akan menggambarkan aliran daya dari arus listrik yang melalui sederetan kondisi logika input yang pada akhirnya akan mengaktifkan suatu output. Kumpulan Logika ini biasanya dibagibagi dalam beberapa baigian yang disebut rung atau network untuk lebih memudahkan pemahaman dan analisa. CPU akan mengeksekusi rung atau network ini satu demi satu dimulai dengan dari kiri-ke-kanan dan kemudian dari atas-ke-bawah. Setelah CPU mengeksekusi network yang terakhir ia akan kembali kenetwork yang pertama. Gambar berikut menunjukan suatu contoh penggunaan diagram ladder.

Gambar 2.3 : Diagram Ladder

Ada tiga bentuk utama dalam diagram Ladder sebagai berikut : Kontak : gambar simbol kontak ini menggambarkan kondisi logika pada input yang dapat dianalogikan dengan sakelar togel, kondisi internal, sakelar tekan dsb. Koil : gambar simbol koil ini mewakili output yang dianalogikan pada lampu, motor, starter, solenoid, relay, kondisi output internal dsb Kotak : gambar simbol kotak ini mewakili instruksi-instruksi tambahan seperti instruksi timer, counter atau instruksi matematika.

Hal-hal yang perlu diperhatikan dalam memilih editor Ladder ini adalah : Ladder ini mudah digunakan untuk programer pemula yang belum berpengalaman. Gambar grafik yang ada sangat mudah dimengerti dan dikenal penggunaannya diseluruh dunia. Editor Ladder ini dapat digunakan untuk kedua set intruksi SIMATIC dan IEC 1311-3 Anda selalu dapat menggunakan editor STL untuk melihat program-program yang dibuat dengan editor Ladder ini

HO-40 2.1.2 Function Block Diagram (FBD) Editor.

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 58

Bab 4

Strategi Penyajian

Lembar Informasi

Function Block Diagram editor ini juga menggunakan bantuan grafik untuk menggambarkan alur pemorgraman. Didalam editor FBD ini tidak ditemukan kontak atau koil, tetapi digantikan oleh kotak kotak yang mewakili fungsi-fungsi logika dan instruksi-instruksi lainnya. Kotak instruksi ini dilengkapi dengan input dan output serta parameter-parameter yang terlibat pada instruksi tersebut. Aliran atau logika program ditentukan oleh hubungan antar kotak-kotak instruksi tersebut. Jenis pemrograman ini mengijinkan anda untuk menyelesaiakan jenis-jenis aliran program yang sangat bervariasi. Gambar dibawah menunjukan contoh penggunaan editor FBD ini

Gambar 2.4 : Digram FBD

Berikut ini adalah hal-hal penting yang harus diperhatikan jika anda memilih menggunakan editor FBD : Representasi grafiknya sangat cocok untuk digunakan untuk mengikuti aliran program Editor FBD ini dapat digunakan pada instruksi SIMATIC dan IEC 1131-3 Anda selalu dapat menggunakan STL editor untuk melihat dan mengedit program yang dibuat dengan editor FBD ini

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 59

Bab 4

Strategi Penyajian

Lembar Informasi

HO-41 2.1.3 Contoh instruksi dan program dalam editor STL, LAD dan FBD
Sebagai contoh dari instruksi SIMATIC mari kita bahas instruksi logika Bit dasar berikut :

Kontak Standar

Kontak Normally Open akan tertutup (on) jika Bit sama dengan 1 Kontak Normally Closed akan tertutup (on) jika Bit sama dengan 0 Tiap jenis PLC mempunyai kekhususan tersendiri pada instruksi-instruksi yang digunakan. Untuk mempelajari instruksi ini anda dapat melihat manual dari PLC itu sendiri yang akan menerangkan secara mendetail tentang fungsi dan cara menjalankan instruksi tersebut pada PLC yang bersangkutan. Pada unit kompetensi ini tidak dibahas fungsi dan cara kerja dari tiap instruksi dengan asumsi bahwa siswa telah dapat menggunakan pengetahuan yang telah didapat sebelumnya sebagai dasar pengetahuan dalam mempelajari buku manual PLC dan mengambil hal-hal yang dibutuhkan saja.

HO-42

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 60

Bab 4

Strategi Penyajian

Lembar Informasi

Kontak Not akan merubah status dari aliran daya pada input. Jika aliran daya mencapai kontak Not maka aliran daya akan terputus. Jika aliran daya tidak mencapai kontak Not maka aliran daya tetap ada. Kontak transisi positif akan mengijinkan aliran daya untuk satu siklus telusuran untuk setiap transisi dari OFF ke ON Kontak transisi negatif akan mengijinkan aliran daya untuk satu siklus telusuran untuk setiap transisi dari ON ke OFF :

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 61

Bab 4

Strategi Penyajian

Lembar Informasi

HO-43
Gambar berikut menunjukan pembuatan program dalam bentuk Statement List, Ladder Diagram dan Function Block Diagram

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 62

Bab 4

Strategi Penyajian

Lembar Informasi

HO-44 2.1.4 Contoh program aplikasi dan cara pembuatan programnya.


Mengendalikan kuat cahaya lampu dengan keluaran pulsa DC pada PLC Contoh aplikasi ini akan menunjukan bagaimana mengontrol kuat cahaya dari sebuah lampu (24V/ 1W) dengan operasi output dari CPU S7-200. Analog adjustment potentiometer akan digunakan untuk merubah lebar pulsa dari gelombang kotak pada output Q 0.0 sehingga akan mempengaruhi kuat cahaya pada lampu.

HO-45
Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc Page 63

Bab 4

Strategi Penyajian

Lembar Informasi

//***PROGRAM DESCRIPTION*** // // During each execution cycle, the program uses special memory byte // SMB28 to copy the value of analog potentiometer 0 into the least // significant byte (MB1) of memory word MW0. Dividing the value of the // POT by 8 yields the pulse width, which, when compared as a ratio // to the pulse period, gives an approximation of the brightness of // the bulb relative to its maximum brightness. This division provides // the additional benefit of throwing out the three least significant // bits of SMB28, allowing for greater stability in the program. If // the value changes, the pulse width modulation is reinitialized at // output Q0.0, and the new value is transferred as a pulse width in // milliseconds. // // Example: // // // // // The S7-200 System Manual provides additional information about pulse // sequences and more detailed data about the use of the analog // potentiometers (analog adjustments). // //***MAIN PROGRAM*** // NETWORK 1 // scan cycle only. // Move the constant 0 to memory word MW0 to clear MW0 (set value to 0). LD MOVW NETWORK 2 First_Scan_On +0, Store_POT0 // First Scan Bit // clear flag word MW0 // Clear Memory Word MW0 SMB28 = 80 80 / 8 = 10 10 / 25 (=pulse width / period) -> 40% (voltage time ratio) -> 40% of maximum brightness (value for POT0)

// Load special memory bit SM0.1 to process this network in the first

// Save Old POT Value, Store New Value and Divide by 8

HO-46
// To save the current value of the potentiometer (stored in memory
Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc Page 64

Bab 4

Strategi Penyajian

Lembar Informasi

// word MW0), move it to memory word MW2 before adjusting the POT and // changing the value. Special memory byte SMB28 stores the value // entered with analog adjustment 0 (POT0). This value is updated // once per scan cycle. After adjusting POT0, move the value stored in // SMB28 to the least significant byte (MB1) of memory word MW0. // Divide that value by 8 to determine the pulse width, which, when // compared as a ratio to the pulse period, gives an approximation // of the brightness of the bulb relative to its maximum brightness. // This division provides the additional benefit of throwing out the // three least significant bits of SMB28, allowing for greater stability // in the program. // Load special memory bit SM0.0 to process this network every scan // cycle. // Move the value in memory word MW0 to memory word MW2. // Move the value in special memory byte SMB28 (POT0 value) to memory // byte MB1. // Shift memory word MW0 right by 3 bits to divide the POT0 value by // 8 and remove the three least significant bits of SMB28. LD MOVW MOVB SRW Always_On Store_POT0, Old_POT0 POT0_Value, MB1 Store_POT0, 3 // Load SM0.0. // Move memory word MW0 to // memory word MW2. // Move special memory byte SMB28 // to memory byte MB1. // Shift memory word MW0 right // by 3 (divide value by 8). NETWORK 3 // Set Up PWM and Set PTO/PWM Control for Output Q0.0.

// If the value at analog adjustment 0 (POT0) changes, change the // pulse width modulation at output Q0.0. // Specal memory byte SMB67 initializes the pulse width modulation of // output Q0.0. The individual bits are: // SM67.0: This bit indicates whether to update the cycle time value of output Q0.0. // Set to 1 = write new cycle time.

HO-47
// SM67.1: This bit indicates whether to update the pulse width value of output Q0.0. // Set to 1 = write new pulse width.
Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc Page 65

Bab 4

Strategi Penyajian

Lembar Informasi

// SM67.3: This bit enables you to set the time base for output Q0.0. // Set to 1 = time base is 1 ms/tick (0 = time base 1 s/tick). // SM67.6: This bit enables you to select the PTO or PWM function for output Q0.0. // Set to 1 = select PWM mode (0 = PTO mode). // SM67.7: You can use this bit to enable output Q0.0. Set to 1 = enable. // Compare the values in special memory words MW0 and MW2. // If the values in memory words MW0 and MW2 are not equal, the // lack of power flow from the compare enables the power flow for the network. // To set the PTO/PWM control for output Q0.0, move the hexadecimal // value CB (binary 11001011) to special memory byte SMB67. These // bit settings enable the update of the cycle time and the update of // the pulse width value, set the time base to 1 ms/tick, select PWM // mode, and enable output Q0.0. // Move the constant 25 to special memory word SMW68 to specify a // cycle time at output Q0.0 of 25 ms. // Move the value in memory word MW0 to special memory word SMW70 // to specify the value at POT0 as the starting pulse width. // Examine the special memory bits for pulse output Q0.0 and enable // the pulse function as defined by those bits. LDW = Store_POT0, Old_POT0 NOT MOVB 16#CB, PLS0_Ctrl // Compare MW0 and MW2. // If MW0 and MW2 are not equal, // load control bits for pulse // width modulation at output // Q0.0. MOVW +25, PLS0_Cycle MOVW Store_POT0, PWM0_PW PLS 0 // Specify cycle time of 25 ms. // Set starting pulse width to // value at POT0. // Enable pulse function at output // Q0.0. NETWORK 4 // MEND // Main Program End // End main program.

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 66

Bab 4

Strategi Penyajian

Lembar Informasi

HO-48 3.1 Pemrograman PLC Jenis Tertentu


Dalam penggunaannya PLC memerlukan pemrograman yang sangat bervariasi yang bergantung pada aplikasi yang dijalankan. Beberapa jenis program-program yang sering digunakan tersebut dapat digolongkan sebagai berikut:
Pemrograman Timer Pemrograman pencacah (Counter) Instruksi program pengendalian Instruksi pemrosesan data Instruksi matematis

Cara penulisan program tersebut akan sangat bergantung kepada sipembuat program. Sebuah program akan berjalan dengan baik dan tepat jika digunakan instruksi-instruksi yang tepat pula. Untuk itu penulis program sangat disarankan untuk membaca buku manual dari PLC tersebut khususnya yang berisi tentang informasi instruksi-instruksi yang bisa dijalankan oleh PLC tersebut. Pada unit ini digunakan contoh pemrograman pada CPU Siemens S7-200. Pada manual CPU S7-200 ini dijelaskan dengan mendetail tentang fungsi dan pemakaian instruksi-instruksi yang tersedia. Unit kompetensi ini akan membahas penggunaan sebagian instruksi-instruksi tersebut sebagai pengenalan akan jenis-jenis instruksi seperti yang telah disebutkan diatas.

3.1.1 Pemrograman Timer


Instruksi On-Delay Timer dan Retentive On-Delay Timer akan menghitung waktu pada waktu input enabelnya ON. Jika nilai sekarang current value (Txxx) lebih besar atau samadengan preset time (PT), makan timer bit akan ON. Nilai sekarang dari On-Delay timer ini di-nol-kan kembali dengan memberi sinyal OFF pada input enable-nya. Sementara itu nilai sekarang (current value) dari Retentive On-Delay Timer akan tetap selama inputnya OFF. Anda dapat menggunakan Retentive On-Delay Timer untuk mengakumulasikan waktu untuk berbagai perioda selama kondisi input ON. Sebuah instruksi Reset (R) dgunakan untuk mengenolkan nilai sekarang dari Retentive On-Delay Timer. Kedua timer On-Delay Timer dan the Retentive On-Delay Timer melanjutkan hitungannya sampai nilai preset tercapai dan timer tersebut akan berhentimenghitung pada hitungan 32767. Off-Delay Timer digunakan untuk menunda kondisi OFF pada output untuk suatu perioda waktu tertentu setelah inputnya berada dalam kondisi OFF. Pada waktu input menjadi ON kembali, timer Bit segera ON dan dan nilai sekarang tereset ke 0. Pada waktu input berubah kekondisi OFF maka timer tetap menghitung sampai hitungan timer mencapai nilai waktu preset. Pada waktu nilai preset tercapai, timer bit berubah kondisi ke OFF dan nilai sekarang akan berhenti menghitung. Jika masukan input berada pada kondisi OFF untuk waktu yang lebih lama dari untuk waktu yang terdapat pada preset value, timer bit akan tetap ON. Instruksi TOF harus mendapat perubahan transisi dari ON ke OFF agar penghitungan waktu dapat dimulai. Resolusi instruksi Timer mempunyai tiga resolusi yaitu 1ms, 10ms, dn 100ms. Pemilihan ketiga jenis timer ini akan bergantung pada alamat atau address dari timer tersebut. Lihat tabel berikut untuk lebih jelasnya :

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 67

Bab 4

Strategi Penyajian

Lembar Informasi

HO-49
Berikut adalah ini contoh-contoh penggunaan instruksi-instruksi Timer :

Gambar 3.1 : Penggunaan instruksi On-Delay Timer dalam bentuk LAD,FBD dan STL

Terlihat bahwa timer akan ON setelah current valuenya (T33current) mencapai nilai preset (3) dan kondisi input (I2.0) juga dalam kondisi ON. Lamanya Bit T33 dalam kondisi ON ditentukan oleh nilai PT dan input I2.0.

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 68

Bab 4

Strategi Penyajian

Lembar Informasi

HO-50
Gambar 3.2 : Penggunaan instruksi Retentive On-Delay Timer dalam bentuk LAD,FBD dan STL

Terlihat bahwa timer akan ON (Bit T2) setelah current valuenya mencapai nilai preset (10) dan kondisi input (I2.0) juga dalam kondisi ON. Nilai T2 current akan bertambah selama kondisi input I2.1 ON dan nilainya akan tetap selama kondisi I2.1 OFF. Instruksi ini sangat berguna untuk menghitung akumulasi waktu yang telah digunakan dalam suatu proses.

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 69

Bab 4

Strategi Penyajian

Lembar Informasi

HO-51

Gambar 3.3 : Penggunaan instruksi Off-Delay Timer dalam bentuk LAD,FBD dan STL

Terlihat bahwa timer akan OFF (Bit T33) setelah current valuenya mencapai nilai preset (PT=3) dan kondisi input (I0.0) juga dalam kondisi OFF.

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 70

Bab 4

Strategi Penyajian

Lembar Informasi

HO-52 3.1.2 Pemrograman Pencacah (Counter)


Instruksi Count Up akan mencacah naik sampai pada nilai maksimum untuk setiap transisi dari OFF ke ON pada input Count Up. Nilai counter bit (Cxxx) akan On pada waktu nilai current value (Cxxx) lebih besar atau samadengan nilai Preset Value (PV). Counter akan direset jika input (R) dalam kondisi ON. Counter akan berhenti mencacah jika nilai current value mencapai nilai PV. Instruksi Count Up/Down akan mencacah naik untuk setiap transisi dari OFF ke ON pada input Count Up dan mencacah turun untuk setiap transisi OFF ke ON pada input Count Down (CD). Nilai counter bit (Cxxx) akan On pada waktu nilai current value (Cxxx) lebih besar atau sama dengan nilai Preset Value (PV). Counter akan direset jika input (R) dalam kondisi ON. Instruksi Count Down akan mencacah turun dari nilai PV untuk setiap transisi OFF ke ON pada input Count Down. Nilai counter bit (Cxxx) akan On pada waktu nilai current value (Cxxx) sama dengan nol. Counter akan direset dan mengisi nilai current value dengan nilai preset value (PV) jika input (LD) dalam kondisi ON. Down Counter ini akan berhenti menghitung jika nilai current valuenya mencapai nol. Pada editor STL, untuk instruksi CTU, nilai pada stack teratas adalah nilai Reset Input dan nilai pada lokasi stack kedua adalah merupakan nilai pada input Count Up. Pada editor STL, untuk instruksi CTUD, nilai pada stack teratas adalah nilai Reset Input, nilai pada lokasi stack kedua adalah merupakan nilai pada input Count Down dan nilai pada stack ketiga adalah nilai Count Up. Pada editor STL, untuk instruksi CTD, nilai pada stack teratas adalah nilai Reset Input dan nilai pada lokasi stack kedua adalah merupakan nilai pada input Count Down.

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 71

Bab 4

Strategi Penyajian

Lembar Informasi

HO-53
Berikut ini adalah contoh penggunaan Down Counter yang ditulis dengan editor LAD, FBD dan STL. Terlihat dalam gambar tersebut nilai PV = 3 dan Input CD dikendalikan oleh I3.0 dan input LD oleh I1.0. Timing diagram menunjukan bahwa Down Counter ini akan mencacah turun dari nilai PV setiap terjadi perubahan OFF ke ON pada input CD. Counter ini akan berhenti menghitung jika telah mencapai nilai 0, pada saat itu kondisi Bit dari counter C50 akan menjadi ON sampai input LD menjadi ON. Pada saat ini nilai PV dipindahkan menjadi nilai Current dari C50. Counter ini akan menghitung kembali apabila kondisi input LD sudah kembali ke OFF dan input CD mendapatkan perubahan kondisi OFF ke ON.

Gambar 3.4 : Contoh penggunaan Down Counter.

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 72

Bab 4

Strategi Penyajian

Lembar Informasi

HO-54
Berikut ini adalah contoh penggunaan Up/Down Counter yang ditulis dengan editor LAD, FBD dan STL. Terlihat dalam gambar tersebut nilai PV = 4 dan Input CU dikendalikan oleh I4.0 , input CD oleh input I3.0, dan input R oleh I2.0. Dalam timing diagram tersebut terlihat Up/Down counter akan mencacah naik jika pada input CU mendapatkan transisi OFF ke ON yang dikendalikan oleh kondisi input I4.0. Counter akan mencacah turun jika pada input CD mendapatkan transisi OFF ke ON yang dikendalikan oleh kondisi input I3.0. Pada timing diagram terlihat counter mencacah naik dari 0 sampai ke 5 kemudian mencacah turun sampai nilai current = 3, dan mencacah naik kembali sampai ke nilai 5 dan akhirnya tereset ke nilai 0. Kondisi Reset ini dikendalikan oleh kondisi input R, yaitu nilai current akan kembali ke 0 apabila input R mendapatkan suatu transisi OFF ke ON. Pada timing diagram juga terlihat bahwa nilai Bit C48 tersebut akan ON untuk nilai current C48 yang lebih besar atau sama dengan nilai PVnya.
Gambar 3.5 : contoh penggunaan Up/Down Counter

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 73

Bab 4

Strategi Penyajian

Lembar Informasi

HO-55 3.1.3 Instruksi Pengendalian Program (Program Control Instruction)


STOP, END, dan WDR Instruksi-instruksi pengendalian program digunakan untuk mengatur alur atau jalannya program yang menentukan bagaimana urutan atau step dari pengeksekusian kolompok instruksi tertentu. Instruksi-instruksi yang termasuka dalam kelompok pengendalian program (Program Control Instruction) ini antara lain adalah : STOP, END, WDR, JMP, LBL, SBR, RET, FOR, NEXT, SCR dsb. Untuk penjelasan detail tentang instruksi tersebut dapat melihat manual CPU S7-200 sebagai referensi. Gambar dibawah ini merupakan contoh penggunaan instruksi STOP, END, dan WDR pada suatu program. Pada network 1, instruksi STOP akan dieksekusi jika terdapat I/O Error Pada network 2 atau rung 2, timer Watchdog akan direset jika kondisi M5.6 adalah ON Pada network 3, program utama akan selesai jika kondisi I0.0 adalh ON

Gambar 3.6 : Penggunaan instruksi STOP, END, dan WDR

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 74

Bab 4

Strategi Penyajian

Lembar Informasi

HO-56
JMP dan LBL Gambar dibawah ini merupakan contoh penggunaan instruksi JMP dan LBL pada suatu program. Pada network 14, instruksi JMP akan dieksekusi jika retentive data masih tersimpan yang akan dilihat dari kondisi pada special memory SM0.2. Instruksi JMP to LBL 4 ini akan membuat program meloncat ke LBL 4 (terdapat pada network 33). Penggunaan instruksi JMP to LBL ini harus dilakukan pada suatu bagian program yang sama misalnya berada dalam : Program utama, dalam Subrutin atau dalam Interupt Rutin.
Gambar 3.7 : Penggunaan instruksi JMP to LBL

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 75

Bab 4

Strategi Penyajian

Lembar Informasi

HO-57
SBR dan RET Berikut ini adalah contoh pemakaian instruksi SBR dan RET. Instruksi SBR ini akan menyebabkan program meloncat kelokasi subrutin yang dituju. Pada instruksi ini akan terjadi penggunaan parameter-parameter tertentu. Parameter tersebut harus dideklarasikan terlebih dahulu tipenya sebelum dapat digunakan pada subrutin. Penggunaan parameter ini dalam subrutin dilaksanakan dengan instruksi CALL yang didahului dengan nama subrutin kemudian diikuti dengan parameter-parameter lainnya. Lihat manual sebagai referensi. Pada gambar dibawah instruksi SBR akan dilaksanakan pada scan yang pertama (dikendalikan oleh kondisi pada SM0.1). Setelan program meloncat ke subrutin 10, maka instruksi conditional return (RET) dapat diberikan untuk keluar dari program subrutin. Dalam gambar dibawah instruksi RET ini akan dilaksanakan jika kondisi M14.3 adalah ON.

Gambar 3.8 : Penggunaan Instruksi SBR dan RET

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 76

Bab 4

Strategi Penyajian

Lembar Informasi

HO-58
Instruksi FOR dan NEXT digunakan untuk melakukan looping atau pengulangan suatu instruksi yang terletak diantara instruksi FOR dan NEXT beberapa kali yang ditentukan oleh nilai input INDX, INIT dan FINAL. Dalam gambar dibawah pada waktu I2,0 dalam kondisi ON maka instruksi dari Network 1 sampai network 20 (ditunjukan oleh anak panah 1) dieksekusi sebanyak 100 kali. Nilai seratus ini didapat dari nilai : (FINAL INIT) + 1, Setiap kali pengulangan maka nilai pada INDX akan bertambah 1. Jika nilai pada INDX lebih besar dari nilai FINAL maka proses pengulangan ini berakhir. Pada pengulangan yang ditunjukan oleh anak panah 2 dapat dilihat jumlah pengulangan adalah (2-1)+1 = 2 kali. Akan tetapi pengulangan pada network 10 network 15 hanya akan dilaksanankan jika kondisi I2.1 adalah ON.

Gambar 3.9 : Contoh penggunaan instruksi FOR dan NEXT pada editor LAD dan STL

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 77

Bab 4

Strategi Penyajian

Lembar Informasi

HO-59
Instruksi Sequence Control Relay (SCR) ini digunakan untuk mengorganisasi operasi dari mesin atau membagi kedalam segmen-segmen yang serupa. Instruksi SCR ini mengijinkan pembagaian control program dalam segmen-segmen yang logis. Instruksi LSCR akan memanggil SCR dan mengisi stack dengan logika yang terdapat pada Bit S. Segmen SCR ini akan diaktifkan dan dinonaktifkan oleh kondisi logika SCR pada stack memory. Bagian teratas dari stack diisi oleh nilai yang ada pada S bit sehingga output box dan coil dapat dihubungkan pada garis power tanpa melalui kontak penghubung. Instruksi SCRE akan mengakhiri segmen SCR. Semua logika diantara instruksi LSCR dan SCRE akan membentuk segmen SCR dan tidak bergantung nilainya pada nilai S dalam stack untuk proses eksekusinya. Demikian juga dengan logika diantra SCRE dan instruksi LSCR berikutnya tidak bergantung pada nilai dari S pada stack memory. Instruksi SCRT akan menset S bit untuk mengaktifkan SCR berikutnya dan juga mereset S bit yang sudah terlebih dahulu dipanggil untuk mengaktifkan segmen SCR yang sekarang. Berikut ini contoh pemakaian instruksi LSCR, SCRT dan SCRE

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 78

Bab 4

Strategi Penyajian

Lembar Informasi

HO-60

Gambar 3.10 : Contoh penggunaan instruksi SCR untuk membagi program dalam segmensegmen logic.

Pada contoh diatas, bit SM0.1 pada scan pertama digunakan untuk men-set S0.1, yang akan menjadi bit aktif(True) dan segmen SCR yang pertama dijalankan. Setelah delay selama 2 detik yang disebabkan oleh T37, instruksi SCRT akan menonaktifkan SCR(S0.1) dan mengaktifkan SCR(S0.2). Instruksi SCRE pada Network 5 akan mengakhiri segmen SCR(S0.1 ini) Network 6 sampai network 9 yang merupakan segmen SCR(S0.2) dijalankan. Instruksi SCRE pada Network 9 akan mengakhiri segmen SCR(S0.2 ini)

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 79

Bab 4

Strategi Penyajian

Lembar Informasi

HO-61 3.1.4 Instruksi Pemrosesan Data


Instruksi data ini digunakan untuk memproses data-data dengan tujuan untuk mendapatkan hasil yang sesuai dengan kebutuhan. Dalam memproses data ini berbagai instruksi dapat dilakukan untuk menghasilkan perubahan atau hasil akhir yang diinginkan. Instruksi-instruksi dibawah ini adalah instruksi yang umum digunakan untuk data processing: Instruksi Data Comparison Instruksi Data Movement Instruksi Data Shifting and Rotate Instruksi Data Convertion

Data Compare Instruksi ini akan membandingkan nilai kedua operand dari instruksi tersebut. Tipe data yang dibandingkan bisa berupa : Byte, Integer, Double Word, dan real. Sedangkan jenis operasi perbandingannya bisa berupa : IN1 = IN2, IN1 >= IN2, IN1 <= IN2, IN1 > IN2, IN1 < IN2, atau IN1 <> IN2. Berikut ini adalah contoh dari penggunaan instruksi compare ini : Nilai pada lokasi VW4 dibandingkan dengan nilai pada lokasi VW8, jika VW4>=VW8 maka kondisi keluaran Q0.3 akan ON

Gambar 3.11 : contoh penggunaan instruksi Compare.

HO-62
Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc Page 80

Bab 4

Strategi Penyajian

Lembar Informasi

Data Movement Data movement ini bisa dilaksanakan dengan berbagai cara sesuai dengan tipe data dan kebutuhan. Instruksi yang digunakan untuk memindahkan data ini adalah MOVE. Instruksi MOVE yang digunakan untuk memindahkan data dari satu lokasi kelokasi yang lain adalah :

Move Byte, Move Word, Move Double Word, Move Real


Instruksi MOVE yang digunakan untuk memindahkan satu blok data dari satu lokasi kelokasi yang lain adalah :

Block Move Byte, Block Move Word, Block Move Double Word
Instruksi yang digunakan untuk menukar byte data MSB ke Byte LSB pada suatu data Word adalah :

Swap Bytes
Berikut ini adalah contoh dari penggunaan instruksi Block Move untuk melakukan pemindahan suatu blok data. Dalam contoh tersebut kondisi pada I2.1 akan memicu pemindahan data dari lokasi VB20 s/d VB23 ke lokasi VB100 s/d VB103. Terminal N menunjukan banyaknya Byte data yang akan dipidahkan (dalam contoh ini 1blok = 4 byte):

Gambar 3.12 : Contoh penggunaan instruksi Block Move dalam LAD, FBD dan STL

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 81

Bab 4

Strategi Penyajian

Lembar Informasi

HO-63
Berikut ini adalah contoh dari penggunaan instruksi Move dan Swap untuk melakukan pemindahan dan penukaran byte data. Dalam contoh tersebut kondisi pada I2.1 akan memicu pemindahan data dari lokasi VB50 ke lokasi AC0 dan menukar MSB byte ke LSB byte pada Word di - AC0.
Gambar 3.13: Contoh penggunaan instruksi Move dan Swap

Data Shifting and Rotate Jenis Instruksi ini akan menggeser dan memutar bit-bit data kekiri dan kekanan sesuai dengan instruksinya. Instruksi yang digunakan untuk mengeser data adalah Shift Right dan Shift Left serta tipe data yang dapat digeser adalah : Byte dengan jumlah pergesaran maksimum 8 kali. Word dengan jumlah pergeseran maksimum 16 kali Double Word dengan jumlah pergeseran maksimum 32 kali

Instruksi yang digunakan untuk memutar data adalah Rotate Right dan Rotate Left Tipe data yang dapat diputar adalah : Byte dengan jumlah perputaran maksimum 8 kali. Word dengan jumlah pergeseran maksimum 16 kali Double Word dengan jumlah pergeseran maksimum 32 kali

HO-64
Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc Page 82

Bab 4

Strategi Penyajian

Lembar Informasi

Berikut ini adalah contoh pemakaian instruksi Shift dan Rotate. Dapat dilihat bahwa program ini akan menggeser data kekanan pada AC0 sebanyak 2 kali dan memutar data kekiri pada VW200 sebanyak tiga kali

Gambar 3.14 : Contoh pemakaian instruksi Shift dan Rotate

Data Conversion Jenis Instruksi ini akan mengkonversi data dari satu tipe data ke tipe data lainnya. Jenis instruksi konversi data yang umum adalah BCD to Integer. Integer to BCD Double Integer to Real Round Truncate Double Integer to Integer Integer to Double Integer Byte to Integer Integer to Byte

HO-65
Page 83

Berikut ini adalah contoh dari instruksi Convert dalam LAD , STL dan FBD
Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Bab 4

Strategi Penyajian

Lembar Informasi

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 84

Bab 4

Strategi Penyajian

Lembar Informasi

HO-66 3.1.5 Instruksi matematis


Instruksi matematis ini digunakan untuk melaksanakan fungsi matematis seperti penambahan, pengurangan, pembagian, dan perkalian. Tipe data yang dapat digunakan pada instruksi matematis ini adalah : Integer, Double Integer, Real Instruksi Increment dan Decrement merupakan instruksi yang digunakan untuk penambahan dan pengurangan secara bertahap pada suatu data Byte, Word atau Doube Word

Gambar 3.15 : Contoh dari pengguaan instruksi matematis Selain matematis instruksi instruksi diatas, biasanya suatu PLC mempunyai instruksi numeris yang khusus seperti : Square Root, Logarithmic, Exponential, Sine, Cosine, Tangent dan PID function. Penggunaan instruksi-instruksi tersebut dapat dilihat pada manual CPU S7-200 dari Siemens. Khususnya topik mengenai PID tidak dibahas disini karena menyangkut aspek lain yang berada diluar jangkauan dari criteria untuk unit kompetensi ini.

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 85

Bab 4

Strategi Penyajian

Lembar Informasi

HO-67 4.1 Menganalisa program aplikasi dan mencari kerusakan pada sistem aplikasi
Ada beberapa metoda dalam mencari kerusakan pada suatu sistem. Sistem disini bisa berupa rangkaian elektronika, hubungan antar komponen, dan program yang menjalankan sistem tersebut. Cara/metoda ini dapat digunakan bergantung pada situasi yang dihadapi. Kata situasi disini dapat berarti instrumentasi yang tesedia, lokasi kerja, dan kemampuan dari teknisi sendiri. Dibawah ini adalah langkah-langkah umum yang biasanya digunakan dalam pencarian kerusakan pada suatu sistem . (a) Analisa gejala kerusakan dan temukan letak permasalahannya. (b) Lokalisasi bagian yang bermasalah pada komponen tertentu. (c) Pisahkanlah bagian yang bermasalah pada satu tingkat. (d) Temukan lokasi terjadinya kerusakan. Untuk melaksanakan proses (a) diatas, seorang troubleshooter harus dapat menganalisa cara kerja sistem tersebut dan mengetahui hasil atau output yang diharapkan. Dalam suatu sistem aplikasi PLC, analisa ini akan menyangkut banyak hal yang mencakup sensor/transducer, rangkaian signal conditioning, CPU itu sendiri, program yang dijalankan, komponen output seperti relay , solenoid, motor dsb. Untuk itu didalam mencari sumber kerusakan yang paling utama adalah mengetahui cara kerja program pada CPU sehingga dapat memastikan urutan-urutan logis dari cara kerja tiap komponen. Pembahasan disini akan dibatasi pada pemahaman program pada CPU dan mendeteksi kondisi-kondisi input dan output yang sesuai. Pemahaman tentang komponen-komponen lain seperti transducer, sensor, rangkaian signal conditioning, motor, actuator dsb akan dibahas pada unit yang lain. Pada kebanyakan situasi dan kondisi yang sering terjadi, dilokasi kerusakan tidak selalu tersedia referensi dari system yang rusak. Untuk itu hal-hal praktis yang harus dilakukan dalam melakukan pengujian terhadap sistem PLC adalah : 1. Mendapatkan laporan tentang kerusakan yang terjadi. (lisan maupun tertulis). Buat dokumentasi yang jelas sebagai referensi pada waktu anda melakukan pengujian terhadap system tersebut. 2. Mencari referensi/spesifikasi komponen sebanyak mungkin. Tiap komponen pasti mempunyai spesifikasi dari manufakturnya. Jika spesifikasi tersebut tidak bisa didapatkan dilokasi, usakan mendapatkannya dari sumber lain mis: Internet, Hubungi manufakturnya, Buku-buku lain yang berhubungan. Dokumentasikan semua referensi tersebut dengan baik sebagai sumbar informasi anda didalam menganalisa gejala kerusakan dan cara kerja sistem tersebut. Referensi ini akan berguna pada kesempatan lain jika anda menemukan kerusakan pada system yang menggunakan komponen yang sama. 3. Mempelajari spesifikasi tersebut terutama yang berhubungan dengan gejala-gejala kerusakan. Perhatikan spesifikasi terutama mengenai Input dan Output, dan bandingkan spesifikasi tersebut dengan spesifikasi komponen-komponen yang berhubungan dengan terminal Input dan Output tersebut.

HO-68
Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc Page 86

Bab 4

Strategi Penyajian

Lembar Informasi

4. Mempelajari jalannya program dari printout atau flowchart. Jika source atau sumber program tersebut tidak tersedia, anda dapat mengusahakan untuk meload program pada CPU tersebut ke-komputer dan mencetak program tersebut sebagai referensi. Berdasarkan hasil cetakan program tersebut anda dapat membuat flowchart dari cara kerja system tersebut untuk memudahkan analisa dilapangan. Untuk itu dalam unit ini disertakan software yang digunakan oleh CPU S7-200 yang berisi Step 7 Micro/Win32, beberapa hardware manual dan contoh-contoh program. Siswa diharapkan dapat menggunakan software yang tersedia ini sebagai sumber informasi utama dalam menganalisa suatu system PLC. Didalam menganalisa program ini sangat dibutuhkan pengetahuan tentang instruksi-instruksi umum yang digunakan pada PLC dan CPU manual dari PLC jenis tersebut sangat diperlukan untuk membantu pemahaman program tersebut. Apabila system tersebut telah bekerja dengan baik sebelumnya dan kemudian terjadi kerusakan, sangat mungkin kerusakan tersebut tidak disebabkan oleh program yang salah. Pemahaman tentang cara kerja ini lebih dimaksudkan untuk logika pencarian kerusakan yang akan dilakukan. 5. Mencoba sistem tersebut dengan terlebih dahulu mengisolasi bagian-bagian yang membahayakan (terutama yang berhubungan dengan bagian output yang berhubungan dengan komponen terakhir, mis motor, actuator dsb.). Setelah anda memahami cara kerja system, anda harus mencoba system tersebut untuk membandingkan gejala kerusakan yang anda dapatkan dengan data kerusakan sebelumnya. Dengan berbekal pemahaman tentang cara kerja system tersebut, buatlah suatu hipotesa/kesimpulan sementara tentang bagian-bagian yang dicurigai menimbulkan masalah. Apabila tersedia peralatan yang cukup, ada baiknya anda mensimulasikan proses tersebut dengan menggunakan sakelar atau logic data sebagai simulasi input dan logic indicator sebagai simulasi output. Proses simulasi ini akan memastikan apakah masalah/kerusakan terletak pada CPU itu sendiri atau pada komponen-komponen diluar CPU. 6. Pada kebanyakan PLC tidak direkomendasikan untuk membuka/memperbaiki rangkaian elektronik yang terdapat didalamnya. Masalah/kerusakan yang diijinkan untuk diperbaiki biasanya hanya yang berhubungan dengan pengabelan, rangkaian power supply, beban maksimum yang diijinkan, jenis input yang tidak sesuai, gangguan pada sinyal input, dan kesalahan programming. Jika semua hal diatas berfungsi dengan baik berarti kerusakan terdapat pada CPU dan solusinya adalah mengganti dengan backup CPU (jika ada) dan mengirimkan CPU tersebut ke service center yang terdekat. Jika keadaan sangat mendesak dan anda mempunyai pengetahuan yang cukup tentang komponen-komponen elektronik terutama system microcontroller dan interfacenya maka anda dapat membuka PLC tersebut dan mengadakan pemeriksaan terhadap komponen-komponen tertentu saja seperti transistor, relay, optocoupler, resistor, kapasitor, rangkaian power supply dan fuse, varistor (voltage spike protection). Jangan lakukan pengujian pada IC control dan microcontroller terutama dalam kondisi power ON kecuali anda mempunyai service manual dari CPU tersebut yang menjelaskan checking prosedures. Jika anda dapat melokalisasi kerusakan pada komponen tertentu anda dapat mencoba untuk mengganti komponen tersebut dengan komponen yang sama atau persamaannya. Sekali lagi hal ini tidak dianjurkan kecuali telah disetujui oleh pihak yang berwewenang. 7. Jika sampai langkah ke 6 ini semua masih berfungsi dengan normal kemungkinan besar system tersebut tidak bekerja karena kerusakan pada satu atau lebih komponenkomponen eksternal yang terhubung pada CPU tersebut. Jika anda mengetahui spesifikasi dan cara kerja komponen-komponen tersebut, periksalah kondisi komponen tersebut berdasarkan spesifikasinya. Jika komponen tersebut terbukti masih bekerja dengan baik maka komponen tersebut dapat dihubungkan kembali ke CPU.

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 87

Bab 4

Strategi Penyajian

Tugas

Tugas

Bagian Satu Tugas 1


1. Rancanglah suatu system PLC yang berfungsi untuk mengatur isi suatu tanki air secara otomatis. Level air pada tangki tersebut harus selalu berada pada jangkauan tertentu dan tidak bergantung kepada banyaknya pemakaian air. 2. Sebutan komponen-komponen mana saja yang merupakan Input dan sebutkan fungsi tiap komponen tersebut. 3. Sebutkan komponen-komponen apa saja yang merupakan output dan sebutkan fungsi tiap komponen tersebut. 4. Faktor keselamatan apakah yang harus diperhatikan?. Bagaimana kalau terjadi kerusakan pada CPU, apakah system mempunyai system pencegahan (safety feature)? 5. Apakah pada system yang anda rancang diperlukan adanya operator untuk menjalankan system tersebut?

Tugas 2
1. Misalkan anda mempunyai suatu system pengendalian tertutup (closed loop system) dengan PLC yang berfungsi untuk mengatur posisi relatif dengan menggunakan stepper motor. Posisi ini disensor dengan menggunakan incremental encoder yang akan dihubungkan pada counter kecepatan tinggi pada CPU 214. Perhatikan gambar berikut yang menggambarkan proses pengendalian tertutup ini.

2. Gambarkan blok diagram yang menggambarkan proses closed loop control ini.
Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc Page 88

Bab 4

Strategi Penyajian

Tugas

3. Komponen manakah yang merupakan feedback bagi CPU? 4. Komponen manakah yang merupakan input bagi CPU? 5. Komponen manakah yang merupakan output bagi CPU? 6. Apakah output dari system ini bergantung kepada kondisi output itu sendiri?

Tugas 3
1.
Perhatikan flowchart yang menggambarkan proses pada tugas No.2 diatas.

2. Apakah tujuan bagian proses yang bertanda Did faulty position occur? 3. Keluaran dari proses pada langkah 2 merupakan pengambilan keputusan Yes atau No,
terangkan apa yang terjadi apabila terjadi Yes dan apabila terjadi No

4. Apakah gunanya reference point dalam system ini?

Tugas 4
Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc Page 89

Bab 4

Strategi Penyajian

Tugas

Dengan menggunakan manual CPU S7-200 isilah spesifikasi untuk CPU 221 dibawah ini : Dimensions (W x H x D) Weight Power loss (dissipation) = _______________________ = _______________________ = _______________________

Input Features Number of integrated inputs = _______________________ Input type = _______________________ Input Voltage Maximum continuous permissible Surge Rated value Logic 1 signal (minimum) Logic 0 signal (maximum) Isolation Optical isolation (galvanic) Isolation groups of Input Delay Times Maximum = _______________________ = _______________________ = _______________________ = _______________________ = _______________________ = _______________________ = _______________________ = _______________________

Connection of 2-Wire Proximity Sensor (Bero) Permissible leakage current = _______________________ Cable Length Unshielded = _______________________ Shielded = _______________________ Number of Inputs ON Simultaneously 40 C = _______________________ 55 C = _______________________ Power Consumption From +5 VDC (from I/O bus)

= _______________________

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 90

Bab 4

Strategi Penyajian

Tugas

Tugas 5
1. Pada CPU 221 berapakah jumlah input yang dapat digunakan secara bersama-sama pada suhu 55 C? 2. Perhatikan gambar berikut.

3. Berdasarkan spesifikasi berapakah tegangan yang diijinkan untuk titik no.2 pada gambar? 4. Berdasarkan gambar diatas berapakah kira-kira arus yang akan mengalir pada tiap input? 5. Berapakah batas tegangan untuk logika 1 (High) pada input? 6. Berapakah batas tegangan untuk logika 0 (Low) pada input? 7. Jenis isolasi apakah yang diberikan pada tiap input? 8. Jika anda menggunakan kabel Shielded untuk hubungan input berapakah jarak maksimum yang diijinkan?

Tugas 6
Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc Page 91

Bab 4

Strategi Penyajian

Tugas

1. Perhatikan gambar terminal output untuk CPU EM 222 24VDC Output Module

2. Berapakah jumlah output pada gambar diatas? 3. Berapakah jangkauan tegangan pada output? 4. Jika terdapat logika 1 pada output, berapakah arus maksimal yang diijinkan pada output tersebut? 5. Berapakah lonjakan arus (surge current) yang diijinkan pada output tersebut? 6. Apakah terdapat optical isolation pada termninal output tersebut? 7. Komponen diriver apakah yang digunakan pada output?

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 92

Bab 4

Strategi Penyajian

Tugas

Tugas 7
1. Isilah table berikut untuk CPU 221 DC/DC/DC On-board digital inputs On-board digital output High-speed counters (32-bit value) No. of single phase counters No. of two phase counters Pulse outputs Analog adjustments Timed interrupts Edge interrupts Selectable input filter times Pulse catch Program size (stored permanently) Data block size: Stored permanently Backed by super capacitor or battery Maximum digital I/O Internal memory bits Stored permanently on power down Backed by super capacitor or battery Timers total Backed by super capacitor or battery 1 ms 10 ms 100 ms Counters total Backed by super capacitor or battery Boolean execution speed Move Word execution speed Timer/Counter execution speed Single precision math execution speed Real math execution speed Super capacitor data retention time 2. 3. 4. 5. 6. 7. = ____________________ = ____________________ = ____________________ = ____________________ = ____________________ = ____________________ = ____________________ = ____________________ = ____________________ = ____________________ = ____________________ = ____________________ = ____________________ = ____________________ = ____________________ = ____________________ = ____________________ = ____________________ = ____________________ = ____________________ = ____________________ = ____________________ = ____________________ = ____________________ = ____________________ = ____________________ = ____________________ = ____________________ = ____________________

Apakah fungsi input filter? Apakah fungsi pulse catch? Apakah fungsi High Speed Counter? Berapakah ukuran program yang dapat disimpan dalam memori? Berpakah Bit memori internal yang tersedia? Apakah fungsi dari Analog Adjustment?

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 93

Bab 4

Strategi Penyajian

Tugas

Tugas 8
1. Jika anda diberi suatu instruksi dalam bentuk STL seperti berikut : NETWORK 1 LD AN AN AN AN AN AN S Start_Button Reset Enable_One Enable_Two Enable_Three Enable_Four Enable_Five Enable_One, 1 // Start First Step // Load input I0.1. // If input I0.1 is set // and input I0.0 is not set // and memory bit M0.0 is not set // and memory bit M0.1 is not set // and memory bit M0.2 is not set // and memory bit M0.3 is not set // and memory bit M0.4 is not set, // set memory bit M0.0. // Run First Step // Load memory bit M0.0. // If memory bit M0.0 is set, S TON Q0.2, 2 T37, +50 // set outputs Q0.2 and Q0.3. // Start timer T37 with PT of // 50 (5 s). 2. Buatlah program yang sama dalam bentuk Ladder diagram 3. Buatlah program yang sama dalam bentuk FBD 4. Buatlah program yang sama dalam instruksi IEC 1131-3 5. Apakah keuntungan dari penggunaan IEC 1131-3 6. Jika anda seorang programmer yang berpengalaman dalam menggunakan bahasa Assembly language, jenis editor manakah lebih cocok anda gunakan? 7. Dalam menggunakan CPU S7-200 editor manakah yang dapat melaksanakan paling banyak fungsi?

NETWORK 2 LD Enable_One

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 94

Bab 4

Strategi Penyajian

Tugas

Tugas 9
1. Apakah yang dimaksud dengan siklus telusuran scan cycle pada CPU? 2. Berapa kali scan cycle ini akan dijalankan pada CPU jika sebuah program di RUN? 3. Apakah yang mempengaruhi lamanya scan cycle ini? 4. Apakah yang dimaksud dengan waktu eksekusi ? 5. Jika anda mempunyai program dalam STL seperti dibawah ini berapakah waktu eksekusi yang dibutuhkan dalam satu scan cycle? (Lihat lampiran untuk waktu eksekusi pada manual S7-200)

6. Dengan menggunakan table waktu eksekusi yang terdapat pada manual S7-200, instruksi apakah yang membutuhkan waktu eksekusi paling lama?

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 95

Bab 4

Strategi Penyajian

Tugas

Tugas 10
1. Apakah yang dimaksud dengan pengalamata langsung (direct addressing) dan pengalamatan tidak langsung (indirect addressing)? 2. Tulislah format untuk mengalamati Process-Image Output Register (Q). 3. Tulislah format untuk mengalamati Variable Memory Area (V). 4. Sebutkan jenis-jenis memor1 yang ada pada CPU 221 5. Sebutkan jenis memori yang ditunjukan oleh alamat : SMB86 M26.7 LB33 I0.4 = = = =

6. Pada pengalamatan tidak langsung dikenal suatu data yang disebut pointer. Apakah kegunaan pointer ini. 7. Jenis/tipe memori apa saja yang bisa dipakai sebagai pointer? 8. Jika alamat V100 berisi 45 ,V101 berisi 67, dan alamat V102 berisi 89, maka pada akhir instruksi nilai pada AC0 adalah : _____________ MOVD MOVW INCD MOVW &VB100,AC1 *AC1,AC0 AC1 *AC1,AC0

9. Buatlah suatu program yang akan menyimpan 4 bit data BCD pada input CPU pada VB100, kemudian keluarkan data tersebut pada Outputnya setelah data tersebut ditambah dengan 3. 10. Sebutkan fungsi dari spesial memori berkut ini : SM0.0 SM0.1 SM0.7 SM1.0 SM1.4 SM1.6

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 96

Bab 4

Strategi Penyajian

Tugas

Tugas 11
1. Apakah yang dimaksud dengan komunikasi pada system PLC? 2. Untuk melaksanakan komunikasi tersebut suatu PLC terhubung dengan PLC lain atau dengan komponen lain melalui suatu media dan protocol. CPU S7-200 berkomunikasi dengan menggunakan protocol apa saja? 3. Jika satu CPU dihubungkan dengan sebuah komputer sebagai programming device, maka komunikasi dan protocol manakah yang sebaiknya dipilih? 4. Communication port pada CPU S7-200 berupa apa? 5. Untuk berkomunikasi dengan komponen lain yang mempunyai standar komunikasi RS 232, CPU membutuhkan kabel penghubung yang disebut apa? 6. Apakah yang dimaksud dengan Freeport communications pada CPU S7-200? 7. Apakah yang dimaksud dengan Baudrate? 8. Apakah yang dimaksud dengan network repeater? 9. Berapakah jangkauan terjauh suatu network yang terdiri dari beberapa CPU S7-200 dengan mengunakan repeater? 10. Hubungkan CPU yang tersedia dengan personal komputer melalui komunikasi serial RS232. Cobalah untuk membuat program sederhana untuk menyalakan sebuah pilot lamp 24VDC dengan suatu sakelar. Up-load program anda ke PLC dan jalankan program tersebut.

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 97

Bab 4

Strategi Penyajian

Tugas

Tugas 12
1. Buatlah program dibawah ini dengan menggunakan Step7 Micro/Win32. Program ini akan menjalankan motor dalam pilihan putaran forward dan reverse.

Alat yang dibutuhkan : PLC Siemens, Step 7 Micro/Win32 Programming Software, Push button sesuai gambar diatas, Magnetic contactor 3 phase , 24VDC (2pcs), dan 3 phase Induction motor

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 98

Bab 4

Strategi Penyajian

Tugas

Tugas 13
1. Buatlah program pada tugas no 13 dengan menggunakan dua editor lainnya. Misalnya anda mengerjakan tugas 13 dalam bentuk ladder diagram, maka buatlah program yang sama dengan menggunakan STL dan FBD.

Tugas 14
1. Buatlah program dibawah ini dengan menggunakan Step7 Micro/Win32. Program ini akan menjalankan motor induksi dengan star-delta start.

Tugas 15

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 99

Bab 4

Strategi Penyajian

Tugas

1. Buatlah program dibawah ini dengan menggunakan Ladder Diagram. Program ini akan menghitung level tegangan analog yang telah dikonversikan menjadi gelombang kotak dengan frekwensi yang sebanding dengan level tegangan analog tersebut. Gelombang kotak akan dihitung menggunakan High Speed Counter selama waktu tertentu, hasil penghitungan tadi kemudian diproses dan tegangan analagnya dapat dihitung.

Program ini telah dibuat dalam bentuk STL, anda dapat menganalisa program tersebut dan menuliskannya kembali dengan menggunakan Ladder diagram.

Alat yang dibutuhkan: a CPU with DC power supply, DC inputs, and DC outputs (DC/DC/DC) One voltage-to-frequency converter Technical Data : o o o o o Supply Voltage: Input: Output: Measuring Range: Ratio: 24 VDC

0 VDC to 10 VDC Square Wave Pulse, GND-24 V 0 V to 10 V -> 0 Hz to 2000 Hz

200 Hz/V (linear)

Tugas 15 - Lanjutan
//***MAIN PROGRAM***
Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc Page 100

Bab 4

Strategi Penyajian

Tugas

NETWORK 1 // Call Subroutine SBR0 // Load special memory SM0.1 bit to process this network in the first scan cycle only. // Call SBR0 to initialize the high-speed counter and enable a timed interrupt. LD First_Scan_On // Load SM0.1. CALL SBR_0 // Call SBR0. NETWORK 2 // Main Program End // End the main program. // MEND //***SUBROUTINE*** NETWORK 1 // Subroutine SBR0 // SBR 0 // Start SBR0. LD Always_On // Load SM0.0. MOVB 16#FC, HSC1_Ctrl // Load control bits for HSC1. HDEF 1, 0 // Assign mode 0 to HSC1. MOVD +0, HSC1_CV // Set the new current value of HSC1. MOVD 16#0000FFFF, HSC1_PV // Set the new preset value of // HSC1 (not used in this example). MOVB 100, Time_0_Intrvl // Set the time interval for timed INT0 = 100 ms. ATCH INT_0, 10 // Attach interrupt event 10 to INT0. ENI // Enable all interrupt events. HSC 1 // Start HSC1. NETWORK 3 // End of Subroutine SBR0 //***INTERRUPT ROUTINE*** NETWORK 1 // Interrupt Routine INT0 // Start INT0. // INT 0 // Start INT0. NETWORK 2 // Evaluate High-Speed Counter HSC1 LD Always_On // Load SM0.0. MOVD HC1, VD100 // Move the value in HSC1 to // VD100 to store the current count. MOVD +0, HSC1_CV // Reset the current value (CV) of HSC1 = 0. MOVB 16#C0, HSC1_Ctrl // Enable HSC1 and update currentvalue (CV). HSC 1 // Start HSC1. SRD VD100, 1 // Divide the count stored in VD100 in half. MOVB VB103, Display_Voltage // Display the result at QB0. (10 times the voltage). NETWORK 3 // End INT0. // RETI // End of Interrupt Routine INT0 // End INT0.

// End the main program.

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 101

Bab 4

Strategi Penyajian

Tugas

Tugas 16
1. Analisalah system dibawah ini. Anda diberikan program dalam STL.

//***MAIN PROGRAM*** NETWORK 1 // Call Subroutine SBR1 LD Always_On // Load SM0.0. CALL SBR_1 // Call SBR1. NETWORK 2 // Switch On Slow Clockwise Rotation LD ClockwiseSlow // Load input I0.0 If I0.0 is set, R StartClockwFast, 1 // reset output Q0.1. NETWORK 3 // Switch On Fast Clockwise Rotation LD ClockwiseFast // Load input I0.1. If I0.1 is set, R StartClockwSlow, 1 // reset output Q0.0. NETWORK 4 // Switch On Slow Counterclockwise Rotation LD CntrClockwSlow // Load input I0.2 If I0.2 is set, R StartCntrClockwFast, 1 // reset output Q0.3. NETWORK 5 // Switch On Fast Counterclockwise Rotation LD CntrClockwFast // Load input I0.3. If I0.3 is set, R StartCntrClockwSlow, 1 // reset output Q0.2. NETWORK 6 // Turn On "Off" Lamp LDN StartClockwSlow // Load output Q0.0 as a Normally Closed contact. // If output Q0.0 is not set

Tugas 16-lanjutan
Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc Page 102

Bab 4

Strategi Penyajian

Tugas

AN StartClockwFast AN StartCntrClockwSlow AN StartCntrClockwFast = Off_Lamp

// and output Q0.1 is not set // and output Q0.2 is not set // and output Q0.3 is not set, // turn on output Q0.4.

NETWORK 7 // Flash Signal Lamp during Waiting Period LD Wait_Time // Load memory bit M2.3. If memory bit M2.3 is set A Clock_1s // and special memory bit SM0.5 is set, = Wait_Lamp // turn on output Q0.5. NETWORK 8 // End the Main Program // End the main program. // MEND // End the main program.

//***SUBROUTINE*** NETWORK 1 NETWORK 2 // Subroutine SBR1 // Set the Interlock // Load input I0.0 If input I0.0 is set // or input I0.1 is set // or input I0.2 is set // or input I0.3 is set // and input I0.4 is not set // or input I0.1 is set // or input I0.2 is set // or input I0.3 is set // and input I0.0 is set // or // input I0.2 is set // or input I0.3 is set // and input I0.1 is set // or // input I0.2 is set // and input I0.3 is set // or // memory bit M1.1 is set, // then // set memory bit M1.0.

LD ClockwiseSlow O ClockwiseFast O CntrClockwSlow O CntrClockwFast AN Motor_Off LD ClockwiseFast O CntrClockwSlow O CntrClockwFast A ClockwiseSlow OLD LD CntrClockwSlow O CntrClockwFast A ClockwiseFast OLD LD CntrClockwSlow A CntrClockwFast OLD LD Wait_Time OLD S Interlock, 1 NETWORK 3 LD AN AN

// Reset the Interlock // Load input I0.4. If input I0.4 is set // and input I0.0 is not set // and input I0.1 is not set

Motor_Off ClockwiseSlow ClockwiseFast

Tugas 16-lanjutan
Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc Page 103

Bab 4

Strategi Penyajian

Tugas

AN AN AN R

CntrClockwSlow CntrClockwFast Wait_Time Interlock, 1

// and input I0.2 is not set // and input I0.3 is not set // and memory bit M1.1 is not set, // reset memory bit M1.0.

NETWORK 4 LDN

// Enable Slow Clockwise Rotation // Load input I0.1 as a Normally Closed contact. // If input I0.1 is not set // and input I0.4 is set // and input I0.5 is set // and output Q0.2 is not set // and output Q0.3 is not set, // set memory bit M2.0.

ClockwiseFast

A Motor_Off A Circuit_Break AN StartCntrClockwSlow AN StartCntrClockwFast = Enable_ClockwSlow NETWORK 5 LDN

// Enable Fast Clockwise Rotation // Load input I0.0 as a Normally Closed contact. // If input I0.0 is not set // and input I0.4 is set // and input I0.5 is set // and output Q0.2 is not set // and output Q0.3 is not set, // set memory bit M2.1.

ClockwiseSlow

A Motor_Off A Circuit_Break AN StartCntrClockwSlow AN StartCntrClockwFast = Enable_ClockwFast NETWORK 6 LDN

// Enable Slow Counterclockwise Rotation // Load input I0.3 as a Normally // Closed contact. // If input I0.3 is not set // and input I0.4 is set // and input I0.5 is set // and output Q0.0 is not set // and output Q0.1 is not set, // set memory bit M2.2.

CntrClockwFast

A Motor_Off A Circuit_Break AN StartClockwSlow AN StartClockwFast = Enable_CntrClockwSlow NETWORK 7 LDN

// Enable Fast Counterclockwise Rotation // Load input I0.2 as a Normally // Closed contact. // If input I0.2 is not set // and input I0.4 is set // and input I0.5 is set // and output Q0.0 is not set // and output Q0.1 is not set, // set memory bit M2.3. // Run Clockwise Slow // Load input I0.0. If input I0.0 is set // or output Q0.0 is set

CntrClockwSlow

A Motor_Off A Circuit_Break AN StartClockwSlow AN StartClockwFast = Enable_CntrClockwFast NETWORK 8 LD O ClockwiseSlow StartClockwSlow

Tugas 16-lanjutan
AN Interlock // and memory bit M1.0 is not set
Page 104

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Bab 4

Strategi Penyajian

Tugas

A =

Enable_ClockwSlow StartClockwSlow

// and memory bit M2.0 is set, // set motor starter output Q0.0.

NETWORK 9

// Run Clockwise Fast // Load input I0.1. If input I0.1 is set // or output Q0.1 is set // and memory bit M1.0 is not set // and memory bit M2.1 is set, // set motor starter output Q0.1.

LD ClockwiseFast O StartClockwFast AN Interlock A Enable_ClockwFast = StartClockwFast NETWORK 10

// Run Counterclockwise Slow // Load input I0.2. If input I0.2 is set // or output Q0.2 is set // and memory bit M1.0 is not set // and memory bit M2.2 is set, // set motor starter output Q0.2.

LD CntrClockwSlow O StartCntrClockwSlow AN Interlock A Enable_CntrClockwSlow = StartCntrClockwSlow NETWORK 11

// Run Counterclockwise Fast // Load input I0.3. If input I0.3 is set // or output Q0.3 is set // and memory bit M1.0 is not set // and memory bit M2.3 is set, // set motor starter output Q0.3.

LD CntrClockwFast O StartCntrClockwFast AN Interlock A Enable_CntrClockwFast = StartCntrClockwFast NETWORK 12 LD EU S Off_Lamp

// Set Waiting Period Timer Bit // Load output Q0.4. // When there is a Positive // Transition (Edge Up) at // output Q0.4, // set memory bit M1.1. // Set Waiting Period and Start Timer // Load memory bit M1.1. // Store the value to be used with timer T33. // Enable timer T33 with PT from VW20. // When current timer value = Preset Time, // reset memory bit M1.1.

Wait_Time, 1

NETWORK 13 LD Wait_Time MOVW +500, VW20 TON T33, VW20 A T33 R Wait_Time, 1 NETWORK 14 // End SBR1. // RET

// End of Subroutine SBR1 // End SBR1.

Tugas 16-lanjutan
2. Buatlah flowchart dari program tersebut
Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc Page 105

Bab 4

Strategi Penyajian

Tugas

3. Rangkailah komponen-komponen yang diperlukan untuk membentuk system diatas. 4. Jalankan program tersebut. Amati semua cara kerja dari system tersebut dengan seksama. 5. Mintalah instruktur anda untuk memasukan satu jenis kerusakan pada system tersebut. 6. Gunakanlah cara-cara yang logis untuk mencari kerusakan tersebut. 7. Catatlah gejala kerusakan dan perbaiki system tersebut sehingga dapat kembali bekerja. 8. Tuliskan kerusakan yang terjadi, lokasi kerusakan, dan langkah untuk memperbaiki kerusakan tersebut.

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 106

Bab 4

Strategi Penyajian

Transparansi

OHT 1
Transparansi

1. Bagilah proses-proses yang terlibat dalam sistem yang anda rancang 2. Buatlah spesifikasi dari fungsi-fungsi setiap bagian pada sistem. 3. Rancanglah fasilitas keselamatan/proteksi pada sistem yang anda rancang 4. Buatlah informasi status dari rangkaian independen tersebut agar diketahui oleh CPU sehingga program dan antarmuka operator dapat mengetahui informasi yang diperlukan. 5. Tentukanlah lokasi-lokasi dari operator 6. Buatlah gambar dari konfigurasi PLC. 7. Buatlah daftar dari simbol-simbol dari sinyal yang digunakan sebagai referensi. Gambar 1.1 : Hubungan program aplikasi dengan input dan output

OHT 2
Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc Page 107

Bab 4

Strategi Penyajian

Transparansi

R(s)

Y(s) Gco(s) Gp(s)

OPEN LOOP SYSTEM

R(s) + _

E Gcc(s) Gp(s) Feedback H(s)

HY

CLOSED LOOP SYSTEM

CONTOH OPEN LOOP SYSTEM

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 108

Bab 4

Strategi Penyajian

Transparansi

OHT 3

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 109

Bab 4

Strategi Penyajian

Transparansi

OHT 4

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 110

Bab 4

Strategi Penyajian

Transparansi

OHT 5

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 111

Bab 4

Strategi Penyajian

Transparansi

OHT 6

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 112

Bab 4

Strategi Penyajian

Transparansi

OHT 7

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 113

Bab 4

Strategi Penyajian

Transparansi

OHT 9

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 114

Bab 4

Strategi Penyajian

Transparansi

OHT 10

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 115

Bab 4

Strategi Penyajian

Transparansi

OHT 11

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 116

Bab 4

Strategi Penyajian

Transparansi

OHT 12

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 117

Bab 4

Strategi Penyajian

Transparansi

OHT 13

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 118

Bab 4

Strategi Penyajian

Transparansi

OHT 14

OHT 15

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 119

Bab 4

Strategi Penyajian

Transparansi

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 120

Bab 4

Strategi Penyajian

Transparansi

OHT 16

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 121

Bab 4

Strategi Penyajian

Transparansi

OHT 17

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 122

Bab 4

Strategi Penyajian

Transparansi

OHT 18

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 123

Bab 4

Strategi Penyajian

Transparansi

OHT 19

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 124

Bab 4

Strategi Penyajian

Transparansi

OHT 20

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 125

Bab 4

Strategi Penyajian

Transparansi

OHT 21

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 126

Bab 4

Strategi Penyajian

Transparansi

OHT 22

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 127

Bab 4

Strategi Penyajian

Transparansi

OHT 23

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 128

Bab 4

Strategi Penyajian

Transparansi

OHT 24

CONTOH PENGGUNAAN DOWN COUNTER

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 129

Bab 4

Strategi Penyajian

Transparansi

OHT 25

CONTOH PENGGUNAAN INSTRUKSI SCR UNTUK MEMBAGI PROGRAM DALAM SEGMEN-SEGMEN LOGIC.

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 130

Bab 4

Strategi Penyajian

Transparansi

OHT 26

CONTOH PENGGUNAAN INSTRUKSI SCR UNTUK MEMBAGI PROGRAM DALAM SEGMEN-SEGMEN LOGIC.

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 131

Bab 4

Strategi Penyajian

Transparansi

OHT 27

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 132

Bab 4

Strategi Penyajian

Transparansi

OHT 28

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 133

Bab 5

Cara Menilai Unit Ini

BAB 5

CARA MENILAI UNIT INI

Apa yang Dimaksud dengan Penilaian ?


Penilaian adalah proses pengumpulan bukti-bukti hasil ujian/pekerjaan dan pemberian nilai atas kemajuan peserta pelatihan dalam mencapai kriteria unjuk kerja seperti yang dimaksud dalam Standar Kompetensi. Bila pada nilai yang ditetapkan telah tercapai ( sesuai dengan kriteria ), maka dinyatakan bahwa kompetensi sudah dicapai . Penilaian lebih untuk mengidentifikasi pencapaian dan penguasaan kompetensi peserta pelatihan dari pada hanya untuk membandingkan prestasi peserta terhadap peserta lain.

Apa yang Dimaksud dengan Kompeten?


Tanyakan pada diri Anda sendiri : Kemampuan kerja apa yang benar-benar dibutuhkan oleh peserta pelatihan? Jawaban terhadap pertanyaan ini akan mengatakan kepada Anda tentang apa yang kita maksud dengan kata kompeten. Untuk menjadi kompeten dalam suatu pekerjaan yang berkaitan dengan keterampilan berarti bahwa orang tersebut harus mampu untuk : menampilkan keterampitan pada level (tingkat) yang dapat diterima mengorganisikan tugas-tugas yang dibutuhkan. merespon dan bereaksi secara layak bila sesuatu salah memenuhi suatu peranan dalam sesuatu rangkaian tugas-tugas pada pekerjaan mentransfer/mengimplementasikan keterampilan dan pengetahuan pada situasi baru.

Bila Anda menilai kompetensi ini Anda harus mempertimbangkan seluruh issue-issue di atas untuk mencerminkan sifat kerja yang nyata .

Pengakuan Kompetensi yang Dimiliki


Prinsip penilaian terpadu memberikan pengakuan terhadap kompetensi yang ada tanpa memandang dari mana kompetensi tersebut diperoleh. Penilai mengakui bahwa individuindividu dapat mencapai kompetensi dalam berbagai cara: kualifikasi terdahulu belajar secara informal.

Pengakuan terhadap kompetensi yang ada dengan mengumpulkan bukti-bukti kemampuan untuk dinilai apakah seseorang telah memenuhi standar kompetensi, baik memenuhi standar kompetensi untuk suatu pekerjaan maupun untuk kualifikasi formal.

Kualifikasi Penilai
Dalam kondisi Iingkungan kerja, seorang peniIai industri yang diakui akan menentukan apakah seorang pekerja mampu melakukan tugas yang terdapat dalam unit kompetensi ini . Untuk menilai unit ini mungkin Anda akan memilih metode yang ditawarkan dalam pedoman ini, atau mengembangkan metode Anda sendiri untuk melakukan penilaian. Para penilai harus memperhatikan petunjuk penilaian dalam standar kompetensi sebelum memutuskan metode penilaian yang akan dipakai.

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 134

Bab 5

Cara Menilai Unit Ini

Ujian yang Disarankan


Umum Unit Kompetensi ini, secara umum mengikuti format berikut: (a) (b) Menampilkan pokok keterampilan dan pengetahuan untuk setiap subkompetensi/kriteria unjuk kerja. Berhubungan dengan sesi praktik atau tugas untuk memperkuat teori atau mempersiapkan praktik dalam suatu keterampilan.

Hal ini penting sekali, di mana peserta dinilai (penilaian formatif) pada setiap elemen kompetensi. Mereka tidak boleh melanjutkan unit berikutnya sebelum mereka benar-benar menguasai (kompeten) pada materi yang sedang dilatihkan . Sebagai patokan disini seharusnya paling sedikit satu penilaian tugas untuk pengetahuan pokok pada setiap elemen kompetensi. Setiap sesi praktik atau tugas seharusnya dinilai secara individu untuk tiap Sub-Kompetensi. Sesi praktik seharusnya diulang sampai tingkat penguasaan yang disyaratkan dari sub kompetansi dicapai. Tes pengetahuan pokok biasanya digunakan tes obyektif. Sebagai contoh, pilihan ganda, komparasi, mengisi/melengkapi kalimat. Tes essay dapat juga digunakan dengan soal-soal atau pertanyaan yang relevan dengan unit ini. Penilaian untuk unit ini, berdasar pada dua hal yaitu: pengetahuan dan keterampilan pokok hubungan dengan keterampilan praktik.

Untuk penilaian unit Memperbaiki Kerusakan Pada PLC jenis Tertentu disarankan hal-hal sebagai berikut :

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 135

Bab 5

Cara Menilai Unit Ini

Penilaian Pengetahuan Pokok


Penilaian Teori
Test teori ini dilaksanakan dengan pemberian soal-soal yang dapat dikembangkan oleh instrukstur. Bahan-bahan yang dipakai dalam pembuatan soal diambil dari manual PLC jenis tertentu yaitu PLC siemens CPU S7-200. (Manual tersebut tersedia dalam bentuk CD, Siswa disarankan untuk memiliki CD tersebut sebagai referensi)

Bagian

Penilaian Penilaian Satu

Perangkat Keras Pada PLC Jenis Tertentu

Diberikan contoh soal test teori yang dapat dikembangkan oleh instruktur Penilaian Dua

Perangkat Lunak PLC Jenis Tertentu

Diberikan contoh soal test teori yang dapat dikembangkan oleh instruktur Penilaian Tiga

Pemrograman PLC Jenis Tertentu

Diberikan contoh soal test teori yang dapat dikembangkan oleh instruktur Penilaian Empat Diberikan contoh soal test teori yang dapat dikembangkan oleh instruktur Penilaian Lima

Analisa dan Pencarian Kerusakan PLC Jenis Tertentu

Keselurahan Sistem PLC Jenis Tertentu

Hasil penilaian dari pinilaian satu sampai empat dievaluasi dan dirata-rata untuk mendapatkan ratarata penilaian keseluruhan

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 136

Bab 5

Cara Menilai Unit Ini

Penilaian Satu Perangkat Keras PLC Jenis Tertentu


Soal Teori : 1. Berapakah jumlah I/O pada CPU 222? 2. Apakah yang dimaksud dengan Digital I/O 3. Berapakah tegangan yang diijinkan bagai level Digital Input I/O pada CPU 221? 4. Berapakah rating arus maksimum untuk tiap Digital Input? 5. Berapakah arus maksimum yang dapat dihasilkan oleh digital output pada CPU 221? 6. Gangguan noise/derau pada input biasanya menyebabkan kerusakan/kesalahan fungsi permanen pada PLC. (Benar atau salah) 7. Modul I/O biasanya dibagi menjadi 2 bagian yaitu bagian ____________ dan bagian ____________ 8. Apakah gunanya fungsi Input Delay pada Input PLC? 9. Apakah gunanya Pulse Catch pada input PLC? 10. Prosesor atau CPU biasanya berinteraksi dengan _________________ untuk menginterpretasikan dan mengeksekusi program. 11. Berapakah besar program maksimum yang dapat disimpan pada memori CPU 221? 12. Untuk mengakses data pada suatu lokasi memori kita harus mengetahui ____________ memori tersebut. 13. Apakah yang dimaksud dengan sistem pengalamatan memori secra tidak langsung (indirect Addess)? 14. Pada pengalamatan tidak langsung tersebut diperlukan suatu penunjuk lokasi memori yang disebut _________________ 15. Jenis memori atau register apa saja yang dapat digunakan untuk keperluan pada pertanyaan No13? 16. Pada CPU 221 data tipe Doubel Word mempunyai _________ Bit data. Satu lokasi alamat pada CPU 221 mempunyai panjang data _________ Bit. Data Doubel word memerlukan _________ lokasi memori. 17. Berapakah jangkauan dari tipe data Integer dan Real? 18. Apakah yang dimaksud dengan Accumulator? 19. Berapa accumulator yang tersedia pada CPU 221? 20. Jika kita akan menghitung pulsa dengan frekwensi yang jauh tinggi dari pada scan cycle, kita harus menggunakan pencacah ________________. 21. Sebutkan komponen apa saja yang diperlukan untuk memprogram CPU 221 melalui suatu personal komputer. 22. Berapa lama yang diperlukan CPU untuk mengeksekusi instruksi : LD I0.1 ? 23. Bagaimanakah caranya agar CPU berhenti melakukan scan cycle? 24. Dalam hubungan jaringan dikenal komponen yang bernama repeater. Sebutkan guna repeater ini. 25. Dapatkah CPU 221 dikendalikan dari jarak jauh oleh suatu personal komputer melalui modem dengan medial line telepon?
Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc Page 137

Bab 5

Cara Menilai Unit Ini

Penilaian Dua Perangkat Lunak PLC Jenis Tertentu


Soal Teori : 1. Agar dapat bekerja suatu PLC memerlukan _______________ 2. Dalam CPU 221 dari Siemens, editor program apa saja yang dapat digunakan? 3. Programming software apakah yang digunakan untuk membuat program bagi CPU S7-200? 4. Bahasa pemrograman apakah yang paling umum dan mudah digunakan pada PLC? 5. Dalam bahasa pemrograman STL, apakah yang dimaksud dengan operand? 6. Apabila anda menghendaki program yang dapat dianalisa secara logic seperti dalam sistem digital, jenis program manakah yang anda pakai? 7. Dalam pemrograman Ladder editor, Input suatu PLC direpresentasikan oleh suatu ____________ yang juga digunakan dalam diagram kelistrikan. 8. Apakah yang dimaksud dengan network atau rung pada Ladder diagram? 9. Garis vertikal lurus dibagian paling kiri dari ladder diagram disebut ______________ 10. Apakah yang dimaksud dengan Instruksi IEC 1131-3? 11. Jika anda mempunyai instruksi berikut dalam STL LD A = I0.0 I0.1 Q0.0

Kapankah kondisi Q0.0 akan ON? 12. Jika anda mempunyai ekpresi logika digital berikut : Y = (A B) + (C D). Tulislah program tersebut dalam Digram Ladder 13. Tulislah program pada soal no 12 dengan editor FBD. 14. Tulislah program pada soal no 12 dengan instruksi IEC 1131-3. 15. Sebutkan bagian bagian pada suatu Program. 16. Apakah yang dimaksud dengan Subrutin? Bagaimana kita menjalankan Subrutin tersebut? 17. Apakah yang dimaksud dengan interupt? 18. Jelaskan tentang Interupt event No. 2 19. Kapankah Interupt rutin ini akan dieksekusi oleh CPU? 20. Apakah yang dimaksud dengan stack? Apa hubungannya dengan pengeksekusian interupt rutin?

Penilaian Tiga
Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc Page 138

Bab 5

Cara Menilai Unit Ini

Pemrograman PLC Jenis Tertentu


Soal Teori : 1. Timer pada PLC adalah instruksi yang menghasilkan hasil yang sama dengan fungsi dari timer relay mekanikal. (benar atau salah) 2. Dalam ladder diagram Instruksi timer dilaksanakan jika kondisi input IN adalah __________ 3. Input PT pada block _________________ timer dalam editor ladder berfungsi untuk

4. Timer yang tersedia pada CPU 221 mempunyai resolusi _________, ________, dan __________ 5. Berapakah jumlah timer yang tersedia pada CPU 221. 6. Apakah yang dimaksud dengan On-delay timer? 7. Apakah yang dimaksud dengan Retentive On-delay timer? 8. Suatu pencacah (counter) ___________________ 10. Guna input R pada ________________________ dapat menghitung naik, turun, dan

9. Ada berapa parameter input pada instruksi UpCount Up/Down? instruksi Count Up/Down adalah

11. Output dari counter akan berubah setelah mendeteksi perubahan kondisi input dari _______ ke ________ 12. Jelaskan cara kerja instruksi CTU, CTUD, dan CTD pada pemrograman STL. 13. Jelaskan cara kerja instruksi HSC pada pemrograman STL. 14. Jelaskan fungsi instruksi END dan STOP 15. Pada intruksi JMP n, dan LBL n. Nilai n adalah ____________ 16. Dapatkah anda melaksanakan instruksi JMP untuk berpindah dari bagian main program ke subrutin? 17. Jelaskan fungsi dari instruksi SBR dan CRET . 18. Jelaskan fungsi dari instruksi FOR dan NEXT. 19. Jelaskan fungsi dari instruksi SCR 20. Instruksi apakah yang digunakan untuk memindahkan suatu blok data dari satu lokasi kelokasi yang lain? 21. Berikan contoh penggunaan instruksi SWAP 22. Misalkan anda mempunyai program : LD SLW I1.0 VW200,4 RRW AC0,3 Isi dari accumulator AC0 sebelum instruksi RRW adalah 0110 0000 0000 0001 Berapakah isi VW200 setelah instruksi SLW dilaksanakan? 23. Apakah fungsi instruksi ROUND dan TRUNC? 24. Apakah fungsi instruksi-instruksi matematis?
Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc Page 139

Bab 5

Cara Menilai Unit Ini

Penilaian Empat Analisa dan pencarian kerusakan pada PLC Jenis Tertentu
1. Didalam suatu sistem PLC jika salah satu input tidak bekerja dengan benar maka A. Program tidak akan bekerja B. Output yang dihasilkan tidak sesuai dengan spesifikasi C. Input yang lain terpengaruh D. Output yang berhubungan dengan fungsi dari input tersebut terganggu fungsinya. 2. Electical noise bisa masuk dalam sistem PLC melalui A. Medan elektrostatik B. Induksi elektromagnetik C. Sistem fiber optik D. Jawaban A dan B benar 3. Didalam suatu sistem PLC jika salah satu input tidak bekerja dengan benar maka A. Program tidak akan bekerja B. Output yang dihasilkan tidak sesuai dengan spesifikasi C. Input yang lain terpengaruh D. Output yang berhubungan dengan fungsi dari input tersebut terganggu fungsinya. 4. Komponen-komponen manakah dibawah ini yang paling memerlukan proteksi noise atau voltage . A. Lampu B. Heater C. Solenoid D. LED display 5. Tegangan jala-jala berlebih yang sering terjadi pada pemasangan PLC dapat diatasi dengan : A. Constant Voltage Transformer B. Step-Down transformer C. Step-up Transformer D. Current Transformer 6. Sebuah lonjakan tegangan akan terjadi pada waktu: A. Arus pada beban induktif dimatikan B. Arus pada beban induktif dinyalakan C. Arus pada beban resistif dimatikan D. Arus pada beban resistif dinyalakan
Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc Page 140

Bab 5

Cara Menilai Unit Ini

7. Jika pada modul output fuse-nya putus berulang-ulang, problem yang mungkin terjadi adalah: A. Arus maksimum pada beban terlampaui B. Beban terhubung singkat C. Ada pengabelan pada output yang terhubung singkat D. Semua benar 8. Watchdog timer digunakan untuk memonitor A. Proses Scan pada sistem B. Tegangan baterai C. Rangkaian memori D. Tegangan logika DC 9. Misalkan terjadi OPEN pada hubungan pengabelan diantara modul output dan beban. Kondisi ini akan dikonfirmasikan jika: A. Tegangan penuh terdapat pada output module, tetapi tidak ada tegangan pada beban B. Tegangan penuh terdapat pada beban, tetapi tidak ada tegangan pada output module C. Tidak ada tegangan pada output module maupun beban D. Ketiganya benar 10. Misalkan terjadi salah satu sensor pada input tidak menghasilkan sinyal atau hubungan pengabelannya terganggu, kondisi ini akan dikonfirmasikan jika: A. Tegangan pada terminal input selalu 0 volt B. Tegangan pada terminal input selalu 24 volt C. Setelah input disimulasikan dengan tegangan ternyata input tersebut masih bisa dideteksi oleh CPU D. Setelah input disimulasikan dengan tegangan ternyata input tersebut tidak bisa dideteksi oleh CPU 11. Apabila CPU dalam kondisi mati dan anda mengukur hubungan suatu input dengan common, berapakah resistansi yang didapat? 12. Dalam keadaan tidak ada power, apabila anda mengukur salah satu output dengan common dan anda mendapatkan resistansi 0, bagaimanakah kondisi output tersebut? 13. Jika anda telah menyalakan power CPU tetapi lampu indikator tidak menyala maka , problem apakah yang mungkin terjadi? 14. Jika pada suatu saat anda mendapatkan bahwa program yang berada pada CPU telah hilang sebagian atau seluruhnya, apakah yang harus anda kerjakan? 15. Jika anda telah selesai mengerjakan suatu program dan ingin mengup-loada program anda pada CPU, tetapi setelah anda mencoba anda tidak berhasil mengupload program anda. Langkah-lankah apakah yang harus anda lakukan untuk mencari letak masalah?

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 141

Bab 5

Cara Menilai Unit Ini

Penilaian Praktik Kerjakan dengan baik tugas-tugas berikut ini. Bagian Tugas 1-11 Bagian Satu Tugas ini dinilai sebagai nilai praktek. Persentasi (3 x 11 = 33%) Tugas 12,13 Bagian Dua Tugas ini dinilai sebagai nilai praktek. Persentasi (2 x 7 = 14%) Tugas 14,15 Bagian Tiga Tugas ini dinilai sebagai nilai praktek. Persentasi (13 x 2 = 26%) Tugas 16 Bagian Empat Tugas ini dinilai sebagai nilai praktek. Persentasi (37%) Penilaian Praktik

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 142

Bab 5

Cara Menilai Unit Ini

Ringkasan Penilaian Pengetahuan dan Keterampilan


Gunakan tugas-tugas ini untuk menetapkan apakah peserta pelatihan telah menguasai pokok-pokok pengetahuan dan keterampilan yang diperlukan.
Pokok-pokok Pengetahuan dan Keterampilan 1.0 Perangkat keras pada PLC jenis tertentu Perlu Latihan Lanjutan

Tugas-tugas Penilaian 1.1 Panduan dasar pembuatan suatu sistem PLC dan Aplikasinya Apakah siswa telah dapat menggunakan cara / metoda perancangan suatu system PLC yang sistematis? 1.2 Metoda program penendalian Apakah siswa mengerti tentang konsep system pengendalian secara umum dan system pengendalian dengan PLC

Ya

Tidak

1.3 Metoda pemrosesan I/Opembentukan


Apakah siswa telah dapat memahami spesifikasi I/O pada CPU S7-200 dan mengetahui cara penggunaannya?

1.4 Konsep pemrograman, bahasa pemrograman dan editor


Apakah siswa telah dapat memahami penggunaan perangkat lunak dan bahasa pemrograman yang dapat digunakan pada CPU S7-200, dan siswa dapat menuls program dalam semua editornya?

1.5 Siklus telusuran (scan cycle) dan waktu eksekusi


Apakah siswa telah dapat memahami arti scan cycle pada CPU dan siswa telah dapat menghitung waktu eksekusi total yang dibutuhkan pada suatu program

1.6 Memori, tipe data dan model pengalamatan


Apakah siswa telah dapat memahami tipe-tipe data yang dikenal oleh CPU S7-200, dan dapat menggunakan datadata tersebut dengan metode pengalamatan Direct address dan Indirect address?

1.7 Perangkat keras untuk komunikasi dan jaringan


Apakah siswa telah dapat memahami system komunikasi CPU S7-200 dan
Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc Page 143

Bab 5

Cara Menilai Unit Ini

menggunakan personal komputer untuk berkomunikasi dengan CPU tersebut? 2.0 Perangkat lunak pada PLC jenis tertentu. 2.1 Penggunaan perangkat lunak

dan pemrograman sederhana.


Apakah siswa telah dapat memahami penggunaan perangkat lunak pada CPU S7-200 dan melakukan pemrograman sederhana dalam bahas Ladder, STL, FBD dan IEC 1131-3?

3.0 Pemrograman PLC jenis tertentu

3.1 Pemrograman untuk aplikasi praktis pada PLC jenis S7-200


Apakah siswa telah dapat memahami penggunaan instruksi-instruksi pada CPU S7-200 dan dapat membuat program-program aplikasi yang berhubungan dengan penggunaan instruksi timer, counter, pengendalian program, konversi, dan matematis? .

4.0 Analisa dan mencari kerusakan pada PLC jenis tertentu

3.2 Menganalisa program aplikasi dan mencari kerusakan pada system aplikasi
Apakah siswa telah dapat memahami cara menganalisa jalannya program dan memahami cara-cara/metoda pencarian kerusakan dalam suatu system PLC?

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 144

Bab 5

Cara Menilai Unit Ini

Checklist yang Disarankan Bagi Penilai


Modul : Memperbaiki kerusakan pada PLC jenis tertentu
Nama Peserta : Nama Penilai : Catatan Apakah telah memberikan bukti-bukti yang cukup yang menunjukkan bahwa peserta dapat : Menggunakan cara / metoda perancangan suatu system PLC yang sistematis Mengerti tentang konsep system pengendalian secara umum dan system pengendalian dengan PLC Memahami spesifikasi I/O pada CPU S7-200 dan mengetahui cara penggunaannya Memahami penggunaan perangkat lunak dan bahasa pemrograman yang dapat digunakan pada CPU S7-200, dan siswa dapat menuls program dalam semua editornya Memahami arti scan cycle pada CPU dan siswa telah dapat menghitung waktu eksekusi total yang dibutuhkan pada suatu program Memahami tipe-tipe data yang dikenal oleh CPU S7-200, dan dapat menggunakan data-data tersebut dengan metode pengalamatan Direct address dan Indirect address memahami system komunikasi CPU S7-200 dan menggunakan personal komputer untuk berkomunikasi dengan CPU tersebut Memahami penggunaan perangkat lunak pada CPU S7-200 dan melakukan pemrograman sederhana dalam bahas Ladder, STL, FBD dan IEC 1131-3 Memahami penggunaan instruksi-instruksi pada CPU S7-200 dan dapat membuat program-program aplikasi yang berhubungan dengan penggunaan instruksi timer, counter, pengendalian program, konversi, dan matematis Memahami cara menganalisa jalannya program dan memahami caracara/metoda pencarian kerusakan dalam suatu system PLC

. . . . . . .

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 145

Bab 5

Cara Menilai Unit Ini

Lembar Penilaian Unit : BSDC-0161: Memperbaiki keruskan pada PLC jenis tertentu Nama Perserta Pelatihan Nama Penilai Peserta yang Dinilai : : : .... Kompeten

Kompetensi yang Dicapai Umpan balik untuk Peserta:

Tanda tangan
Peserta sudah diberitahu tentang hasil Tanda tangan Penilai: penilaian dan alasan-ala san mengambil keputusan

Tanggal: SAYA SUDAH DIBERITAHU TENTANG HASIL PENILAIAN DAN ALASAN MENGAMBIL KEPUTUSAN TERSEBUT. Tanda tangan Peserta Pelatihan:

Tanggal:

Indonesia Australia Partnership for Skills Development Batam Institutional Development Project 125280610.doc

Page 146

Вам также может понравиться