4
Техническое задание на разработку модуля управления
линией визирования (МУЛВ)
1) Реализовать программные компоненты для модуля управления линией
визирования (МУЛВ). Произвести реализацию и отладку следующих алгоритмов:
• Алгоритм опроса датчиков углов (азимутальный)
• Алгоритм состояния функционирования «Внешнее управление»
• Алгоритм состояния функционирования «Встроенный контроль»
• Алгоритм формирования управляющих сигналов азимутального
двигателя
• Алгоритм формирования управляющих сигналов угломестного
двигателя
2) Необходимо реализовать алгоритмы с использованием языка VHDL на
основе ПЛИС фирмы Xilinx (при реализации возможно использование
специфических архитектурных решений ПЛИС семейства Spartan-3)
3) Необходимо произвести отладку реализации алгоритмов в соответствии с
тестовыми данными
4) Произвести интеграцию реализованных алгоритмов в состав модуля
управления линией визирования
5) Произвести комплексную отладку реализации алгоритмов в составе
устройства
5
Приложение
к техническому заданию на дипломное проектирование
на тему: "Разработка компонент МУЛВ и средств их взаимодействия с внешними
устройствами".
1. Назначение модуля управления линией визирования
1.1. Модуль управления линией визирования (МУЛВ) предназначен для:
- формирования в соответствии с заданным БВ режимом и выдачи
управляющего сигнала на формирователи токов угломестного и азимутального
датчиков момента;
-опроса угломестного и азимутального датчиков углов;
-опроса БИНД и вычисления поправки управления в целях стабилизации линии
6
состав блока оптико-механического.
2 Требования назначения
2.1 Требования к арифметико-логическому устройству МУЛВ
2.1.1 Задачи управления приводами решаются с периодом 100 мкс, в том числе опрос
датчиков углов, расчёт положения головного зеркала с учётом поправок установки
датчиков, расчёт текущего положения линии визирования, расчёт текущей скорости
вращения линии визирования, расчёт требуемого положения головного зеркала в
соответствии с заданным режимом функционирования, расчёт сигнала
рассогласования, вычисление конечно-разностных уравнений корректирующих
звеньев угломестного и азимутального каналов, расчёт поправки стабилизации,
вычисление скважности текущего импульса для одной фазы угломестного двигателя
и трех фаз азимутального двигателя.
2.1.2 МУЛВ должен решать алгоритмы, которые с доработками заимствуются из
алгоритмов БУЛВ изделия 102С.
2.1.3МУЛВ должен непрерывно определять исправность задействованной аппаратуры и
кГц.
2.1.5 МУЛВ должен формировать и выдавать в БЭО в целях синхронизации сигнал
Frame. Передний фронт сигнала Frame должен совпадать с передним фронтом
сигналов опроса датчиков углов.
2.2 Взаимодействие с внешней аппаратурой
2.2.1 Схема связей МУЛВ с аппаратурой изделия 101КС-В представлена на рисунке 1.
7
Рисунок 1 Схема связей МУЛВ с аппаратурой изделия
8
2.2.5 МУЛВ должен осуществлять взаимодействие с БИНД по асинхронному
последовательному каналу информационного обмена RS485 в
соответствии с приложением Б исходных данных на блок инерциальных
датчиков для изделия 13СМ1.
2.2.6 МУЛВ должен выдавать на формирователь тока угломестного
двигателя два бита управления, соответствующих двум
противоположенным направлениям тока в обмотке ДМ-10.
2.2.7 МУЛВ должен выдавать на формирователь тока азимутального
двигателя шесть битов управления, соответствующих двум
противоположенным направлениям тока в трех фазам МД-160.
3. Конструктивные требования
3.1 Модуль управления линией визирования должен представлять собой
плату с элементами, к которой все внешние устройства должны
присоединяться через разъемы.
3.2 На плате должен быть установлен контрольный разъем (не менее 32
контактов) для отладки программного обеспечения.
3.3 МУЛВ располагается в герметичном обогреваемом блоке, рабочая
температура которого от +60°С до -40°С.
3.4 Выбор разъемов на усмотрение разработчика схемы. В целях
предосторожности от неправильной стыковки кабелей желательно
использовать разъемы с ключами или должны быть применены разъемы
различных типов.
9
3.5Должна быть предусмотрены две резервные линии связи по RS485.
10
Содержание
Аннотация.....................................................................................................................................4
Техническое задание на разработку модуля управления линией визирования (МУЛВ)........5
Содержание..................................................................................................................................11
Введение.......................................................................................................................................13
Глава 1. Обзор методов и средств реализации современных систем обнаружения и локации
.......................................................................................................................................................17
1.1. Методы радиолокации и обзор пространства ..............................................................17
1.1.1. Активная, полуактивная, активная с активным ответом и пассивная
радиолокация............................................................................................................................18
1.1.2. Импульсные и непрерывные РЛС................................................................................21
Рис.1.6. Структурная схема фазового метода измерения дальности..................................23
1.1.3. Обзор пространства.......................................................................................................24
1.1.4. Условия и особенности современной радиолокации.................................................28
1.2. Оптическая локация, применение и общие особенности ОЛС....................................31
1.2.1. Лазерные дальномеры...................................................................................................32
1.2.2. Лазерные системы разведки.........................................................................................33
1.2.3. Полуактивная и пассивная оптическая локация, общие особенности ОЛС............34
1.2.4. Параметры ОЛС.............................................................................................................36
1.3. Преимущества ОЛС по сравнению с радиолокаторами...............................................37
1.3.1. Достоинства и недостатки оптической локации.........................................................37
1.3.2. Преимущества по сравнению с РЛС............................................................................39
Глава 2.Исследование принципов функционирования ОЛС...................................................41
2.1. Структурная схема ОЛС...................................................................................................42
2.2. Распространение и отражение сигнала..........................................................................43
2.3. Помехи...............................................................................................................................45
2.4. Оптическое приемное устройство..................................................................................46
2.5. ОЛС- 35..............................................................................................................................49
Глава 3. Реализация начальных (предварительных) алгоритмов............................................52
3.1. Алгоритмическое обеспечение МУЛВ, выбор средств и методов разработки...........52
3.2. Алгоритм состояния функционирования «Встроенный контроль».............................55
Опишем входных, выходных данных и предопределённых констант................................57
Реализация алгоритма «Встроенный контроль» с использованием языка VHDL.............61
Тестирование реализации алгоритма.....................................................................................64
11
3.3 Алгоритм состояния функционирования «внешнее управление»................................66
Реализация алгоритма состояния функционирования «внешнее управление» на языке
VHDL........................................................................................................................................72
3.3.4 Алгоритм опроса азимутального датчика....................................................................76
Реализация алгоритма опроса азимутального датчика углов на VHDL.............................78
Глава 4. Реализация алгоритмов формирования управляющих сигналов .............................81
4.1. Реализация алгоритма формирования управляющих сигналов угломестного
двигателя...................................................................................................................................81
4.1.1. Реализация компонента PWM_former.........................................................................83
4.1.2. Реализация компонента «формирователь скважности выходного сигнала»
(компонент z_main_for_mul)...................................................................................................84
4.2.Реализация алгоритма формирования управляющих сигналов азимутального двигателя
.......................................................................................................................................................88
Глава 5. Объединение блоков в готовое устройство.................................................................94
5.1. Интеграция реализованных компонент в состав МУЛВ...............................................94
5.2. Размещение модуля на кристалле ПЛИС.......................................................................96
5.3. Тестирование и отладка МУЛВ.......................................................................................97
5.4. Результаты разработки и пути дальнейшего усовершенствования устройства..........98
Глава 6.Эргономика рабочего места инженера-программиста..............................................102
Введение.................................................................................................................................102
6.1) Общие требования микроклимата................................................................................102
6.1.1) Требования к воздуху рабочей зоны..........................................................................102
6.1.2) Требования по уровню шума......................................................................................104
6.1.3) Помещение и освещение............................................................................................105
6.2) Требования безопасности при работе с ПЭВМ...........................................................106
6.2.1) Требования к помещениям для и ПЭВМ...................................................................106
6.2.2) Требования к ПЭВМ и рабочим местам....................................................................107
6.2.3) Требования к организации режима труда.................................................................107
6.3) Антропометрические, сенсомоторные и энергетические характеристики человека
.................................................................................................................................................114
6.4) Технические методы увеличения безопасности работы за компьютером................114
6.4.1) Эргономичное аппаратное оборудование..................................................................114
6.5) Эргономичная организация рабочего места................................................................116
6.5.1) Организация рабочего стола.......................................................................................116
6.6.2) Вентиляция...................................................................................................................117
12
6.6.3) Шум...............................................................................................................................118
6.4) Рабочее кресло................................................................................................................118
6.6.5) Рабочее пространство.................................................................................................119
6.6.6) Работа с клавиатурой...................................................................................................119
6.6.7) Расположение монитора.............................................................................................120
6.6.8) Внутренний объем.......................................................................................................120
6.6.9) Положение за компьютером.......................................................................................120
6.6.10) Выводы.......................................................................................................................121
Заключение.................................................................................................................................122
Список использованной литературы.......................................................................................123
Введение
Работа посвящена теме реализации программно-аппаратного
обеспечения в области устройств оптической локации. В работе рассмотрена
реализация алгоритмического обеспечения одной из российских оптических
локационных систем (ОЛС), применяемых в военной и гражданской
отраслях.
Локация – термин, объединяющий области науки и техники, а также
средств и методик по обнаружению и определению координат и
характеристик объектов.
Локация является одной из наиболее важных областей науки и техники, к
которой испытывают сильный интерес специалисты во всём мире.
Разделяют несколько видов локации объектов:
• Звуковая локация
• Радиолокация
• Оптическая локация
Оптическая и радиолокация используют одну физическую
составляющую, для определения положения цели – распространение
13
электромагнитных волн в средах. Отличие заключается лишь в методах
регистрации и частотах используемого сигнала. В радиолокации
используются частоты радио диапазона, в оптической – частоты из видимого,
ультрафиолетового или инфракрасного диапазонов.
Радиолокационные системы, наравне со всеми преимуществами, имеют
ряд недостатков, которые в современных условиях не позволяют
использовать их как единственный метод локации объектов. Наиболее
важными недостатками радиолокационных систем (РЛС) являются:
возможность «подделки» сигнала отклика от цели, возможность пеленгации и
определения положения локационной станции, сложность в разделении
близко расположенных объектов, сложность в локации наземных или
объектов, близко от поверхности земли, низкая разрешающая способность,
невозможность определения принадлежности объекта (распознавания по
принципу «свой-чужой»).
Оптические локационные системы (как метод локации и аппаратная
составляющая с необходимыми алгоритмами) лишены данных недостатков,
однако, их применение связано со множеством проблем, в частности:
зависимость от расстояния, характеристик распространения сигнала в среде,
погодных условий, а так же связанных со множеством проблем при
реализации (точность калибровки, качество оптических компонент: зеркал
отражателей, источников и приёмников оптического сигнала и т.д.).
Серьёзные разработки и публикации в России (и СССР) берут своё начало с
середины 70-х годов XX века и по настоящее время, интерес к подобным
системам продолжает увеличиваться.
Таким образом, зависимость оптических локационных систем от
внешних факторов отрицательным образом влияет на область
работоспособности, отказо- и помехоустойчивости данных систем. Однако,
все эти проблемы не являются неразрешимыми, что и доказывает огромных
интерес к такого рода системам во всём мире, и их применение для решения
14
задач во многих областях науки и техники (военная техника, геодезия,
картография и т.д.).
В частности в военной сфере, на современном этапе развития техники
недостатки радиолокационных систем не позволяют использовать их как
единственное средство локации. Наиболее широкое применение оптические
локационные системы нашли в авиационной сфере, где точная локация
является наиболее критическим фактором, особенно в условиях воздушного
боя и разведывательных операций.
Наибольших успехов в области оптической локации начали добиваться в
конце XX века, в связи с использованием систем глобального
позиционирования (GPS или ГЛОНАСС), а также с использованием
цифровых устройств для обработки информации. В связи с миниатюризацией
и увеличением вычислительной мощности вычислителей и применения
специализированных алгоритмов, способных быть реализованными и
работоспособными на данной цифровой вычислительной технике, стало
возможным использовать оптические локационные системы во многих
областях и решать ещё более сложные задачи.
Уже сейчас существуют системы способные определять типы
транспортных средств (например, различать грузовики и танки), распознавать
технику (например, определение принадлежности самолётов или танков по
силуэту, изображению или опознавательным знакам) одной из таких систем
нового поколения является оптическая локационная система «Уральского
оптико-механического завода» ОЛС-35.
В области гражданского использования, оптические локационные
системы используются для наблюдения за пожарами, в области охраны
важных объектов, в правоохранительной области, в области геодезии и
картографии. Использование новейших средств позиционирования позволяет
определять рельеф поверхности, даже если это происходит в лесистой
местности, с достаточной точностью. Существует применение оптико-
локационных систем для построения карт распределения видов древесины.
15
Уже сегодня устройства лазерной локации, совместно со средствами
позиционирования, современными мощными вычислительными приборами и
новым алгоритмическим обеспечением, могут ответить на вопросы,
например, о видах лиственничных пород, количеству и даже качеству
древесины в данной области наблюдения.
В рамках радиолокации решение таких задач невозможно или связано с
огромной технологической или вычислительной сложностью. Таким образом,
радиолокация и оптическая локация не являются конкурентами, а скорее
дополняют друг друга, совместно решая практически полный спектр
возложенных на них задач. Радиолокация применяется там, где важными
факторами являются расстояние и независимость от погодных условий, а так
же отсутствие необходимости в большой разрешающей способности
(например, радары с дальностью действия сотни или даже тысячи
километров). Оптическая же локация применяется на достаточно небольших
расстояниях, но решает задачи в смежной области, там, где важна
скрытность, большая разрешающая способность, разделение целей, анализ и
т.д.
Однако решение таких сложных вопросов невозможно без
подготовительного этапа и решения проблем, связанных с работой
аппаратуры. В частности, этапу обработки, предшествует этап получения
данных, в который входит опрос датчиков, самотестирование,
позиционирование приёмника и передатчика, в рамках оптической системы
как прибора, а также передача собранных данных или управляющих сигналов
между модулями устройства. Отдельным этапом стоит реализация этого
необходимого функционала с использованием выбранного аппаратного
обеспечения (в частности для этой работы это ПЛИС).
В работе рассмотрена реализация алгоритмического обеспечения модуля
управления линией визирования. В задачу разработки входила реализация
алгоритмов опроса датчиков, управления угломестным и азимутальным
двигателями, самотестирования устройства, подготовки устройства к работе,
16
реализация состояния функционирования «внешнее управление» и
разработка средств взаимодействия модулей между собой.
В работе рассмотрены недостатки данного подхода и сделаны
предположения о будущей реализации подобных устройств (в частности,
реализация подобного функционала на процессорах цифровой обработки
сигналов).
17
1.1.1. Активная, полуактивная, активная с активным ответом
и пассивная радиолокация.
В зависимости от природы возникновения электромагнитных волн,
достигающих антенны РЛС и доставляющих информацию об объекте
радиолокационного наблюдения, различают активную, полуактивную,
активную с активным ответом и пассивную радиолокацию.
При активной радиолокации сигнал, принимаемый приемником РЛС,
создается в результате отражения (рассеяния) объектом электромагнитных
колебаний, излучаемых антенной РЛС и облучающих объект. Сигнал,
излучаемый антенной РЛС, называют прямым или зондирующим, а
принимаемый приемной антенной РЛС - отраженным или
радиолокационным. Таким образом, при активной радиолокации применяют
передатчик в составе РЛС и работают с отраженным (рассеянным)
сигналом.
18
излучаемый сигнал называют зондирующим, а сигнал, приходящий от цели,
- отраженным или эхо-сигналом. Значение отраженного сигнала зависит от
отражающих свойств объекта.
19
Рис.1.3. Активная РЛС с активным ответом
В пассивной радиолокации (рис.1.4) сигналом, принимаемым РЛС,
является естественное излучение объектов в радиодиапазоне
преимущественно теплового происхождения, поэтому пассивную
радиолокацию называют также радиотеплолокацией. РЛС с пассивной
радиолокацией не имеет передатчика. Она имеет лишь направленную
приёмную антенну, улавливающую излучения объекта, приёмник,
усиливающий принятые радиосигналы, и устройства, с помощью которых
происходят регистрация и анализ этих сигналов. Таким образом, в этом
случае, так же как и в активной радиолокации, для обнаружения объектов и
определения их координат применяют радиосигнал. Однако природа сигнала
при этом иная — зондирование (облучение) объекта отсутствует, и поэтому
одна РЛС может определить лишь направление (пеленг) на объект, т.е.
осуществить радиопеленгование последнего.
20
1.1.2. Импульсные и непрерывные РЛС
В радиолокации применяют РЛС с непрерывным и импульсным
излучением энергии. Мощный луч радиолокационного передатчика
фокусируется большой антенной в направлении исследуемого объекта,
фиксируется и изучается отраженный радиосигнал, на основе чего делаются
выводы о тех или иных характеристиках объекта.
Наибольшее распространение получил импульсный способ определения
дальности. Структурная схема простейшего однопозиционного импульсного
радиолокатора для случая общей приемопередающей антенны представлена
на рис. 1.5.
21
Важным элементом радиолокатора является синхронизатор,
согласующий во времени работу передатчика и других элементов локатора.
Зондирование короткими импульсами обеспечивает неодновременность
приема и излучения. Это позволяет использовать общую антенну,
коммутируемую антенным переключателем на передачу и прием. В момент
зондирования антенна соединена с передатчиком, по окончании очередного
зондирования антенна соединяется с приемником. Индикаторное устройство
обеспечивает возможность обнаружения оператором целей, измерения их
дальностей и угловых координат. Цепи автоматики и вычислительной
техники связывают индикаторное устройство с антенной, вводят в него
информацию о положении характеристики направленности, регулируют
положение этой характеристики (цепи управления на рис. 1.5 не показаны).
ГМЧ ГВЧ
Объект
Фазометр Приемник
24
сигналом, лишь после их отражения (рассеяния) объектом наблюдения.
Однако от вида и параметров зондирующего сигнала (энергии, несущей час-
тоты, длительности и ширины спектра) зависят основные характеристики
РЛС: дальность действия, точность определения координат и скорости
объектов, разрешающая способность, т. е. тот объем информации, который
может быть получен при обработке радиолокационного сигнала.
Под зондирующим обычно понимают сигнал, излучаемый антенной,
поэтому его модуляция оказывается связанной также с параметрами антенной
системы и ее движением. Так, при повороте оси диаграммы направленности
антенны (ДНА) относительно направления на объект амплитуда сигнала
изменяется, т. е. появляется дополнительная амплитудная модуляция,
параметры которой зависят от ширины и формы ДНА, а также скорости ее
поворота. Антенная система определяет также поляризацию зондирующего
сигнала. В современных РЛС применяют линейную и круговую поляризацию.
Если отражающий объект попадает в зону облучения РЛС (в пределы
ширины ДНА), то создается отраженный сигнал, несущий информацию об
объекте. Факт приема сигнала свидетельствует об обнаружении объекта, а
амплитуда, фаза, частота, вид поляризации, время задержки относительно
зондирующего сигнала и направление прихода сигнала к приемной антенне
позволяют оценить координаты объекта, параметры его движения, а при
наличии нескольких объектов - разделить их, выделить объект с требуемыми
свойствами и т. д.
Различают два основных режима работы РЛС: режим обзора
(сканирования) пространства и режим слежения за целью. В режиме обзора
луч РЛС по строго определенной системе просматривает все пространство
или заданный сектор. Антенна, например, может медленно поворачиваться по
азимуту и в то же время быстро наклоняться вверх и вниз, сканируя по углу
места. В режиме слежения антенна все время направлена на выбранную цель,
и специальные следящие системы поворачивают ее вслед за движущейся
целью.
25
Использование направленных передающих антенн для угловой
концентрации энергии излучения в активной радиолокации приводит к
необходимости обзора пространства: воздушного, космического, надводного,
наземного (в зависимости от назначения РЛС). Сами РЛС могут размещаться
при этом на суше, морских судах и различного рода летательных аппаратах.
Одной из разновидностей радиолокационного обзора является обзор
поверхности Земли с летательных аппаратов. Различные направления могут
просматриваться: последовательно во времени в случае одноканального
приема; параллельно, практически одновременно в случае многоканального
приема и, например, широкой характеристики направленности передающей
антенны. В ходе обзора возможно измерение угловой координаты
(пеленгация) по максимуму отраженного сигнала (рис. 1.7, а) в первом случае
и путем сопоставления сигналов в каналах приема (рис. 1.7, б) с различными
характеристиками направленности во втором.
28
разрешающую способность реализуют в расчете на достижение
максимальной дальности наблюдения и характеризуют разрешаемым
объемом. Для однопозиционного радиолокатора это объем (рис. 1.8) с
размерами разрешающие способности по координатам цели (дальности,
азимуту, углу места). Разрешающие способности по координатам
определяются так, чтобы показатели качества обнаружения и измерения
параметров цели, расположенной в центре объема, существенно не
ухудшались за счет наличия другой, эквивалентной по своим
характеристикам цели вне этого объема. Разрешаемый объем радиолокатора с
импульсным излучением называют импульсным объемом.
29
прием, боковые лепестки их характеристик направленности, боковые
лепестки сигналов, неодинаковую интенсивность вторичного излучения
различных целей, упомянутое отступление обработки от согласованной.
31
диапазона радиоволн. Использование этих волн позволило обеспечить
большие дальности локации.
В оптической локации используют электромагнитные волны с длинами
волн в десятки микрометров и короче, что позволяет обеспечить очень
высокую направленность излучения и приема. Наряду с волновой природой
оптических колебаний может проявляться и их квантовая природа.
Принципиально лазерная локация осуществляется активным методом.
32
При фазовом методе дальнометрирования лазерное излучение
модулируется по синусоидальному закону. При этом интенсивность
излучения меняется в значительных пределах. В зависимости от дальности
до объекта изменяется фаза сигнала, упавшего на объект. Отраженный от
объекта сигнал придет на приемное устройство также с определенной фазой,
зависящей от расстояния.
Оценим погрешность фазового дальномера, пригодного работать в
полевых условиях. Специалисты утверждают, что оператору(не очень
квалифицированному солдату) не сложно определить фазу с ошибкой не
более одного градуса, следовательно погрешность будет составлять примерно
5см.
33
Его легко выделить на окружающем фоне. Отраженное подстилающей
поверхностью и объектами, на ней расположенными, лазерное излучение
собирается приемной оптической системой и направляется на
чувствительный элемент. Приемник преобразует отраженное от поверхности
излучение и электрический сигнал, который будет промодулирован по
амплитуде в зависимости от распределения яркости.
Поскольку в лазерных системах разведки реализуется, как правило,
строчно-кадровая развертка, то такая система близка к телевизионной.
Узконаправленный луч лазера развертывается перпендикулярно направлению
полета самолета. Одновременно с этим сканирует и диаграмма
направленности приемной системы. Это обеспечивает формирование строки
изображения. Развертка по кадру обеспечивается движением самолета.
Изображение регистрируется либо на фотопленку, либо может производиться
на экране электронно-лучевой трубки.
34
Использует собственное оптическое излучение нагретых участков
поверхности цели или ионизированных образований в ее окрестности.
Известно, что максимум излучения абсолютно черного тела при температуре
T (по Кельвину) приходится на длину волны ~ 2898/T мкм . Длина волны, на
которую приходится максимум излучения реальных целей, обычно находится
в инфракрасной области спектра (лишь при T ~4000 K максимум совпадает с
красной, а при T ~5000 К - с желтой областью видимого спектра). Средства
пассивной оптической локации обычно работают поэтому в ближнем ИК
диапазоне. К подобным средствам относят ИК пеленгаторы, тепловизоры,
тепловые головки самонаведения, пассивные приборы ночного видения и др.
Они играют важную роль в системах предупреждения о ракетном нападении
и противоракетной обороны.
36
И весьма важной характеристикой локатора является надежность. Это
свойство локатора сохранять свои характеристики в установленных пределах
в заданных условиях эксплуатации.
1. Когерентность
2. Помехоустойчивость
Малое поле зрения (узкая ДН) приемных оптических антенн позволяет
эффективно селектировать отражения от земли и местных предметов при
работе с объектами, имеющими малый угол места, повышает
помехоустойчивость ОЛС по отношению к преднамеренным помехам.
37
Временная и пространственная когерентности излучения лазеров
обеспечивают стабильность частоты при высокой спектральной плотности их
мощности. Это, а также остронаправленность лазерного излучения
обусловливают высокую помехозащищенность лазерных локационных
средств от воздействия естественных источников излучения.
3.Повышение точности
Переход в оптический диапазон дает также возможность повысить
точность измерения дальности до цели и ее радиальной скорости. При
импульсном методе измерения это связано с возможностью излучения
импульсов наносекундной длительности с пиковой мощностью в сотни и
тысячи мегаватт. При фазовом методе измерение ведется на поднесущих,
имеющих частоты вплоть до СВЧ - диапазона.
Доплеровские методы измерения радиальной скорости в оптическом
диапазоне характеризуются высокой чувствительностью. Так, при длине
волны λи = 1 мкм радиальной скорости Vr = 0,1 м/с соответствует
доплеровское смещение частоты Fv= 2 Vr/λи =200 кГц. Для реализации таких
методов требуется высокая стабильность (временная когерентность)
излучения, которая может быть достигнута с помощью газовых лазеров.
Высокая частота колебаний приводит к большим доплеровским сдвигам
частоты при взаимных перемещениях цели и локатора. Это обеспечивает
высокую точность измерения радиальной скорости элементов цели, но
требует расширения полосы приемных устройств.
39
2. Способность распространяться прямолинейно. Использование
узконаправленного лазерного луча, которым проводится просмотр
пространства, позволяет определить направление на объект (пеленг цели).
Это направление находят по расположению оси оптической системы,
формирующей лазерное излучение. Чем уже луч, тем с большей точностью
может быть определен пеленг.
Простые расчеты показывают - чтобы получить коэффициент
направленности около 1.5, при использовании радиоволн сантиметрового
диапазона, нужно иметь антенну диаметром около 10м. Такую антенну
трудно поставить на танк, а тем более на летательный аппарат. Она громоздка
и нетранспортабельна. Нужно использовать более короткие волны.
Угловой раствор луча лазера, изготовленного с помощью твердотельного
активного вещества, как известно, составляет всего 1.0 ... 1.5 градуса и при
этом без дополнительных оптических систем. Следовательно, габариты
лазерного локатора могут быть значительно меньше, чем аналогичного
радиолокатора. Использование же незначительных по габаритам оптических
систем позволит сузить луч лазера до нескольких угловых минут, если в этом
возникнет необходимость.
3. Способность лазерного излучения распространяться с постоянной
скоростью дает возможность определять дальность до объекта. Так, при
импульсном методе дальнометрирования используется следующее
соотношение:
L = ct/2
где L - расстояние до объекта, с - скорость распространения излучения, t -
время прохождения импульса до цели и обратно.
Рассмотрение этого соотношения показывает, что потенциальная
точность измерения дальности определяется точностью измерения времени
прохождения импульса энергии до объекта и обратно. Совершенно ясно, что
чем короче импульс, тем лучше.
40
Глава 2.Исследование принципов функционирования
ОЛС
Высокое пространственное разрешение, свойственное оптическим
сигналам, позволяет успешнее, чем в радиодиапазоне, распознавать
наблюдаемые объекты и формировать изображение просматриваемого
пространства. ОЛС присущи следующие особенности, которые нужно учи-
тывать при выборе частотного диапазона проектируемой локационной
системы:
1) характеристики ОЛС сильно зависят от свойств среды, в которой
происходит распространение лазерного излучения. Например, при работе
ОЛС в приземном слое атмосферы (тропосфере) дальность действия и
точность измерения координат объекта определяются в основном
метеоусловиями;
2) вследствие узости ДН требуется большое время для поиска цели по
угловым координатам;
41
3) существующие ограничения по частоте повторения импульсов,
вызванные теплофизическими особенностями работы лазера, снижают темп
обновления информации, получаемой от лоцируемого объекта;
4) квантовый характер электромагнитного излучения уменьшает
эффективность обнаружения сигнала и измерения его параметров вследствие
как внутренних и внешних шумов, так и вероятностного характера
регистрации оптического излучения.
Часто ОЛС работают в комплексе с другими системами, что позволяет
преодолеть ряд присущих им недостатков. Например, для наведения луча
ОЛС на цель применяют оптические или телевизионные визиры, используют
данные, полученные от РЛС и теплолокаторов.
Из рассмотренных в режимов работы локационных систем для ОЛС
наиболее характерным является активный режим, при котором источник
зондирующего сигнала и приемник отраженного излучения пространственно
совмещены.
42
На рис. 2.1 для обозначения оптических, электрических и механических
связей использованы соответственно двойные, одинарные и пунктирные
линии.
Рассмотрим подробнее элементы структурной схемы ОЛС.
Источник излучения — лазерный передатчик (ЛП) - служит для создания
зондирующего сигнала с требуемыми характеристиками, он работает в
импульсном или непрерывном режиме. Для формирования ДНА,
обеспечивающей концентрацию излучаемой энергии в узком пучке,
применяется оптическая система (рис. 2.2), состоящая из двух линз: окуляра
1 и объектива 2, фокальные плоскости которых совмещены. Такая система,
называемая коллимирующей, позволяет уменьшить расходимость исходного
пучка в k раз, где k = f1/f2; f1, f2 - фокусные расстояния объектива и
окуляра.
2.3. Помехи
Характеристики ОЛС во многом зависят от свойств среды, в которой
происходит распространение излучения, отражающей способности объекта и
уровня помех, которые, как и в радиодиапазоне, можно разделить на внешние
и внутренние.
Вместе с полезным сигналом на входе оптической приемной системы
присутствует световой фон, создаваемый рассеянным в атмосфере солнечным
излучением, свечением звездного неба, а также излучением, отраженным от
различных посторонних объектов, оказавшихся в поле зрения приемной
системы ОЛС. Фоновая помеха представляет собой случайное гауссовское
поле, которое, как и нормальный случайный процесс, можно полностью
описать средним значением и корреляционной функцией. Так как для
45
существенно меньше радиуса корреляции сигнального поля, то
корреляционная функция фоновой помехи
46
,
где dnp - диаметр диафрагмы; f1 - фокусное расстояние объектива. С
помощью окуляра 3 формируется параллельный пучок, который пропускается
через оптический фильтр 4. Линза 5 фокусирует отфильтрованный сигнал на
светочувствительной поверхности фотоприемника 6.
Антенное устройство смешанною типа представлено на рис. 2.4.
Приходящее излучение попадает на зеркало 2, переотражается на зеркало 1 и
после прохождения диафрагмы 3 преобразуется с помощью окуляра 4,
оптического фильтра 5, фокусирующей линзы 6 и поступает на
фотоприемник 7. Смешанная система позволяет получить компактную и
дешевую конструкцию с малым коэффициентом оптических потерь.
47
сигнал промежуточной частоты. Дальнейшая обработка ведется уже в
радиодиапазоне.
48
2.5. ОЛС- 35
Новой подсистемой системы управления вооружением самолета Су-35
является оптико-локационная станция ОЛС-35 (рис.2.6), объединяющая в
себе теплопеленгатор, лазерный дальномер-целеуказатель и телевизионный
канал.
49
Станция размещается в контейнере, который подвешивается под
фюзеляж самолета, и может работать независимо от остальных систем
обнаружения, установленных на истребителе. Наличие оптико-локационной
станции позволяет самолету обнаруживать цели на значительном расстоянии,
не демаскируя себя работой радара.
50
• поиск и обнаружение воздушных целей в их передней и задней
полусфере;
• захват и автосопровождение маневрирующих воздушных целей,
распознавание воздушных целей и измерение дальности до них;
• выдача в оптико-электронный прицельный комплекс угловых координат
воздушной цели и значения дальности для формирования целеуказания
головкам самонаведения, обеспечение режима несинхронной стрельбы
встроенного пушечного вооружения;
• поиск, обнаружение и распознавание наземных целей; захват,
автосопровождение наземных целей и измерение дальности до них.
Состав:
• сканирующий теплопеленгатор для воздушной цели с новым
чувствительным инфракрасным приемником, благодаря которому в
несколько раз увеличилась дальность обнаружения цели по ее тепловому
излучению в задней полусфере и стало возможным обнаружение цели в
передней полусфере;;
• телевизионный канал для распознавания воздушных и наземных целей
в дневное время;
• многорежимный лазерный дальномер-целеуказатель воздушной и
наземной цели;
• система стабилизации поля зрения; система встроенного контроля для
оперативной оценки и исправности каналов.
В таблице 2.1. приведены технические характеристики ОЛС – 35.
Таблица 2.1.
Зона обзора:
по азимуту, не менее ±60º
по углу места, не менее +55º…-15º
длительность одного цикла обзора
4 сек.
зоны, не более
поле обзора 150ºх24º
51
дальность обнаружения:
в передней полусфере, км 40
в задней полусфере, км 70
диапазон рабочих температур, ºC -40º…+60º
габариты, мм 766х540х763
масса, кг 71
52
• Алгоритм состояния функционирования «Обзор воздушного
пространства круговой»
• Алгоритм состояния функционирования «Автосопровождение»
• Алгоритм состояния функционирования «Обзор воздушного
пространства вертикальный»
• Алгоритм формирования M.NF
• Алгоритм формирования сигнала Frame и Fшим
• Алгоритм опроса датчиков углов
• Алгоритм расчета положения линии визирования
• Алгоритм формирования управляющих сигналов угломестного
двигателя
• Алгоритм формирования управляющих сигналов азимутального
двигателя
• Алгоритм расчета угловых скоростей линии визирования
• Алгоритм формирования М.Вчф
Схема взаимодействия алгоритмов МУЛВ представлена на рис. 3.1.
Пунктом 2 технического задания на разработку значится требование по
разработке устройства с использованием ПЛИС фирмы Xilinx
технологической серии Spartan-3. Наиболее подходящим инструментарием
для данной задачи является интегрированная среда разработки от фирмы
Xilinx – Xilinx ISE 9.2i. Данная среда была выбрана в связи с удобством
использования как для реализации схемотехнических решений, так и с
использованием языков разработки аппаратуры. В качестве основного языка
разработки был выбран язык VHDL.
53
Рис. 3.1. Схема взаимодействия алгоритмов МУЛВ
54
3.2. Алгоритм состояния функционирования «Встроенный
контроль».
Основной задачей алгоритма является выявление сбоев в работе модуля
управления линией визирования. Устройство, реализованное по данному
алгоритму, не локализует ошибку, а лишь идентифицирует её наличие.
Локализация ошибок, выявление причин и способов решения проблем –
функциональные задачи, других устройств.
Определение работоспособности осуществляется следующим образом:
• Выдача предопределённых значений углов (азимутального и
угломестного двигателей головного зеркала)
• Ожидание значений углов от датчиков (угломестного и
азимутального)
• Сравнение выданных и полученных значений с максимально
допустимой погрешностью измерения
Тестирование осуществляется за счёт множественной проверки тестовых
значений. Модуль самотестирования предназначен для тестирования
устройства в боевых условиях и в момент начала работы. Время
тестирования ограничено, поэтому количество тестовых выборок сокращено
до трёх. Тестирование устройства в лабораторных условиях или на этапе
подготовки осуществляется с использованием специализированных модулей
и программного обеспечения входящего в комплект поставки, например,
данная функциональность может быть реализована с использованием
программного обеспечения автоматизированного рабочего места оператора
путём выдачи тестовых последовательностей в устройство через модуль
«Внешнее управление». Алгоритм «встроенный контроль» имеет
относительно симметричную структуру, что позволяет в случае
необходимости расширять количество предварительных значений для
тестирования. Малое количество тестовых значений (обусловленное
коротким циклом проверки устройства) и требования по условиям
55
работоспособности предполагают реализацию алгоритма в ПЛИС с
использованием автоматного подхода (по методу «жёсткой логики»).
Результатом работы устройства, реализованного по данному алгоритму,
является выходной сигнал сигнализирующий о исправности модуля
управления линий визирования (выходной сигнал ИспрМУЛВ: высокий
уровень – исправен, низкий уровень – «ошибка»).
56
На рисунке 3.2.1 представлена блок-схема алгоритма, оптимизированная
для реализации на VHDL: произведены предварительные расчеты констант,
значения углов переведены в 16-разрядные целые числа.
4. π = 32768
5. 2 π = 65536
Входные данные:
1. Fz_lv – положение линии визирования по углу места (16-разрядное
57
VHDL(рис. 3.2.2). Блоки «начало» и «конец» могут быть сразу объединены в
одно состояние с последующим или предыдущим блоком.
58
данным, направление стрелки характеризует элемент, от которого зависит
состояние. Рассмотрим полученную диаграмму состояний.
59
отдельно: ожидания опорного сигнала и получения данных,- нет, поэтому
объединим эти состояния.
Отметим особенно, что необходимо ввести сигнал сброса (Reset),
который переводит устройство в состояние самотестирования. Рассмотрим
полученную диаграмму состояний автомата.
-- Встроенный контроль
entity self_test is
Port ( clk_60 : in STD_LOGIC;
F_y : in STD_LOGIC_VECTOR (15 downto 0);
F_z : in STD_LOGIC_VECTOR (15 downto 0);
Fy_set : out STD_LOGIC_VECTOR (15 downto 0);
Fz_set : out STD_LOGIC_VECTOR (15 downto 0);
isprMULV : out STD_LOGIC;
reset : in STD_LOGIC;
pulse : in STD_LOGIC
);
end self_test;
-- поведенческая реализация
architecture Behavioral of self_test is
begin
-- описание процесса (асинхронными являются reset и clk_60)
main : process(clk_60, reset)
begin
-- асинхронный сброс
if (reset = '1') then
Fy_set <= (others => '0');
Fz_set <= (others => '0');
isprMULV <= '0';
State <= s1;
62
end if;
end if;
end if;
end if;
63
end if;
end if;
-- состояние s7, вывод признака работоспособности МУЛВ
-- завершение работы
if(State = s8)then
isprMULV <= '1';
end if;
end if;
end process main;
end Behavioral;
64
Рис. 3.2.5: Временная диаграмма тестирования устройства в среде ActiveHDL.
Временная диаграмма(рис. 3.2.5) свидетельствует о правильной
реализации и полном покрытии тестовыми значениями всех ветвей
алгоритма: асинхронный сброс устройства, изменение всех возможных
состояний устройства, признак неисправности и исправности МУЛВ,
сохранение состояния автомата в связи с ошибочными данными, изменение
состояния только в связи с выполнением всех условий.
65
3.3 Алгоритм состояния функционирования «внешнее
управление».
Основная задача устройства построенного по данному алгоритму,
преобразование внешних воздействий, в соответствии с характерными
особенностями устройства, и выдача требуемых значений углов головного
зеркала (по азимуту и углу места).
Если не учитывать аппаратные особенности устройства, то в общем виде
алгоритм можно представить следующим образом (рис. 3.3.1.).
67
Рис. 3.3.2: Блок-схема алгоритма «Внешнее управление».
Алгоритм будет реализован с использованием конечного автомата.
Необходимо выделить в алгоритме набор состояний, находясь в которых
алгоритм будет отрабатывать собственный функционал. Выделим все блоки
алгоритма как отдельные состояния, затем построим диаграмму переходов
между состояниями автомата, укажем зависимости состояний по данным.
Операция по делению на 2 (сдвигу вправо), должна быть выполнено до
непосредственного сложения, поэтому будет выделена как отдельное
состояние.
68
Рис. 3.3.3: Блок-схема алгоритма с выделенными состояниями.
Изобразим схематично диаграмму переходов между состояниями в
автомате. Сплошные линии – направление переходов между состояниями,
пунктирные – зависимости состояний по данным. На диаграмме не
изображались условия переходов между состояниями.
69
Рис. 3.3.4: Схематичное представление диаграммы переходов между
состояниями и зависимости по данным.
Ввиду необходимости предварительного вычисления Fz/2 (что
показывает зависимость между s7 и s8), выделили дополнительное состояние
s8. Однако, вычисление данного значение возможно параллельно со
сравнение в состоянии s3. Преобразуем диаграмму переходов с учётом, что
функционал s8 будет реализован в состоянии s3.
70
Рис. 3.3.5: Диаграмма переходов между состояниями.
Данный автомат будет реализован с использование VHDL на аппаратуре.
Fz_set Fy_set Fz Fy
0 0 0 0
44 10 88 10
44 350 88 -10
350 0 -20 0
350 270 -20 -90
350 170 -20 170
315 0 -90 0
0 190 0 -170
0 170 0 170
Таблица 3.2: Тестовые значения углов в градусах.
entity external_drive is
Port ( clk_60 : in STD_LOGIC;
pulse : in STD_LOGIC;
go : in STD_LOGIC;
Fy : in STD_LOGIC_VECTOR (15 downto 0);
Fz : in STD_LOGIC_VECTOR (15 downto 0);
Fy_set : out STD_LOGIC_VECTOR (15 downto 0);
Fz_set : out STD_LOGIC_VECTOR (15 downto 0);
reset : in STD_LOGIC
);
end external_drive;
signal ffy, ffz, ffyo, ffzo, fyc, fzc, fz2 : STD_LOGIC_VECTOR (15 downto 0);
begin
main : process(clk_60)
begin
if(reset = '1')then
State <= s0;
ffyo <= (others=>'0');
ffzo <= (others=>'0');
ffy <= (others=>'0');
ffz <= (others=>'0');
fyc <= (others=>'0');
fzc <= (others=>'0');
fz2 <= (others=>'0');
elsif(rising_edge(clk_60))then
73
State <= s5;
end if;
if (ffz(15)='1') then
fz2(14)<='1';
else
fz2(14)<='0';
end if;
end if;
if (ffy(15)='1') then
fyc <= ffy + conv_std_logic_vector(65535,16);
else
fyc <= ffy;
end if;
end if;
74
Рис. 3.3.6: Временная диаграмма работы устройства по тестовым значениям.
75
3.3.4 Алгоритм опроса азимутального датчика.
Непосредственное получение данных с датчиков невозможно, поэтому
необходимо реализовать модуль устройства, осуществляющий считывание
данных с датчиков и приведение этих значений в соответствии с выбранным
способом представления.
Блок-схема алгоритма представлена на рисунке (рис. 3.4.1).
77
Реализация алгоритма опроса азимутального датчика углов на
VHDL.
-----------------------------------------------------------------------------
-----
-- Module Name: azimuth_read - Behavioral
-----------------------------------------------------------------------------
-----
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity azimuth_read is
Port ( clk_60 : in STD_LOGIC;
pulse : in STD_LOGIC;
N_sensor: in STD_LOGIC;
Fy : in STD_LOGIC_VECTOR (15 downto 0);
Fy_sensor : out STD_LOGIC_VECTOR (15 downto 0);
reset : in STD_LOGIC
);
end azimuth_read;
begin
main : process(clk_60,reset)
begin
if(reset = '1')then
State <= s0;
elsif(rising_edge(clk_60)) then
-- Fy_sensor / 2
t3(13 downto 0)<=ffy(14 downto 1);
t3(15)<=ffy(15);
t3(14)<=ffy(15);
end if;
end if;
79
Временная диаграмма работы устройства представлена на рисунке (рис.
3.4.3).
80
Глава 4. Реализация алгоритмов формирования
управляющих сигналов
81
Выходные данные:
Выходные триггеры ДМ10:
z_p – положительное напряжение в фазе
z_m – отрицательное напряжение в фазе
Внутренние переменные:
k- счетчик по периоду ШИМ сигнала угломестного привода (целое 9р)
k_poff- скважность ШИМ сигнала в положительном плече угломестного
привода (целое 9р)
k_moff – скважность ШИМ сигнала в отрицательном плече угломестного
привода (целое 9р)
N_clock – счетчик тактов 5р
dFzt_0, dFzt_1, dFzt_2, dFzt_3, dFz_con_0, dFz_con_1, dFz_con_2, dFz_con_3 –
буфер значений (целые значения 16р)
82
4.1.1. Реализация компонента PWM_former
Рассмотрим часть блок схемы алгоритма, которая реализуется блоком
PWM_Former (рис.4.1.1.1).
83
осуществляет выдачу сигнала необходимой скважности и частоты. Как видно
из алгоритма.
Период сигнала соответствует 500 отсчётам. Значения k_poff и k_moff
отражают значения скважности сигнала в положительном и отрицательном
плече угломестного двигателя соответственно. Так как период сигнала равен
500 отсчётам, то для этих значений скважности и были выбраны 9 разрядные
числа (29 = 512).
Модуль, реализованный по данному алгоритм, является автоматом с
заданным набором состояний. Фактически данный модуль производит
непрерывную выдачу сигналов в угломестный двигатель по тем значениям
скважности, которые вычисляются на других этапах (в частности, блоком
z_main_for_mul).
Реализация алгоритма была проведена так же, как и вышеописанных
алгоритмов. Методика реализация алгоритма была следующей: выбор метода
реализации (конечный автомат), выделение всех блоков алгоритма в
отдельные состояния (там где это возможно производилось объединение
блоков в единое состояние), исследование на предмет зависимости по
данным и оптимизация за счёт объединения состояний, реализация на VHDL,
отладка.
Исходный код модуля PWM_former на языке VHDL представлен в
приложении (Приложение 1).
84
Рис. 4.1.2.1: Зависимость тока в обмотках статора при широтно-
импульсном методе модуляции.
Из рисунка (рис. 4.1.2.1) видно, что при подаче сигнала, скважность,
которого зависит от времени, а частота и длительность соответствуют
синусоидальному закону, то в обмотках статора ток будет изменяться по
синусоидальному закону.
Блок схема алгоритма по расчёту скважности для широтно-импульсной
модуляции сигнала в положительном и отрицательном плече угломестного
двигателя представлена на рисунке (рис. 4.1.2.2).
85
Рис. 4.1.2.2: Блок-схема алгоритма расчёта скважности ШИМ
сигнала для положительного и отрицательного плеча угломестного
двигателя.
Расчёт значения dFz_con_0 осуществляется по формуле:
dFz _ con _ 0 = dFz _ 0 * a _ 0 + dFz _ 1 * a _ 1 + dFz _ 2 * a _ 2 + dFz _ 3 * a _ 3 − dFz _ con _ 1 * b _ 1 −
− dFz _ con _ 2 * b _ 2 − dFz _ con _ 3 * b _ 3
86
Входными являются лишь значения dFz_0 и a_0, которые
поступают с модуля speed_limiter, остальные – внутренние
значения переменных, зависящих от предыдущих шагов работы
алгоритма.
Алгоритм был реализован в виде конечного автомата. Методика
выделения состояний автомата и их оптимизации была такой же,
как и в вышеописанных алгоритмах.
Исходных код модуля на языке VHDL, реализующего данный
алгоритм приведён в приложении (приложение 1).
Реализация алгоритма была проведена в среде разработки
Xilinx ISE 9.2.
87
4.2.Реализация алгоритма формирования управляющих
сигналов азимутального двигателя
Блок-схема алгоритма представлена на рис.4.7.
88
Входные данные:
Fy_sensor – считываемое с датчика значение угла по азимуту (целое 16р)
Fy_set – формируемый управляющим алгоритмом код требуемого положения
ротора (целое 16р)
Fy_correct – поправка стабилизации (целое 16р)
Выходные данные:
Выходные триггеры МД 160:
y1_p – первая фаза положительное напряжение
y1_m – первая фаза отрицательное напряжение
y2_p – вторая фаза положительное напряжение
y2_m – вторая фаза отрицательное напряжение
y3_p – третья фаза положительное напряжение
y3_m – третья фаза отрицательное напряжение
Внутренние переменные:
ky – счетчик временных в периоде ШИМ сигнала азимутального привода
(целое 8р)
N_sensor – счетчик оборотов азимутального датчика (0,1)
Fy_old – буфер значение угла по азимуту (целое 16р)
Fy_abs – абсолютное значение требуемого угла (целое 16р)
F1,F1,F1 - угол положения ротора относительно текущего периода двигателя
(целое 10р)
k1_poff k2_poff k3_poff – скважность ШИМ сигнала в положительном
плече азимутального привода (целое 8р)
k1_moff k2_moff k3_moff – скважность ШИМ сигнала в отрицательном
плече азимутального привода (целое 8р)
Fy_set_0, Fy_set_1, Fy_set_2, Fy_set_3,
Fy_con_0, Fy_con_1, Fy_con_2, Fy_con_3 – два массива длиной в четыре
элемента заданного и корректированного сигнала (элемент целый 16р+знак)
89
Верхний уровень был реализован схемотехнически, отдельные блоки
данного алгоритма объединялись в готовое устройство (рис.4.2.2)
90
выдачу сигналов заданной скважности. Блок-схема алгоритма, реализуемого
модулем out_formers_new, представлен на рисунке (рис. 4.2.3).
91
Рис. 4.2.3. Блок-схема алгоритма работы модуля выходных формирователей.
92
На рисунке (рис. 4.2.3) представлен алгоритм работы модуля выходного
формирователя для азимутального двигателя. Как и было отмечено выше,
данный модуль по алгоритму работы сопоставим с модулем выходных
формирователей для угломестного двигателя, но ввиду различных типов
двигателей, необходимо 3 значения выходного сигнала для управления
вращением. Использование 3-х значений связано с использованием 3-х
фазного азимутального двигателя.
Принцип работы формирователей и управления двигателем не
отличается от описанного в разделе 4.1.
Данный алгоритм был реализован в виде конечного автомата. Исходный
код на языке VHDL всех модулей представлен в приложении (приложение 1).
Таким образом, реализация компонент алгоритма формирования
управляющих сигналов азимутального двигателя завершена.
93
Глава 5. Объединение блоков в готовое устройство
94
После объединения всех компонент была произведена их отладка и
запущен процесс синтеза. Ниже приведена часть отчета о синтезе, так
называемый конечный отчет (Final Report):
=========================================================================
* Final Report *
=========================================================================
Final Results
RTL Top Level Output File Name : scaner_top_module.ngr
Top Level Output File Name : scaner_top_module
Output Format : NGC
Optimization Goal : Speed
Keep Hierarchy : NO
=========================================================================
---------------------------
Partition Resource Summary:
---------------------------
95
No Partitions were found in this design.
---------------------------
Timing Summary:
---------------------------
Speed Grade: -4
96
Рис.5.2. Упаковка МУЛВ на кристалл ПЛИС.
Как видно, на кристалле еще остается место для размещения других
элементов или различных периферийных блоков, каких именно – решит
конечный пользователь.
97
Рис. 5.3. Отладочная плата комплекта Developers Kit ML403.
На данной плате установлена ПЛИС Virtex-4, а сам проект
рассчитывался на укладку на ПЛИС Spartan-3, однако это не столь важно, так
как данная плата использовалась исключительно как отладочная.
100
Будущие разработки устройств линейки оптико-локационных систем
производственного объединения «УОМЗ» будут разрабатываться именно с
использованием данной связки ЦПОС+ПЛИС.
101
Глава 6.Эргономика рабочего места инженера-
программиста.
Введение
Эргоно́мика (от др.-греч. ἔργον — работа и νόμος — закон) —
соответствие труда физиологическим и психическим возможностям человека,
обеспечение наиболее эффективной работы, не создающей угрозы для
здоровья человека и выполняемой при минимальной затрате биологических
ресурсов.
В последнее время эргономика отходит от классического определения и
перестаёт быть строго связана с производственной деятельностью.
Определение, принятое Международной Эргономической Ассоциацией (IEA)
в 2007 году: «Эргономика — это область приложения научных знаний о
человеке к проектированию предметов, систем и окружений, используемых
им».
Рассматривается рабочее место при работе за ПЭВМ с целью
повышения эффективности работы, уменьшения риска заболеваний и
травмобезопасности, увеличения экономической эффективности, выявления
проблем, формирования мероприятий по их решению. Оценка включает
рассмотрение норм и требований, предъявляемых компьютерному труду,
рассмотрение правильной организации компьютерного рабочего места
(обоснованность его пространственных параметров, режима труда во
времени, с учетом эргономических законов и норм, применяемых к труду
оператора).
103
6.1.2) Требования по уровню шума
Шумом называется любой мешающий и нежелательный звук в
диапазоне частот от 20 до 20 000 Гц.
Шум редко состоит из одной частоты, как правило, это сочетание
частот, которое может быть широкополосным и узкополосным.
Частотный состав шума называют спектром.
По временным характеристикам шум следует разделять:
1)на постоянный;
2)непостоянный - колеблющийся, прерывистый, импульсный.
Не все звуки воспринимаются органами слуха человека.
Ультразвук - звук находящийся в диапазоне частот выше 15 кГц, т. е.
выше верхнего предела восприятия для человека.
Инфразвук обладает частотой ниже 16 Гц, т. е. ниже нижнего предела
слуха.
Характеристикой постоянного шума на рабочих местах являются
уровни звукового давления в дБ.
Характеристикой непостоянного шума является эквивалентный уровень
звука в дБА.
Следствием вредного воздействия производственного шума может
являться развитие профзаболеваний, повышение общей заболеваемости,
снижение работоспособности, повышение степени риска получения травм,
несчастные случаи, связанные с нарушением восприятия предупреждающих
сигналов, нарушение слухового контроля работы оборудования.
Шум подразделяется:
-на мешающий;
-раздражающий;
-вредный;
- травмирующий.
104
6.1.3) Помещение и освещение
В помещении, предназначенном для работы на компьютере, должно
иметься как естественное, так и искусственное освещение. Лучше всего, если
окна в комнате выходят на север или северо-восток. Помещения необходимо
оборудовать не только отопительными приборами, но и системами
кондиционирования воздуха или эффективной вентиляцией. Стены и потолки
следует окрашивать матовой краской: блестящие и тем более, зеркальные
поверхности утомляют зрение и отвлекают от работы. В помещениях
ежедневно должна проводиться влажная уборка.
Желательно, чтобы площадь рабочего места составляла не менее 6
квадратных метров, а объем - 20 кубических метров. Стол следует поставить
сбоку от окна так, чтобы свет падал слева. Наилучшее освещение для работы
с компьютером - рассеянный непрямой свет, который не дает бликов на
экране. В поле зрения пользователя не должно быть резких перепадов
яркости, поэтому окна желательно закрывать шторами либо жалюзи.
Искусственное же освещение должно быть общим и равномерным, в то же
время использование одних только настольных ламп недопустимо.
Уровень естественного освещения нормируется коэффициентом
естественной освещенности (КЕО) - это отношение естественной
освещенности внутри помещения Евн к одновременному значению наружной
горизонтальной освещенности Ен. Нормирование КЕО осуществляется по
СНиП «Естественное и искусственное освещение». Освещенность Е из-
меряется в люксах (Лк). Фактическая освещенность должна быть больше или
равна нормируемой.
При эксплуатации зданий необходимо поддерживать светоотдачу и
светопропускаемую способность окон, т. е. производить их своевременную
чистку. При незначительном выделении пыли- 4 раза в год.
Искусственное освещение - может быть общим и комбинированным, а
может быть внутренним и наружным. Искусственное освещение
105
обеспечивается электролампами различной мощности, заключенными в
специальную арматуру (светильники, различных типов и исполнений).
Общее освещение может быть равномерным и локализованным.
Наиболее характерным является освещение одинаковыми светильниками,
распределенными на равной высоте и равном расстоянии между собой, т. е.
над симметрично расположенным оборудованием - это равномерное общее
освещение. Локализованное освещение - над оборудованием несимметрично
расположенным, т. е. разная мощность ламп, светильники на разной высоте и
разном расстоянии, т. е. конкретно над оборудованием.
Источники искусственного освещения - электролампы U=127, 220 В;
мощностью от 15 до 1500 Вт. Чем выше мощность, тем выше
светоотдача. Для местного освещения U = 12, 36 В; W= 50 Вт и выше.
106
подвальных помещениях не допускается, размещение в помещениях без
естественного освещения - по согласованию с СЭН.
107
по 10 мин через каждый час работы или по 15 мин через 2 часа от начала
работы смены и через 1,5-2 часа после обеденного перерыва;
Категория В - творческая работа в режиме диалога с ЭВМ - по
суммарному времени непосредственной работы с ПЭВМ за смену: не более 6
час.
Категория при смешанных видах работ принимается по той, которая
занимает не менее 50 % рабочего времени. Рекомендуется чередование
операций по считыванию, вводу, осмысливанию текста; комплекс
упражнений в перерывах, психологическая разгрузка.
Работа оператора ПЭВМ относится к категории работ, связанных с
опасными и вредными условиями труда. В процессе труда на оператора
ПЭВМ оказывают действие следующие опасные и вредные производственные
факторы:
физические
-повышенные уровни электромагнитного излучения;
-повышение уровня рентгеновского излучения;
-повышение уровня ультрафиолетового излучения;
-повышенный уровень инфракрасного излучения;
-повышенный уровень статического электричества;
-повышение уровня запыленности воздуха рабочей зоны;
-повышенное содержание положительных аэроионов в воздухе рабочей
зоны;
-пониженное содержание отрицательных аэроионов в воздухе рабочей
зоны;
-пониженная или повышенная влажность воздуха рабочей зоны;
-пониженная или повышенная подвижность воздуха рабочей зоны;
-повышенный уровень шума;
-повышенный или пониженный уровень освещенности;
-повышенный уровень прямой блесткости;
-повышенный уровень отраженной блесткости;
108
-повышенный уровень ослепленности;
-неравномерность распределения яркости в поле зрения;
-повышенная яркость светового изображения;
-повышенный уровень пульсации светового потока;
-повышенное значение напряжения в электрической цепи, замыкание
\
- повышенное содержание в воздухе рабочей зоны двуокиси углерода,
озона, аммиака, фенола, формальдегида и полихлорированных бифенилов;
психофизиологические
-напряжение зрения;
-напряжение внимания;
-интеллектуальные нагрузки;
-эмоциональные нагрузки;
-длительные статические нагрузки;
-монотонность труда;
-большой объём информации, обрабатываемой в единицу времени;
-нерациональная организация рабочего места;
-биологические
-повышенное содержание в воздухе рабочей зоны микроорганизмов.
К работе оператором, программистом, инженером и техником ПЭВМ,
пользователем ПЭВМ допускаются:
-лица не моложе 18 лет, прошедшие обязательный при приеме на
109
- прошедшие обучение безопасным приемам и методам труда по
программе, утвержденной руководителем предприятия (работодателем),
разработанной на основе типовой программы и прошедшие проверку
знаний, в том числе по электробезопасности с присвоением 1-й
квалификационной группы по электробезопасности;
-прошедшие курс обучения принципам работы с вычислительной
114
здоровью пользователя компьютера наносят устройства ввода-вывода:
монитор, клавиатура, мышь.
В наше время, когда проблемы безопасности работы за компьютером
стоят как нельзя остро, появляется множество различных стандартов на
экологическую безопасность оборудования персонального компьютера.
Современный монитор должен соответствовать, по крайней мере, трем
общепринятым стандартам безопасности и эргономике:
1. FCC Class B - этот стандарт разработан канадской федеральной
6.6.2) Вентиляция
Рабочее место должно быть с хорошей вентиляцией. С одной стороны
это важно для охлаждения разных частей компьютера, который выделяют
тепло в процессе работы (системный блок, монитор, принтер и т.п.), а с
другой стороны приток свежего воздуха в достаточной мере снабжает
организм кислородом.
Если Вы курите, ни в коем случае не курите за компьютером, курение
за компьютером только дополнительно дает нагрузку на Ваш организм. В
результате курения в крови накапливается вредный монооксид углерода (СО),
а это снижает способность организма обеспечивать кровоснабжение мышц.
Курение также снижает прочность соединительной ткани в мышцах,
увеличивая вероятность их травмирования.
117
6.6.3) Шум
Шум на рабочем месте может быть причиной стресса и вызывать
лишнее напряжение мышц, что в свою очередь повышает утомляемость
организма и снижает работоспособность. Поэтому необходимо выбирать по
возможности тихое место. Используйте негромкое музыкальное
сопровождение в качестве фона, для того чтобы замаскировать шум
вентиляторов, винчестеров, принтера и т.п.
118
чтобы край сиденья имел мягкую скругленную вниз форму. Это позволяет
избежать давления на кровеносные сосуды и не нарушать циркуляцию крови.
Позвоночник здорового человека напоминает знак интеграла. А,
следовательно, спинке кресла необходимо иметь соответствующую форму,
чтобы помогать сохранять это положение. Это очень важный момент. Если
приходится сидеть на обычном стуле без выпуклости под поясницу,
рекомендуется применять небольшую мягкую подушку для этих целей. Угол
между спинкой кресла и сидением должен составлять чуть более 90°. Иногда
стулья снабжаются специальным механизмом, позволяющим одновременно
менять угол наклона спинки и сиденья так, что положение позвоночника
остается правильным в любой момент времени.
Хорошо, если спинка стула поддерживает лишь нижнюю половину
спины, но при этом не является жестко закрепленной, чтобы не
препятствовать движениям в процессе работы.
Даже самое эргономичное оборудование в мире не поможет вам
избежать заболеваний, если использовать его неправильно. Следуя простым
советам по эргономичной организации рабочего места, можно предотвратить
дальнейшее развитие заболеваний.
120
•Линия плеч должна располагаться прямо над линией бедер.
•Предплечья можно положить на мягкие подлокотники на такой
высоте, чтобы запястья располагались чуть ниже, чем локти.
•Локти согнуты и находятся примерно в 3 см от корпуса.
•Запястья должны принять нейтральное положение (ни подняты, ни
опущены).
6.6.10) Выводы
В этой части дипломной работы были изложены требования к рабочему
месту программиста (пользователя). Созданные условия должны
обеспечивать комфортную работу. На основании изученной литературы по
данной проблеме, были указаны оптимальные размеры рабочего стола и
кресла, рабочей поверхности, а также проведен выбор системы и расчет
оптимального освещения производственного помещения, а также расчет
информационной нагрузки. Соблюдение условий, определяющих
оптимальную организацию рабочего места программиста, позволит
сохранить хорошую работоспособность в течение всего рабочего дня,
повысит, как в количественном, так и в качественном отношениях
производительность труда программиста.
121
Заключение
В данной работе были рассмотрены детали реализации
алгоритмического обеспечения модуля управления линией визирования
(МУЛВ) одной из современных систем оптической локации.
В рамках работы над проектом было выполнено следующее:
• Разработана функциональная схема устройства;
• Исследованы, выбраны и обоснованы методы и инструментарий
проектирования МУЛВ, в качестве которых выбраны язык описания
аппаратуры VHDL и САПР XILINX;
• Осуществлено проектирование и реализация с использованием VHDL
заданных компонент:
1. Алгоритм состояния функционирования «Встроенный контроль»
2. Алгоритм состояния функционирования «Внешнее управление »
3. Алгоритм опроса датчиков углов
4. Алгоритм формирования управляющих сигналов угломестного
двигателя
5. Алгоритм формирования управляющих сигналов азимутального
двигателя
• Осуществлена интеграция компонентов в единую систему с ее
последующим тестированием и отладкой;
• Произведена «укладка» готовой системы на кристалл ПЛИС;
122
Анализ результатов проектирования и тестирования МУЛВ показывает,
что реализация удовлетворяет требованиям, поставленным в ТЗ.
123
124