Вы находитесь на странице: 1из 1

#include<16f877A.

h>
#use delay(clock=20000000)
#fuses hs, noprotect, nowd, nolvp
#byte PORTB=6
void main()
{
Set_tris_b(0b00000000);
Do
{
portb=0b00000001;
delay_ms(200);
portb=0b00000010;
delay_ms(200);
portb=0b00000100;
delay_ms(200);
portb=0b00001000;
delay_ms(200);
portb=0b00010000;
delay_ms(200);
portb=0b00100000;
delay_ms(200);
portb=0b01000000;
delay_ms(200);
portb=0b10000000;
delay_ms(200);
}while(1);
}

Вам также может понравиться