Вы находитесь на странице: 1из 4

MULTIPLEXOR

Tabla de Verdad
A X 0 0 1 1 B X 0 1 0 1 D0
X 1 0 0 0

D1
X 0 1 0 0

D2
X 0 0 1 0

D3
X 0 0 0 1

S
0 1 1 1 1

Circuito

Implementacin en Electronics Workbench

DEMULTIPLEXOR
Tabla de Verdad
C
0 1 1 1 1

A X 0 0 1 1

B X 0 1 0 1

S0
X 1 0 0 0

S1
X 0 1 0 0

S2
X 0 0 1 0

S3
X 0 0 0 1

Circuito

Implementacin en Electronics Workbench

Вам также может понравиться