Вы находитесь на странице: 1из 17

NET AUDIO_BIT_CLK NET AUDIO_SDATA_IN NET AUDIO_SDATA_OUT NET AUDIO_SYNC NET BUS_ERROR_1 ohm resistors NET BUS_ERROR_2 ohm

resistors NET CFG_ADDR_OUT0 NET CFG_ADDR_OUT1 NET CLK_27MHZ_FPGA NET CLK_33MHZ_FPGA NET CLK_FPGA_N NET CLK_FPGA_P NET CLKBUF_Q0_N GTP_DUAL_X0Y4 NET CLKBUF_Q0_P GTP_DUAL_X0Y4 NET CLKBUF_Q1_N NET CLKBUF_Q1_P NET CPLD_IO_1 NET CPU_TCK ohm resistors NET CPU_TDO ohm resistors NET CPU_TMS ohm resistors NET CPU_TRST NET DDR2_A0 ohm resistors NET DDR2_A1 ohm resistors NET DDR2_A2 ohm resistors NET DDR2_A3 ohm resistors NET DDR2_A4 ohm resistors NET DDR2_A5 ohm resistors NET DDR2_A6 ohm resistors NET DDR2_A7 ohm resistors NET DDR2_A8 ohm resistors NET DDR2_A9 ohm resistors NET DDR2_A10 ohm resistors NET DDR2_A11 ohm resistors NET DDR2_A12 ohm resistors NET DDR2_A13 ohm resistors

LOC="AF18"; LOC="AE18"; LOC="AG16"; LOC="AF19"; LOC="F6"; LOC="T10"; LOC="AE12"; LOC="AE13"; LOC="AG18"; LOC="AH17"; LOC="K19"; LOC="L19"; LOC="H3"; LOC="H4"; LOC="J19"; LOC="K18"; LOC="W10"; LOC="E6"; LOC="E7"; LOC="U10"; LOC="V10"; LOC="L30"; LOC="M30"; LOC="N29"; LOC="P29"; LOC="K31"; LOC="L31"; LOC="P31"; LOC="P30"; LOC="M31"; LOC="R28"; LOC="J31"; LOC="R29"; LOC="T31"; LOC="H29";

# # # # #

Bank Bank Bank Bank Bank

4, Vcco=3.3V, No DCI 4, Vcco=3.3V, No DCI 4, Vcco=3.3V, No DCI 4, Vcco=3.3V, No DCI 12, Vcco=3.3V, DCI using 49.9

# Bank 12, Vcco=3.3V, DCI using 49.9 # # # # # # # Bank Bank Bank Bank Bank Bank Bank 2, Vcco=3.3V 2, Vcco=3.3V 4, Vcco=3.3V, No DCI 4, Vcco=3.3V, No DCI 3, Vcco=2.5V, No DCI 3, Vcco=2.5V, No DCI 116, MGTREFCLKN_116,

# Bank 116, MGTREFCLKP_116, # # # # Bank Bank Bank Bank 3, Vcco=2.5V, No DCI 3, Vcco=2.5V, No DCI 18, Vcco=3.3V, No DCI 12, Vcco=3.3V, DCI using 49.9

# Bank 12, Vcco=3.3V, DCI using 49.9 # Bank 12, Vcco=3.3V, DCI using 49.9 # Bank 18, Vcco=3.3V, No DCI # Bank 15, Vcco=1.8V, DCI using 49.9 # Bank 15, Vcco=1.8V, DCI using 49.9 # Bank 15, Vcco=1.8V, DCI using 49.9 # Bank 15, Vcco=1.8V, DCI using 49.9 # Bank 15, Vcco=1.8V, DCI using 49.9 # Bank 15, Vcco=1.8V, DCI using 49.9 # Bank 15, Vcco=1.8V, DCI using 49.9 # Bank 15, Vcco=1.8V, DCI using 49.9 # Bank 15, Vcco=1.8V, DCI using 49.9 # Bank 15, Vcco=1.8V, DCI using 49.9 # Bank 15, Vcco=1.8V, DCI using 49.9 # Bank 15, Vcco=1.8V, DCI using 49.9 # Bank 15, Vcco=1.8V, DCI using 49.9 # Bank 15, Vcco=1.8V, DCI using 49.9

NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm

DDR2_BA0 resistors DDR2_BA1 resistors DDR2_BA2 resistors DDR2_CAS_B resistors DDR2_CKE0 resistors DDR2_CKE1 resistors DDR2_CLK0_N resistors DDR2_CLK0_P resistors DDR2_CLK1_N resistors DDR2_CLK1_P resistors DDR2_CS0_B resistors DDR2_CS1_B resistors DDR2_D0 resistors DDR2_D1 resistors DDR2_D2 resistors DDR2_D3 resistors DDR2_D4 resistors DDR2_D5 resistors DDR2_D6 resistors DDR2_D7 resistors DDR2_D8 resistors DDR2_D9 resistors DDR2_D10 resistors DDR2_D11 resistors DDR2_D12 resistors DDR2_D13 resistors DDR2_D14 resistors DDR2_D15 resistors

LOC="G31"; LOC="J30"; LOC="R31"; LOC="E31"; LOC="T28"; LOC="U30"; LOC="AJ29"; LOC="AK29"; LOC="F28"; LOC="E28"; LOC="L29"; LOC="J29"; LOC="AF30"; LOC="AK31"; LOC="AF31"; LOC="AD30"; LOC="AJ30"; LOC="AF29"; LOC="AD29"; LOC="AE29"; LOC="AH27"; LOC="AF28"; LOC="AH28"; LOC="AA28"; LOC="AG25"; LOC="AJ26"; LOC="AG28"; LOC="AB28";

# Bank 15, Vcco=1.8V, DCI using 49.9 # Bank 15, Vcco=1.8V, DCI using 49.9 # Bank 15, Vcco=1.8V, DCI using 49.9 # Bank 15, Vcco=1.8V, DCI using 49.9 # Bank 15, Vcco=1.8V, DCI using 49.9 # Bank 15, Vcco=1.8V, DCI using 49.9 # Bank 21, Vcco=1.8V, DCI using 49.9 # Bank 21, Vcco=1.8V, DCI using 49.9 # Bank 19, Vcco=1.8V, DCI using 49.9 # Bank 19, Vcco=1.8V, DCI using 49.9 # Bank 15, Vcco=1.8V, DCI using 49.9 # Bank 15, Vcco=1.8V, DCI using 49.9 # Bank 17, Vcco=1.8V, DCI using 49.9 # Bank 17, Vcco=1.8V, DCI using 49.9 # Bank 17, Vcco=1.8V, DCI using 49.9 # Bank 17, Vcco=1.8V, DCI using 49.9 # Bank 17, Vcco=1.8V, DCI using 49.9 # Bank 17, Vcco=1.8V, DCI using 49.9 # Bank 17, Vcco=1.8V, DCI using 49.9 # Bank 17, Vcco=1.8V, DCI using 49.9 # Bank 21, Vcco=1.8V, DCI using 49.9 # Bank 21, Vcco=1.8V, DCI using 49.9 # Bank 21, Vcco=1.8V, DCI using 49.9 # Bank 21, Vcco=1.8V, DCI using 49.9 # Bank 21, Vcco=1.8V, DCI using 49.9 # Bank 21, Vcco=1.8V, DCI using 49.9 # Bank 21, Vcco=1.8V, DCI using 49.9 # Bank 21, Vcco=1.8V, DCI using 49.9

NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm

DDR2_D16 resistors DDR2_D17 resistors DDR2_D18 resistors DDR2_D19 resistors DDR2_D20 resistors DDR2_D21 resistors DDR2_D22 resistors DDR2_D23 resistors DDR2_D24 resistors DDR2_D25 resistors DDR2_D26 resistors DDR2_D27 resistors DDR2_D28 resistors DDR2_D29 resistors DDR2_D30 resistors DDR2_D31 resistors DDR2_D32 resistors DDR2_D33 resistors DDR2_D34 resistors DDR2_D35 resistors DDR2_D36 resistors DDR2_D37 resistors DDR2_D38 resistors DDR2_D39 resistors DDR2_D40 resistors DDR2_D41 resistors DDR2_D42 resistors DDR2_D43 resistors

LOC="AC28"; LOC="AB25"; LOC="AC27"; LOC="AA26"; LOC="AB26"; LOC="AA24"; LOC="AB27"; LOC="AA25"; LOC="AC29"; LOC="AB30"; LOC="W31"; LOC="V30"; LOC="AC30"; LOC="W29"; LOC="V27"; LOC="W27"; LOC="V29"; LOC="Y27"; LOC="Y26"; LOC="W24"; LOC="V28"; LOC="W25"; LOC="W26"; LOC="V24"; LOC="R24"; LOC="P25"; LOC="N24"; LOC="P26";

# Bank 21, Vcco=1.8V, DCI using 49.9 # Bank 21, Vcco=1.8V, DCI using 49.9 # Bank 21, Vcco=1.8V, DCI using 49.9 # Bank 21, Vcco=1.8V, DCI using 49.9 # Bank 21, Vcco=1.8V, DCI using 49.9 # Bank 21, Vcco=1.8V, DCI using 49.9 # Bank 21, Vcco=1.8V, DCI using 49.9 # Bank 21, Vcco=1.8V, DCI using 49.9 # Bank 17, Vcco=1.8V, DCI using 49.9 # Bank 17, Vcco=1.8V, DCI using 49.9 # Bank 17, Vcco=1.8V, DCI using 49.9 # Bank 17, Vcco=1.8V, DCI using 49.9 # Bank 17, Vcco=1.8V, DCI using 49.9 # Bank 17, Vcco=1.8V, DCI using 49.9 # Bank 17, Vcco=1.8V, DCI using 49.9 # Bank 17, Vcco=1.8V, DCI using 49.9 # Bank 17, Vcco=1.8V, DCI using 49.9 # Bank 17, Vcco=1.8V, DCI using 49.9 # Bank 17, Vcco=1.8V, DCI using 49.9 # Bank 17, Vcco=1.8V, DCI using 49.9 # Bank 17, Vcco=1.8V, DCI using 49.9 # Bank 17, Vcco=1.8V, DCI using 49.9 # Bank 17, Vcco=1.8V, DCI using 49.9 # Bank 17, Vcco=1.8V, DCI using 49.9 # Bank 19, Vcco=1.8V, DCI using 49.9 # Bank 19, Vcco=1.8V, DCI using 49.9 # Bank 19, Vcco=1.8V, DCI using 49.9 # Bank 19, Vcco=1.8V, DCI using 49.9

NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm

DDR2_D44 resistors DDR2_D45 resistors DDR2_D46 resistors DDR2_D47 resistors DDR2_D48 resistors DDR2_D49 resistors DDR2_D50 resistors DDR2_D51 resistors DDR2_D52 resistors DDR2_D53 resistors DDR2_D54 resistors DDR2_D55 resistors DDR2_D56 resistors DDR2_D57 resistors DDR2_D58 resistors DDR2_D59 resistors DDR2_D60 resistors DDR2_D61 resistors DDR2_D62 resistors DDR2_D63 resistors DDR2_DM0 resistors DDR2_DM1 resistors DDR2_DM2 resistors DDR2_DM3 resistors DDR2_DM4 resistors DDR2_DM5 resistors DDR2_DM6 resistors DDR2_DM7 resistors

LOC="T24"; LOC="N25"; LOC="P27"; LOC="N28"; LOC="M28"; LOC="L28"; LOC="F25"; LOC="H25"; LOC="K27"; LOC="K28"; LOC="H24"; LOC="G26"; LOC="G25"; LOC="M26"; LOC="J24"; LOC="L26"; LOC="J27"; LOC="M25"; LOC="L25"; LOC="L24"; LOC="AJ31"; LOC="AE28"; LOC="Y24"; LOC="Y31"; LOC="V25"; LOC="P24"; LOC="F26"; LOC="J25";

# Bank 19, Vcco=1.8V, DCI using 49.9 # Bank 19, Vcco=1.8V, DCI using 49.9 # Bank 19, Vcco=1.8V, DCI using 49.9 # Bank 19, Vcco=1.8V, DCI using 49.9 # Bank 19, Vcco=1.8V, DCI using 49.9 # Bank 19, Vcco=1.8V, DCI using 49.9 # Bank 19, Vcco=1.8V, DCI using 49.9 # Bank 19, Vcco=1.8V, DCI using 49.9 # Bank 19, Vcco=1.8V, DCI using 49.9 # Bank 19, Vcco=1.8V, DCI using 49.9 # Bank 19, Vcco=1.8V, DCI using 49.9 # Bank 19, Vcco=1.8V, DCI using 49.9 # Bank 19, Vcco=1.8V, DCI using 49.9 # Bank 19, Vcco=1.8V, DCI using 49.9 # Bank 19, Vcco=1.8V, DCI using 49.9 # Bank 19, Vcco=1.8V, DCI using 49.9 # Bank 19, Vcco=1.8V, DCI using 49.9 # Bank 19, Vcco=1.8V, DCI using 49.9 # Bank 19, Vcco=1.8V, DCI using 49.9 # Bank 19, Vcco=1.8V, DCI using 49.9 # Bank 17, Vcco=1.8V, DCI using 49.9 # Bank 21, Vcco=1.8V, DCI using 49.9 # Bank 21, Vcco=1.8V, DCI using 49.9 # Bank 17, Vcco=1.8V, DCI using 49.9 # Bank 17, Vcco=1.8V, DCI using 49.9 # Bank 19, Vcco=1.8V, DCI using 49.9 # Bank 19, Vcco=1.8V, DCI using 49.9 # Bank 19, Vcco=1.8V, DCI using 49.9

NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm

DDR2_DQS0_N resistors DDR2_DQS0_P resistors DDR2_DQS1_N resistors DDR2_DQS1_P resistors DDR2_DQS2_N resistors DDR2_DQS2_P resistors DDR2_DQS3_N resistors DDR2_DQS3_P resistors DDR2_DQS4_N resistors DDR2_DQS4_P resistors DDR2_DQS5_N resistors DDR2_DQS5_P resistors DDR2_DQS6_N resistors DDR2_DQS6_P resistors DDR2_DQS7_N resistors DDR2_DQS7_P resistors DDR2_ODT0 resistors DDR2_ODT1 resistors DDR2_RAS_B resistors DDR2_SCL resistors DDR2_SDA resistors DDR2_WE_B resistors DVI_D0 resistors DVI_D1 resistors DVI_D2 resistors DVI_D3 resistors DVI_D4 resistors DVI_D5 resistors

LOC="AA30"; LOC="AA29"; LOC="AK27"; LOC="AK28"; LOC="AJ27"; LOC="AK26"; LOC="AA31"; LOC="AB31"; LOC="Y29"; LOC="Y28"; LOC="E27"; LOC="E26"; LOC="G28"; LOC="H28"; LOC="H27"; LOC="G27"; LOC="F31"; LOC="F30"; LOC="H30"; LOC="E29"; LOC="F29"; LOC="K29"; LOC="AB8"; LOC="AC8"; LOC="AN12"; LOC="AP12"; LOC="AA9"; LOC="AA8";

# Bank 17, Vcco=1.8V, DCI using 49.9 # Bank 17, Vcco=1.8V, DCI using 49.9 # Bank 21, Vcco=1.8V, DCI using 49.9 # Bank 21, Vcco=1.8V, DCI using 49.9 # Bank 21, Vcco=1.8V, DCI using 49.9 # Bank 21, Vcco=1.8V, DCI using 49.9 # Bank 17, Vcco=1.8V, DCI using 49.9 # Bank 17, Vcco=1.8V, DCI using 49.9 # Bank 17, Vcco=1.8V, DCI using 49.9 # Bank 17, Vcco=1.8V, DCI using 49.9 # Bank 19, Vcco=1.8V, DCI using 49.9 # Bank 19, Vcco=1.8V, DCI using 49.9 # Bank 19, Vcco=1.8V, DCI using 49.9 # Bank 19, Vcco=1.8V, DCI using 49.9 # Bank 19, Vcco=1.8V, DCI using 49.9 # Bank 19, Vcco=1.8V, DCI using 49.9 # Bank 15, Vcco=1.8V, DCI using 49.9 # Bank 15, Vcco=1.8V, DCI using 49.9 # Bank 15, Vcco=1.8V, DCI using 49.9 # Bank 15, Vcco=1.8V, DCI using 49.9 # Bank 15, Vcco=1.8V, DCI using 49.9 # Bank 15, Vcco=1.8V, DCI using 49.9 # Bank 22, Vcco=3.3V, DCI using 49.9 # Bank 22, Vcco=3.3V, DCI using 49.9 # Bank 22, Vcco=3.3V, DCI using 49.9 # Bank 22, Vcco=3.3V, DCI using 49.9 # Bank 22, Vcco=3.3V, DCI using 49.9 # Bank 22, Vcco=3.3V, DCI using 49.9

NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET NET ohm NET ohm NET ohm NET ohm NET ohm NET NET NET ohm NET NET ohm NET NET NET ohm NET NET NET NET NET NET NET NET NET NET NET NET NET NET NET NET NET

DVI_D6 resistors DVI_D7 resistors DVI_D8 resistors DVI_D9 resistors DVI_D10 resistors DVI_D11 resistors DVI_DE resistors DVI_GPIO1 resistors DVI_H resistors DVI_RESET_B DVI_V resistors DVI_XCLK_N resistors DVI_XCLK_P resistors FAN_ALERT_B resistors FLASH_ADV_B resistors FLASH_AUDIO_RESET_B FLASH_CE_B FLASH_CLK resistors FLASH_OE_B FLASH_WAIT resistors FPGA_AVDD FPGA_CCLK-R FPGA_CPU_RESET_B resistors FPGA_CS_B FPGA_CS0_B FPGA_DIFF_CLK_OUT_N FPGA_DIFF_CLK_OUT_P FPGA_DIN FPGA_DONE FPGA_DOUT_BUSY FPGA_DX_N FPGA_DX_P FPGA_EXP_TCK FPGA_EXP_TMS FPGA_HSWAPEN FPGA_INIT_B FPGA_M0 FPGA_M1 FPGA_M2 FPGA_PROG_B

LOC="AM13"; LOC="AN13"; LOC="AA10"; LOC="AB10"; LOC="AP14"; LOC="AN14"; LOC="AE8"; LOC="N30"; LOC="AM12"; LOC="AK6"; LOC="AM11"; LOC="AL10"; LOC="AL11"; LOC="T30"; LOC="F13"; LOC="AG17"; LOC="AE14"; LOC="N9"; LOC="AF14"; LOC="G13"; LOC="T18"; LOC="N15"; LOC="E9"; LOC="N22"; LOC="AF21"; LOC="J21"; LOC="J20"; LOC="P15"; LOC="M15"; LOC="AD15"; LOC="W17"; LOC="W18"; LOC="AB15"; LOC="AC14"; LOC="M23"; LOC="N14"; LOC="AD21"; LOC="AC22"; LOC="AD22"; LOC="M22";

# Bank 22, Vcco=3.3V, DCI using 49.9 # Bank 22, Vcco=3.3V, DCI using 49.9 # Bank 22, Vcco=3.3V, DCI using 49.9 # Bank 22, Vcco=3.3V, DCI using 49.9 # Bank 22, Vcco=3.3V, DCI using 49.9 # Bank 22, Vcco=3.3V, DCI using 49.9 # Bank 22, Vcco=3.3V, DCI using 49.9 # Bank 15, Vcco=1.8V, DCI using 49.9 # Bank 22, Vcco=3.3V, DCI using 49.9 # Bank 18, Vcco=3.3V, No DCI # Bank 22, Vcco=3.3V, DCI using 49.9 # Bank 22, Vcco=3.3V, DCI using 49.9 # Bank 22, Vcco=3.3V, DCI using 49.9 # Bank 15, Vcco=1.8V, DCI using 49.9 # Bank 20, Vcco=3.3V, DCI using 49.9 # Bank 4, Vcco=3.3V, No DCI # Bank 2, Vcco=3.3V # Bank 20, Vcco=3.3V, DCI using 49.9 # Bank 2, Vcco=3.3V # Bank 20, Vcco=3.3V, DCI using 49.9 # Bank 0, Vcco=3.3V # Bank 0, Vcco=3.3V # Bank 20, Vcco=3.3V, DCI using 49.9 # # # # # # # # # # # # # # # # # Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank 0, 2, 3, 3, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, Vcco=3.3V Vcco=3.3V Vcco=2.5V, No DCI Vcco=2.5V, No DCI Vcco=3.3V Vcco=3.3V Vcco=3.3V Vcco=3.3V Vcco=3.3V Vcco=3.3V Vcco=3.3V Vcco=3.3V Vcco=3.3V Vcco=3.3V Vcco=3.3V Vcco=3.3V Vcco=3.3V

NET FPGA_RDWR_B NET FPGA_ROTARY_INCA ohm resistors NET FPGA_ROTARY_INCB ohm resistors NET FPGA_ROTARY_PUSH ohm resistors NET FPGA_SERIAL1_RX NET FPGA_SERIAL1_TX NET FPGA_SERIAL2_RX ohm resistors NET FPGA_SERIAL2_TX ohm resistors NET FPGA_TDI NET FPGA_TDO NET FPGA_V_N Input: VN) J9-10 NET FPGA_V_P Input: VP) J9-9 NET FPGA_VBATT NET FPGA_VREFP NET FPGA_VRN_B11 selectable by J20 NET FPGA_VRN_B13 selectable by J20 NET FPGA_VRN_B17 ohm resistors NET FPGA_VRN_B19 ohm resistors NET FPGA_VRN_B20 ohm resistors NET FPGA_VRN_B21 ohm resistors NET FPGA_VRN_B22 ohm resistors NET FPGA_VRP_B11 selectable by J20 NET FPGA_VRP_B13 selectable by J20 NET FPGA_VRP_B17 ohm resistors NET FPGA_VRP_B19 ohm resistors NET FPGA_VRP_B20 ohm resistors NET FPGA_VRP_B21 ohm resistors NET FPGA_VRP_B22 ohm resistors NET GPIO_DIP_SW1 ohm resistors NET GPIO_DIP_SW2 ohm resistors NET GPIO_DIP_SW3 ohm resistors NET GPIO_DIP_SW4 ohm resistors

LOC="N23"; LOC="AH30"; LOC="AG30"; LOC="AH29"; LOC="AG15"; LOC="AG20"; LOC="G10"; LOC="F10"; LOC="AC15"; LOC="AD14"; LOC="V17"; LOC="U18"; LOC="L23"; LOC="V18"; LOC="N33"; LOC="AG33"; LOC="AD31"; LOC="N27"; LOC="L10"; LOC="AJ25"; LOC="AF8"; LOC="M33"; LOC="AH33"; LOC="AE31"; LOC="M27"; LOC="L11"; LOC="AH25"; LOC="AE9"; LOC="U25"; LOC="AG27"; LOC="AF25"; LOC="AF26";

# Bank 0, Vcco=3.3V # Bank 17, Vcco=1.8V, DCI using 49.9 # Bank 17, Vcco=1.8V, DCI using 49.9 # Bank 17, Vcco=1.8V, DCI using 49.9 # Bank 4, Vcco=3.3V, No DCI # Bank 4, Vcco=3.3V, No DCI # Bank 20, Vcco=3.3V, DCI using 49.9 # Bank 20, Vcco=3.3V, DCI using 49.9 # Bank 0, Vcco=3.3V # Bank 0, Vcco=3.3V # Bank 0, Vcco=3.3V (SYSMON External # Bank 0, Vcco=3.3V (SYSMON External # Bank 0, Vcco=3.3V # Bank 0, Vcco=3.3V # Bank 11, Vcco=2.5V or 3.3V user # Bank 13, Vcco=2.5V or 3.3V user # Bank 17, Vcco=1.8V, DCI using 49.9 # Bank 19, Vcco=1.8V, DCI using 49.9 # Bank 20, Vcco=3.3V, DCI using 49.9 # Bank 21, Vcco=1.8V, DCI using 49.9 # Bank 22, Vcco=3.3V, DCI using 49.9 # Bank 11, Vcco=2.5V or 3.3V user # Bank 13, Vcco=2.5V or 3.3V user # Bank 17, Vcco=1.8V, DCI using 49.9 # Bank 19, Vcco=1.8V, DCI using 49.9 # Bank 20, Vcco=3.3V, DCI using 49.9 # Bank 21, Vcco=1.8V, DCI using 49.9 # Bank 22, Vcco=3.3V, DCI using 49.9 # Bank 15, Vcco=1.8V, DCI using 49.9 # Bank 21, Vcco=1.8V, DCI using 49.9 # Bank 21, Vcco=1.8V, DCI using 49.9 # Bank 21, Vcco=1.8V, DCI using 49.9

NET GPIO_DIP_SW5 ohm resistors NET GPIO_DIP_SW6 ohm resistors NET GPIO_DIP_SW7 ohm resistors NET GPIO_DIP_SW8 ohm resistors NET GPIO_LED_0 NET GPIO_LED_1 NET GPIO_LED_2 NET GPIO_LED_3 ohm resistors NET GPIO_LED_4 NET GPIO_LED_5 ohm resistors NET GPIO_LED_6 ohm resistors NET GPIO_LED_7 ohm resistors NET GPIO_LED_C ohm resistors NET GPIO_LED_E NET GPIO_LED_N NET GPIO_LED_S NET GPIO_LED_W NET GPIO_SW_C NET GPIO_SW_E NET GPIO_SW_N NET GPIO_SW_S NET GPIO_SW_W NET HDR1_2 selectable by J20 NET HDR1_4 selectable by J20 NET HDR1_6 selectable by J20 NET HDR1_8 selectable by J20 NET HDR1_10 selectable by J20 NET HDR1_12 selectable by J20 NET HDR1_14 selectable by J20 NET HDR1_16 selectable by J20 NET HDR1_18 selectable by J20 NET HDR1_20 selectable by J20 NET HDR1_22 selectable by J20 NET HDR1_24 selectable by J20 NET HDR1_26 selectable by J20 (SYSMON

LOC="AE27"; LOC="AE26"; LOC="AC25"; LOC="AC24"; LOC="H18"; LOC="L18"; LOC="G15"; LOC="AD26"; LOC="G16"; LOC="AD25"; LOC="AD24"; LOC="AE24"; LOC="E8"; LOC="AG23"; LOC="AF13"; LOC="AG12"; LOC="AF23"; LOC="AJ6"; LOC="AK7"; LOC="U8"; LOC="V8"; LOC="AJ7"; LOC="H33"; LOC="F34"; LOC="H34"; LOC="G33"; LOC="G32"; LOC="H32"; LOC="J32"; LOC="J34"; LOC ="L33"; LOC="M32"; LOC="P34"; LOC="N34";

# Bank 21, Vcco=1.8V, DCI using 49.9 # Bank 21, Vcco=1.8V, DCI using 49.9 # Bank 21, Vcco=1.8V, DCI using 49.9 # Bank 21, Vcco=1.8V, DCI using 49.9 # # # # Bank Bank Bank Bank 3, Vcco=2.5V, No DCI 3, Vcco=2.5V, No DCI 3, Vcco=2.5V, No DCI 21, Vcco=1.8V, DCI using 49.9

# Bank 3, Vcco=2.5V, No DCI # Bank 21, Vcco=1.8V, DCI using 49.9 # Bank 21, Vcco=1.8V, DCI using 49.9 # Bank 21, Vcco=1.8V, DCI using 49.9 # Bank 20, Vcco=3.3V, DCI using 49.9 # # # # # # # # # # Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank 2, Vcco=3.3V 2, Vcco=3.3V 2, Vcco=3.3V 2, Vcco=3.3V 18, Vcco=3.3V, No DCI 18, Vcco=3.3V, No DCI 18, Vcco=3.3V, No DCI 18, Vcco=3.3V, No DCI 18, Vcco=3.3V, No DCI 11, Vcco=2.5V or 3.3V user

# Bank 11, Vcco=2.5V or 3.3V user # Bank 11, Vcco=2.5V or 3.3V user # Bank 11, Vcco=2.5V or 3.3V user # Bank 11, Vcco=2.5V or 3.3V user # Bank 11, Vcco=2.5V or 3.3V user # Bank 11, Vcco=2.5V or 3.3V user # Bank 11, Vcco=2.5V or 3.3V user # Bank 11, Vcco=2.5V or 3.3V user # Bank 11, Vcco=2.5V or 3.3V user # Bank 11, Vcco=2.5V or 3.3V user # Bank 11, Vcco=2.5V or 3.3V user

LOC="AA34"; # Bank 13, Vcco=2.5V or 3.3V user External Input: VAUXP[5]) J6-26

NET HDR1_28 selectable by J20 NET HDR1_30 selectable by J20 (SYSMON NET HDR1_32 selectable by J20 NET HDR1_34 selectable by J20 NET HDR1_36 selectable by J20 NET HDR1_38 selectable by J20 NET HDR1_40 selectable by J20 NET HDR1_42 selectable by J20 NET HDR1_44 selectable by J20 NET HDR1_46 selectable by J20 NET HDR1_48 selectable by J20 NET HDR1_50 selectable by J20 NET HDR1_52 selectable by J20 NET HDR1_54 selectable by J20 NET HDR1_56 selectable by J20 NET HDR1_58 selectable by J20 NET HDR1_60 selectable by J20 NET HDR1_62 selectable by J20 NET HDR1_64 selectable by J20 NET HDR2_2_SM_8_N selectable by J20 (SYSMON NET HDR2_4_SM_8_P selectable by J20 (SYSMON NET HDR2_6_SM_7_N selectable by J20 (SYSMON NET HDR2_8_SM_7_P selectable by J20 (SYSMON NET HDR2_10_DIFF_0_N selectable by J20 (SYSMON NET HDR2_12_DIFF_0_P selectable by J20 (SYSMON NET HDR2_14_DIFF_1_N selectable by J20 (SYSMON NET HDR2_16_DIFF_1_P selectable by J20 (SYSMON NET HDR2_18_DIFF_2_N selectable by J20 (SYSMON

LOC="AD32";

# Bank 13, Vcco=2.5V or 3.3V user

LOC="Y34"; # Bank 13, Vcco=2.5V or 3.3V user External Input: VAUXN[5]) J6-30 LOC="Y32"; # Bank 13, Vcco=2.5V or 3.3V user LOC="W32"; LOC="AH34"; LOC="AE32"; LOC="AG32"; LOC="AH32"; LOC="AK34"; LOC="AK33"; LOC="AJ32"; LOC="AK32"; LOC="AL34"; LOC="AL33"; LOC="AM33"; LOC="AJ34"; LOC="AM32"; LOC="AN34"; LOC="AN33"; # Bank 13, Vcco=2.5V or 3.3V user # Bank 13, Vcco=2.5V or 3.3V user # Bank 13, Vcco=2.5V or 3.3V user # Bank 13, Vcco=2.5V or 3.3V user # Bank 13, Vcco=2.5V or 3.3V user # Bank 13, Vcco=2.5V or 3.3V user # Bank 13, Vcco=2.5V or 3.3V user # Bank 13, Vcco=2.5V or 3.3V user # Bank 13, Vcco=2.5V or 3.3V user # Bank 13, Vcco=2.5V or 3.3V user # Bank 13, Vcco=2.5V or 3.3V user # Bank 13, Vcco=2.5V or 3.3V user # Bank 13, Vcco=2.5V or 3.3V user # Bank 13, Vcco=2.5V or 3.3V user # Bank 13, Vcco=2.5V or 3.3V user # Bank 13, Vcco=2.5V or 3.3V user or 3.3V user or 3.3V user or 3.3V user or 3.3V user or 3.3V user or 3.3V user or 3.3V user or 3.3V user or 3.3V user

LOC="K34"; # Bank 11, Vcco=2.5V External Input: VAUXN[15]) J4-2 LOC="L34"; # Bank 11, Vcco=2.5V External Input: VAUXP[15]) J4-4 LOC="K32"; # Bank 11, Vcco=2.5V External Input: VAUXN[14]) J4-6 LOC="K33"; # Bank 11, Vcco=2.5V External Input: VAUXP[14]) J4-8 LOC="N32"; # Bank 11, Vcco=2.5V External Input: VAUXN[13]) J4-10 LOC="P32"; # Bank 11, Vcco=2.5V External Input: VAUXP[13]) J4-12 LOC="R34"; # Bank 11, Vcco=2.5V External Input: VAUXN[12]) J4-14 LOC="T33"; # Bank 11, Vcco=2.5V External Input: VAUXP[12]) J4-16 LOC="R32"; # Bank 11, Vcco=2.5V External Input: VAUXN[11]) J4-18

NET HDR2_20_DIFF_2_P selectable by J20 (SYSMON NET HDR2_22_SM_10_N selectable by J20 (SYSMON NET HDR2_24_SM_10_P selectable by J20 (SYSMON NET HDR2_26_SM_11_N selectable by J20 (SYSMON NET HDR2_28_SM_11_P selectable by J20 (SYSMON NET HDR2_30_DIFF_3_N selectable by J20 (SYSMON NET HDR2_32_DIFF_3_P selectable by J20 (SYSMON NET HDR2_34_SM_15_N selectable by J20 (SYSMON NET HDR2_36_SM_15_P selectable by J20 (SYSMON NET HDR2_38_SM_6_N selectable by J20 (SYSMON NET HDR2_40_SM_6_P selectable by J20 (SYSMON NET HDR2_42_SM_14_N selectable by J20 (SYSMON NET HDR2_44_SM_14_P selectable by J20 (SYSMON NET HDR2_46_SM_12_N selectable by J20 (SYSMON NET HDR2_48_SM_12_P selectable by J20 (SYSMON NET HDR2_50_SM_5_N selectable by J20 (SYSMON NET HDR2_52_SM_5_P selectable by J20 (SYSMON NET HDR2_54_SM_13_N selectable by J20 (SYSMON NET HDR2_56_SM_13_P selectable by J20 (SYSMON NET HDR2_58_SM_4_N selectable by J20 (SYSMON NET HDR2_60_SM_4_P selectable by J20 (SYSMON NET HDR2_62_SM_9_N selectable by J20 NET HDR2_64_SM_9_P selectable by J20 NET IIC_SCL_MAIN ohm resistors NET IIC_SCL_SFP ohm resistors NET IIC_SCL_VIDEO ohm resistors NET IIC_SDA_MAIN ohm resistors NET IIC_SDA_SFP ohm resistors

LOC="R33"; # Bank 11, Vcco=2.5V External Input: VAUXP[11]) J4-20 LOC="T34"; # Bank 11, Vcco=2.5V External Input: VAUXN[10]) J4-22 LOC="U33"; # Bank 11, Vcco=2.5V External Input: VAUXP[10]) J4-24 LOC="U31"; # Bank 11, Vcco=2.5V External Input: VAUXN[9]) J4-26 LOC="U32"; # Bank 11, Vcco=2.5V External Input: VAUXP[9]) J4-28 LOC="V33"; # Bank 13, Vcco=2.5V External Input: VAUXN[8]) J4-30 LOC="V32"; # Bank 13, Vcco=2.5V External Input: VAUXP[8]) J4-32 LOC="V34"; # Bank 13, Vcco=2.5V External Input: VAUXN[7]) J4-34 LOC="W34"; # Bank 13, Vcco=2.5V External Input: VAUXP[7]) J4-36 LOC="AA33"; # Bank 13, Vcco=2.5V External Input: VAUXN[6]) J4-38 LOC="Y33"; # Bank 13, Vcco=2.5V External Input: VAUXP[6]) J4-40 LOC="AE34"; # Bank 13, Vcco=2.5V External Input: VAUXN[0]) J4-42 LOC="AF34"; # Bank 13, Vcco=2.5V External Input: VAUXP[0]) J4-44 LOC="AE33"; # Bank 13, Vcco=2.5V External Input: VAUXN[1]) J4-46 LOC="AF33"; # Bank 13, Vcco=2.5V External Input: VAUXP[1]) J4-48 LOC="AD34"; # Bank 13, Vcco=2.5V External Input: VAUXN[4]) J4-50 LOC="AC34"; # Bank 13, Vcco=2.5V External Input: VAUXP[4]) J4-52 LOC="AB32"; # Bank 13, Vcco=2.5V External Input: VAUXN[3]) J4-54 LOC="AC32"; # Bank 13, Vcco=2.5V External Input: VAUXP[3]) J4-56 LOC="AB33"; # Bank 13, Vcco=2.5V External Input: VAUXN[2]) J4-58 LOC="AC33"; # Bank 13, Vcco=2.5V External Input: VAUXP[2]) J4-60 LOC="AP32"; # Bank 13, Vcco=2.5V LOC="AN32"; LOC="F9"; LOC="R26"; LOC="U27"; LOC="F8"; LOC="U28";

or 3.3V user or 3.3V user or 3.3V user or 3.3V user or 3.3V user or 3.3V user or 3.3V user or 3.3V user or 3.3V user or 3.3V user or 3.3V user or 3.3V user or 3.3V user or 3.3V user or 3.3V user or 3.3V user or 3.3V user or 3.3V user or 3.3V user or 3.3V user or 3.3V user or 3.3V user

# Bank 13, Vcco=2.5V or 3.3V user # Bank 20, Vcco=3.3V, DCI using 49.9 # Bank 15, Vcco=1.8V, DCI using 49.9 # Bank 15, Vcco=1.8V, DCI using 49.9 # Bank 20, Vcco=3.3V, DCI using 49.9 # Bank 15, Vcco=1.8V, DCI using 49.9

NET IIC_SDA_VIDEO ohm resistors NET KEYBOARD_CLK ohm resistors NET KEYBOARD_DATA ohm resistors NET LCD_FPGA_DB4 ohm resistors NET LCD_FPGA_DB5 ohm resistors NET LCD_FPGA_DB6 ohm resistors NET LCD_FPGA_DB7 ohm resistors NET LCD_FPGA_E ohm resistors NET LCD_FPGA_RS NET LCD_FPGA_RW ohm resistors NET LOOPBK_114_N NET LOOPBK_114_N NET LOOPBK_114_P NET LOOPBK_114_P NET LOOPBK_116_N NET LOOPBK_116_N NET LOOPBK_116_P NET LOOPBK_116_P NET MOUSE_CLK ohm resistors NET MOUSE_DATA ohm resistors NET PC4_HALT_B NET PCIE_CLK_QO_N GTP_DUAL_X0Y1 NET PCIE_CLK_QO_P GTP_DUAL_X0Y1 NET PCIE_PRSNT_B_FPGA ohm resistors NET PCIE_RX_N NET PCIE_RX_P NET PCIE_TX_N NET PCIE_TX_P NET PHY_COL selectable by J20 NET PHY_CRS selectable by J20 NET PHY_INT NET PHY_MDC NET PHY_MDIO NET PHY_RESET NET PHY_RXCLK NET PHY_RXCTL_RXDV selectable by J20 NET PHY_RXD0 selectable by J20 NET PHY_RXD1 selectable by J20

LOC="T29"; LOC="T26"; LOC="T25"; LOC="T9"; LOC="G7"; LOC="G6"; LOC="T11"; LOC="AC9"; LOC="J17"; LOC="AC10"; LOC="AG1"; LOC="AH2"; LOC="AH1"; LOC="AJ2"; LOC="R1"; LOC="T2"; LOC="T1"; LOC="U2"; LOC="R27"; LOC="U26"; LOC="W9"; LOC="AF3"; LOC="AF4"; LOC="AF24"; LOC="AF1"; LOC="AE1"; LOC="AE2"; LOC="AD2"; LOC="B32"; LOC="E34"; LOC="H20"; LOC="H19"; LOC="H13"; LOC="J14"; LOC="H17"; LOC="E32"; LOC="A33"; LOC="B33";

# Bank 15, Vcco=1.8V, DCI using 49.9 # Bank 15, Vcco=1.8V, DCI using 49.9 # Bank 15, Vcco=1.8V, DCI using 49.9 # Bank 12, Vcco=3.3V, DCI using 49.9 # Bank 12, Vcco=3.3V, DCI using 49.9 # Bank 12, Vcco=3.3V, DCI using 49.9 # Bank 12, Vcco=3.3V, DCI using 49.9 # Bank 22, Vcco=3.3V, DCI using 49.9 # Bank 3, Vcco=2.5V, No DCI # Bank 22, Vcco=3.3V, DCI using 49.9 # # # # # # # # # Bank Bank Bank Bank Bank Bank Bank Bank Bank 118, MGTRXN1_118, GTP_DUAL_X0Y1 118, MGTTXN1_118, GTP_DUAL_X0Y1 118, MGTRXP1_118, GTP_DUAL_X0Y1 118, MGTTXP1_118, GTP_DUAL_X0Y1 112, MGTRXN1_112, GTP_DUAL_X0Y3 112, MGTTXN1_112, GTP_DUAL_X0Y3 112, MGTRXP1_112, GTP_DUAL_X0Y3 112, MGTTXP1_112, GTP_DUAL_X0Y3 15, Vcco=1.8V, DCI using 49.9

# Bank 15, Vcco=1.8V, DCI using 49.9 # Bank 18, Vcco=3.3V, No DCI # Bank 118, MGTREFCLKN_118, # Bank 118, MGTREFCLKP_118, # Bank 21, Vcco=1.8V, DCI using 49.9 # # # # # Bank Bank Bank Bank Bank 118, MGTRXN0_118, GTP_DUAL_X0Y1 118, MGTRXP0_118, GTP_DUAL_X0Y1 118, MGTTXN0_118, GTP_DUAL_X0Y1 118, MGTTXP0_118, GTP_DUAL_X0Y1 11, Vcco=2.5V or 3.3V user

# Bank 11, Vcco=2.5V or 3.3V user # # # # # # Bank Bank Bank Bank Bank Bank 3, Vcco=2.5V, 3, Vcco=2.5V, 3, Vcco=2.5V, 3, Vcco=2.5V, 3, Vcco=2.5V, 11, Vcco=2.5V No No No No No or DCI DCI DCI DCI DCI 3.3V user

# Bank 11, Vcco=2.5V or 3.3V user # Bank 11, Vcco=2.5V or 3.3V user

NET PHY_RXD2 selectable by J20 NET PHY_RXD3 selectable by J20 NET PHY_RXD4 selectable by J20 NET PHY_RXD5 selectable by J20 NET PHY_RXD6 selectable by J20 NET PHY_RXD7 selectable by J20 NET PHY_RXER selectable by J20 NET PHY_TXC_GTXCLK NET PHY_TXCLK NET PHY_TXCTL_TXEN ohm resistors NET PHY_TXD0 ohm resistors NET PHY_TXD1 ohm resistors NET PHY_TXD2 ohm resistors NET PHY_TXD3 ohm resistors NET PHY_TXD4 ohm resistors NET PHY_TXD5 ohm resistors NET PHY_TXD6 ohm resistors NET PHY_TXD7 ohm resistors NET PHY_TXER ohm resistors NET PIEZO_SPEAKER ohm resistors NET RESERVED1 NET RESERVED2 NET RREF NET SATA1_RX_N NET SATA1_RX_P NET SATA1_TX_N NET SATA1_TX_P NET SATA2_RX_N NET SATA2_RX_P NET SATA2_TX_N NET SATA2_TX_P NET SATACLK_QO_N GTP_DUAL_X0Y2 NET SATACLK_QO_P GTP_DUAL_X0Y2 NET SFP_RX_N NET SFP_RX_P NET SFP_TX_DISABLE_FPGA ohm resistors

LOC="C33"; LOC="C32"; LOC="D32"; LOC="C34"; LOC="D34"; LOC="F33"; LOC="E33"; LOC="J16"; LOC="K17"; LOC="AJ10"; LOC="AF11"; LOC="AE11"; LOC="AH9"; LOC="AH10"; LOC="AG8"; LOC="AH8"; LOC="AG10"; LOC="AG11"; LOC="AJ9"; LOC="G30"; LOC="AB23"; LOC="AC23"; LOC="V4"; LOC="Y1"; LOC="W1"; LOC="W2"; LOC="V2"; LOC="AA1"; LOC="AB1"; LOC="AB2"; LOC="AC2"; LOC="Y3"; LOC="Y4"; LOC="H1"; LOC="G1"; LOC="K24";

# Bank 11, Vcco=2.5V or 3.3V user # Bank 11, Vcco=2.5V or 3.3V user # Bank 11, Vcco=2.5V or 3.3V user # Bank 11, Vcco=2.5V or 3.3V user # Bank 11, Vcco=2.5V or 3.3V user # Bank 11, Vcco=2.5V or 3.3V user # Bank 11, Vcco=2.5V or 3.3V user # Bank 3, Vcco=2.5V, No DCI # Bank 3, Vcco=2.5V, No DCI # Bank 22, Vcco=3.3V, DCI using 49.9 # Bank 22, Vcco=3.3V, DCI using 49.9 # Bank 22, Vcco=3.3V, DCI using 49.9 # Bank 22, Vcco=3.3V, DCI using 49.9 # Bank 22, Vcco=3.3V, DCI using 49.9 # Bank 22, Vcco=3.3V, DCI using 49.9 # Bank 22, Vcco=3.3V, DCI using 49.9 # Bank 22, Vcco=3.3V, DCI using 49.9 # Bank 22, Vcco=3.3V, DCI using 49.9 # Bank 22, Vcco=3.3V, DCI using 49.9 # Bank 15, Vcco=1.8V, DCI using 49.9 # # # # # # # # # # # # Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank 0, Vcco=3.3V 0, Vcco=3.3V 112, MGTRREF_112, GTP_DUAL_X0Y3 114, MGTRXN0_114, GTP_DUAL_X0Y2 114, MGTRXP0_114, GTP_DUAL_X0Y2 114, MGTTXN0_114, GTP_DUAL_X0Y2 114, MGTTXP0_114, GTP_DUAL_X0Y2 114, MGTRXN1_114, GTP_DUAL_X0Y2 114, MGTRXP1_114, GTP_DUAL_X0Y2 114, MGTTXN1_114, GTP_DUAL_X0Y2 114, MGTTXP1_114, GTP_DUAL_X0Y2 114, MGTREFCLKN_114,

# Bank 114, MGTREFCLKP_114, # Bank 116, MGTRXN0_116, GTP_DUAL_X0Y4 # Bank 116, MGTRXP0_116, GTP_DUAL_X0Y4 # Bank 19, Vcco=1.8V, DCI using 49.9

NET SFP_TX_N NET SFP_TX_P NET SGMII_RX_N NET SGMII_RX_P NET SGMII_TX_N NET SGMII_TX_P NET SGMIICLK_QO_N GTP_DUAL_X0Y3 NET SGMIICLK_QO_P GTP_DUAL_X0Y3 NET SMA_DIFF_CLK_IN_N NET SMA_DIFF_CLK_IN_P NET SMA_RX_N NET SMA_RX_P NET SMA_TX_N NET SMA_TX_P NET SPI_CE_B NET SRAM_ADV_LD_B ohm resistors NET SRAM_BW0 ohm resistors NET SRAM_BW1 ohm resistors NET SRAM_BW2 ohm resistors NET SRAM_BW3 ohm resistors NET SRAM_CLK NET SRAM_CLK ohm resistors NET SRAM_CS_B ohm resistors NET SRAM_D16 ohm resistors NET SRAM_D17 ohm resistors NET SRAM_D18 ohm resistors NET SRAM_D19 ohm resistors NET SRAM_D20 ohm resistors NET SRAM_D21 ohm resistors NET SRAM_D22 ohm resistors NET SRAM_D23 ohm resistors NET SRAM_D24 ohm resistors NET SRAM_D25 ohm resistors NET SRAM_D26 ohm resistors NET SRAM_D27 ohm resistors

LOC="G2"; LOC="F2"; LOC="P1"; LOC="N1"; LOC="N2"; LOC="M2"; LOC="P3"; LOC="P4"; LOC="H15"; LOC="H14"; LOC="J1"; LOC="K1"; LOC="K2"; LOC="L2"; LOC="V9"; LOC="H8"; LOC="D10"; LOC="D11"; LOC="J11"; LOC="K11"; LOC="AG21"; LOC="G8"; LOC="J10"; LOC="N10"; LOC="E13"; LOC="E12"; LOC="L9"; LOC="M10"; LOC="E11"; LOC="F11"; LOC="L8"; LOC="M8"; LOC="G12"; LOC="G11"; LOC="C13";

# # # # # # #

Bank Bank Bank Bank Bank Bank Bank

116, 116, 112, 112, 112, 112, 112,

MGTTXN0_116, GTP_DUAL_X0Y4 MGTTXP0_116, GTP_DUAL_X0Y4 MGTRXN0_112, GTP_DUAL_X0Y3 MGTRXP0_112, GTP_DUAL_X0Y3 MGTTXN0_112, GTP_DUAL_X0Y3 MGTTXP0_112, GTP_DUAL_X0Y3 MGTREFCLKN_112,

# Bank 112, MGTREFCLKP_112, # # # # # # # # Bank Bank Bank Bank Bank Bank Bank Bank 3, Vcco=2.5V, No DCI 3, Vcco=2.5V, No DCI 116, MGTRXN1_116, GTP_DUAL_X0Y4 116, MGTRXP1_116, GTP_DUAL_X0Y4 116, MGTTXN1_116, GTP_DUAL_X0Y4 116, MGTTXP1_116, GTP_DUAL_X0Y4 18, Vcco=3.3V, No DCI 20, Vcco=3.3V, DCI using 49.9

# Bank 20, Vcco=3.3V, DCI using 49.9 # Bank 20, Vcco=3.3V, DCI using 49.9 # Bank 20, Vcco=3.3V, DCI using 49.9 # Bank 20, Vcco=3.3V, DCI using 49.9 # Bank 4, Vcco=3.3V, No DCI # Bank 20, Vcco=3.3V, DCI using 49.9 # Bank 20, Vcco=3.3V, DCI using 49.9 # Bank 20, Vcco=3.3V, DCI using 49.9 # Bank 20, Vcco=3.3V, DCI using 49.9 # Bank 20, Vcco=3.3V, DCI using 49.9 # Bank 20, Vcco=3.3V, DCI using 49.9 # Bank 20, Vcco=3.3V, DCI using 49.9 # Bank 20, Vcco=3.3V, DCI using 49.9 # Bank 20, Vcco=3.3V, DCI using 49.9 # Bank 20, Vcco=3.3V, DCI using 49.9 # Bank 20, Vcco=3.3V, DCI using 49.9 # Bank 20, Vcco=3.3V, DCI using 49.9 # Bank 20, Vcco=3.3V, DCI using 49.9 # Bank 20, Vcco=3.3V, DCI using 49.9

NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET NET NET NET NET NET NET NET NET NET NET NET NET NET NET NET NET NET NET NET NET NET NET NET NET NET NET NET NET NET NET NET NET NET NET NET NET NET NET NET ohm

SRAM_D28 resistors SRAM_D29 resistors SRAM_D30 resistors SRAM_D31 resistors SRAM_DQP0 resistors SRAM_DQP1 resistors SRAM_DQP2 resistors SRAM_DQP3 resistors SRAM_FLASH_A0 SRAM_FLASH_A1 SRAM_FLASH_A2 SRAM_FLASH_A3 SRAM_FLASH_A4 SRAM_FLASH_A5 SRAM_FLASH_A6 SRAM_FLASH_A7 SRAM_FLASH_A8 SRAM_FLASH_A9 SRAM_FLASH_A10 SRAM_FLASH_A11 SRAM_FLASH_A12 SRAM_FLASH_A13 SRAM_FLASH_A14 SRAM_FLASH_A15 SRAM_FLASH_A16 SRAM_FLASH_A17 SRAM_FLASH_A18 SRAM_FLASH_A19 SRAM_FLASH_A20 SRAM_FLASH_A21 SRAM_FLASH_D0 SRAM_FLASH_D1 SRAM_FLASH_D2 SRAM_FLASH_D3 SRAM_FLASH_D4 SRAM_FLASH_D5 SRAM_FLASH_D6 SRAM_FLASH_D7 SRAM_FLASH_D8 SRAM_FLASH_D9 SRAM_FLASH_D10 SRAM_FLASH_D11 SRAM_FLASH_D12 SRAM_FLASH_D13 SRAM_FLASH_D14 SRAM_FLASH_D15 SRAM_FLASH_WE_B SRAM_MODE resistors

LOC="B13"; LOC="K9"; LOC="K8"; LOC="J9"; LOC="D12"; LOC="C12"; LOC="H10"; LOC="H9"; LOC="K12"; LOC="K13"; LOC="H23"; LOC="G23"; LOC="H12"; LOC="J12"; LOC="K22"; LOC="K23"; LOC="K14"; LOC="L14"; LOC="H22"; LOC="G22"; LOC="J15"; LOC="K16"; LOC="K21"; LOC="J22"; LOC="L16"; LOC="L15"; LOC="L20"; LOC="L21"; LOC="AE23"; LOC="AE22"; LOC="AD19"; LOC="AE19"; LOC="AE17"; LOC="AF16"; LOC="AD20"; LOC="AE21"; LOC="AE16"; LOC="AF15"; LOC="AH13"; LOC="AH14"; LOC="AH19"; LOC="AH20"; LOC="AG13"; LOC="AH12"; LOC="AH22"; LOC="AG22"; LOC="AF20"; LOC="A13";

# Bank 20, Vcco=3.3V, DCI using 49.9 # Bank 20, Vcco=3.3V, DCI using 49.9 # Bank 20, Vcco=3.3V, DCI using 49.9 # Bank 20, Vcco=3.3V, DCI using 49.9 # Bank 20, Vcco=3.3V, DCI using 49.9 # Bank 20, Vcco=3.3V, DCI using 49.9 # Bank 20, Vcco=3.3V, DCI using 49.9 # Bank 20, Vcco=3.3V, DCI using 49.9 # # # # # # # # # # # # # # # # # # # # # # # # # # # # # # # # # # # # # # # # Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank 1, Vcco=3.3V 1, Vcco=3.3V 1, Vcco=3.3V 1, Vcco=3.3V 1, Vcco=3.3V 1, Vcco=3.3V 1, Vcco=3.3V 1, Vcco=3.3V 1, Vcco=3.3V 1, Vcco=3.3V 1, Vcco=3.3V 1, Vcco=3.3V 1, Vcco=3.3V 1, Vcco=3.3V 1, Vcco=3.3V 1, Vcco=3.3V 1, Vcco=3.3V 1, Vcco=3.3V 1, Vcco=3.3V 1, Vcco=3.3V 2, Vcco=3.3V 2, Vcco=3.3V 2, Vcco=3.3V 2, Vcco=3.3V 2, Vcco=3.3V 2, Vcco=3.3V 2, Vcco=3.3V 2, Vcco=3.3V 2, Vcco=3.3V 2, Vcco=3.3V 4, Vcco=3.3V, No DCI 4, Vcco=3.3V, No DCI 4, Vcco=3.3V, No DCI 4, Vcco=3.3V, No DCI 4, Vcco=3.3V, No DCI 4, Vcco=3.3V, No DCI 4, Vcco=3.3V, No DCI 4, Vcco=3.3V, No DCI 2, Vcco=3.3V 20, Vcco=3.3V, DCI using 49.9

NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm

SRAM_OE_B resistors SYSACE_MPA00 resistors SYSACE_MPA01_USB_A0 resistors SYSACE_MPA02_USB_A1 resistors SYSACE_MPA03 resistors SYSACE_MPA04 resistors SYSACE_MPA05 resistors SYSACE_MPA06 resistors SYSACE_MPBRDY resistors SYSACE_MPCE resistors SYSACE_MPIRQ resistors SYSACE_MPOE_USB_RD_B resistors SYSACE_MPWE_USB_WR_B resistors SYSACE_USB_D0 resistors SYSACE_USB_D1 resistors SYSACE_USB_D2 resistors SYSACE_USB_D3 resistors SYSACE_USB_D4 resistors SYSACE_USB_D5 resistors SYSACE_USB_D6 resistors SYSACE_USB_D7 resistors SYSACE_USB_D8 resistors SYSACE_USB_D9 resistors SYSACE_USB_D10 resistors SYSACE_USB_D11 resistors SYSACE_USB_D12 resistors SYSACE_USB_D13 resistors SYSACE_USB_D14 resistors

LOC="B12"; LOC="G5"; LOC="N7"; LOC="N5"; LOC="P5"; LOC="R6"; LOC="M6"; LOC="L6"; LOC="H5"; LOC="M5"; LOC="M7"; LOC="N8"; LOC="R9"; LOC="P9"; LOC="T8"; LOC="J7"; LOC="H7"; LOC="R7"; LOC="U7"; LOC="P7"; LOC="P6"; LOC="R8"; LOC="L5"; LOC="L4"; LOC="K6"; LOC="J5"; LOC="T6"; LOC="K7";

# Bank 20, Vcco=3.3V, DCI using 49.9 # Bank 12, Vcco=3.3V, DCI using 49.9 # Bank 12, Vcco=3.3V, DCI using 49.9 # Bank 12, Vcco=3.3V, DCI using 49.9 # Bank 12, Vcco=3.3V, DCI using 49.9 # Bank 12, Vcco=3.3V, DCI using 49.9 # Bank 12, Vcco=3.3V, DCI using 49.9 # Bank 12, Vcco=3.3V, DCI using 49.9 # Bank 12, Vcco=3.3V, DCI using 49.9 # Bank 12, Vcco=3.3V, DCI using 49.9 # Bank 12, Vcco=3.3V, DCI using 49.9 # Bank 12, Vcco=3.3V, DCI using 49.9 # Bank 12, Vcco=3.3V, DCI using 49.9 # Bank 12, Vcco=3.3V, DCI using 49.9 # Bank 12, Vcco=3.3V, DCI using 49.9 # Bank 12, Vcco=3.3V, DCI using 49.9 # Bank 12, Vcco=3.3V, DCI using 49.9 # Bank 12, Vcco=3.3V, DCI using 49.9 # Bank 12, Vcco=3.3V, DCI using 49.9 # Bank 12, Vcco=3.3V, DCI using 49.9 # Bank 12, Vcco=3.3V, DCI using 49.9 # Bank 12, Vcco=3.3V, DCI using 49.9 # Bank 12, Vcco=3.3V, DCI using 49.9 # Bank 12, Vcco=3.3V, DCI using 49.9 # Bank 12, Vcco=3.3V, DCI using 49.9 # Bank 12, Vcco=3.3V, DCI using 49.9 # Bank 12, Vcco=3.3V, DCI using 49.9 # Bank 12, Vcco=3.3V, DCI using 49.9

NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET ohm NET NET NET NET NET NET NET NET NET NET NET NET NET NET NET NET NET NET NET NET NET NET NET NET NET NET NET NET NET NET NET

SYSACE_USB_D15 resistors TRC_CLK resistors TRC_TS1E resistors TRC_TS1O resistors TRC_TS2E resistors TRC_TS2O resistors TRC_TS3 resistors TRC_TS4 resistors TRC_TS5 resistors TRC_TS6 resistors USB_CS_B resistors USB_INT resistors USB_RESET_B resistors USER_CLK VGA_IN_BLUE0 VGA_IN_BLUE1 VGA_IN_BLUE2 VGA_IN_BLUE3 VGA_IN_BLUE4 VGA_IN_BLUE5 VGA_IN_BLUE6 VGA_IN_BLUE7 VGA_IN_CLAMP VGA_IN_COAST VGA_IN_DATA_CLK VGA_IN_GREEN0 VGA_IN_GREEN1 VGA_IN_GREEN2 VGA_IN_GREEN3 VGA_IN_GREEN4 VGA_IN_GREEN5 VGA_IN_GREEN6 VGA_IN_GREEN7 VGA_IN_HSOUT VGA_IN_ODD_EVEN_B VGA_IN_RED0 VGA_IN_RED1 VGA_IN_RED2 VGA_IN_RED3 VGA_IN_RED4 VGA_IN_RED5 VGA_IN_RED6 VGA_IN_RED7 VGA_IN_SOGOUT

LOC="J6"; LOC="AD9"; LOC="AK9"; LOC="AF10"; LOC="AK8"; LOC="AF9"; LOC="AJ11"; LOC="AK11"; LOC="AD11"; LOC="AD10"; LOC="P10"; LOC="F5"; LOC="R11"; LOC="AH15"; LOC="AC4"; LOC="AC5"; LOC="AB6"; LOC="AB7"; LOC="AA5"; LOC="AB5"; LOC="AC7"; LOC="AD7"; LOC="AH7"; LOC="AG7"; LOC="AH18"; LOC="Y8"; LOC="Y9"; LOC="AD4"; LOC="AD5"; LOC="AA6"; LOC="Y7"; LOC="AD6"; LOC="AE6"; LOC="AE7"; LOC="W6"; LOC="AG5"; LOC="AF5"; LOC="W7"; LOC="V7"; LOC="AH5"; LOC="AG6"; LOC="Y11"; LOC="W11"; LOC="AF6";

# Bank 12, Vcco=3.3V, DCI using 49.9 # Bank 22, Vcco=3.3V, DCI using 49.9 # Bank 22, Vcco=3.3V, DCI using 49.9 # Bank 22, Vcco=3.3V, DCI using 49.9 # Bank 22, Vcco=3.3V, DCI using 49.9 # Bank 22, Vcco=3.3V, DCI using 49.9 # Bank 22, Vcco=3.3V, DCI using 49.9 # Bank 22, Vcco=3.3V, DCI using 49.9 # Bank 22, Vcco=3.3V, DCI using 49.9 # Bank 22, Vcco=3.3V, DCI using 49.9 # Bank 12, Vcco=3.3V, DCI using 49.9 # Bank 12, Vcco=3.3V, DCI using 49.9 # Bank 12, Vcco=3.3V, DCI using 49.9 # # # # # # # # # # # # # # # # # # # # # # # # # # # # # # # Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank Bank 4, Vcco=3.3V, No DCI 18, Vcco=3.3V, No DCI 18, Vcco=3.3V, No DCI 18, Vcco=3.3V, No DCI 18, Vcco=3.3V, No DCI 18, Vcco=3.3V, No DCI 18, Vcco=3.3V, No DCI 18, Vcco=3.3V, No DCI 18, Vcco=3.3V, No DCI 18, Vcco=3.3V, No DCI 18, Vcco=3.3V, No DCI 4, Vcco=3.3V, No DCI 18, Vcco=3.3V, No DCI 18, Vcco=3.3V, No DCI 18, Vcco=3.3V, No DCI 18, Vcco=3.3V, No DCI 18, Vcco=3.3V, No DCI 18, Vcco=3.3V, No DCI 18, Vcco=3.3V, No DCI 18, Vcco=3.3V, No DCI 18, Vcco=3.3V, No DCI 18, Vcco=3.3V, No DCI 18, Vcco=3.3V, No DCI 18, Vcco=3.3V, No DCI 18, Vcco=3.3V, No DCI 18, Vcco=3.3V, No DCI 18, Vcco=3.3V, No DCI 18, Vcco=3.3V, No DCI 18, Vcco=3.3V, No DCI 18, Vcco=3.3V, No DCI 18, Vcco=3.3V, No DCI

NET

VGA_IN_VSOUT

LOC="Y6";

# Bank 18, Vcco=3.3V, No DCI

Вам также может понравиться