Вы находитесь на странице: 1из 1

ONLINE PLATFORM FOR PROGRAMMING AND RESEARCH (OP2R) OR GATE VHDL CODE USING BEHAVIOURAL MODELING

library IEEE; use IEEE.STD_LOGIC_1164.ALL; ----------------------------------------------entity or_1 is Port ( d, e : in STD_LOGIC; f : out STD_LOGIC); end or_1; ----------------------------------------------architecture Behavioral_or of or_1 is begin ----------------process(d, e) begin if(d='1') then f<= l; else f<= '1'; end if; end process; ----------------end Behavioral_nor; TRUTH TABLE: -

*\\ d and e are the input port to the and gate. *\\ f is output port to the and gate.

*\\ architecture of or_1 entity begins. *\\ process (sensitivity list). After this statement all statement will be *\\ if (condition is true )then output (f) equal to l.

*\\ otherwise output (f) equal to 0.

*\\ end the architecture.

OUTPUT WAVEFORM: -

INFOOP2R.WIX.COM/OP2R

Вам также может понравиться