Вы находитесь на странице: 1из 1

INFOOP2R.WIX.

COM/OP2R

HALF ADDER VHDL CODE USING DATA FLOW MODELING

Library declaration library IEEE; use IEEE.STD_LOGIC_1164.ALL; --------------------------------------------

Std_logic_1164. package for std_logic (predefined data types).

entity half_adder is Port ( a, b: in STD_LOGIC; sum ,carry: out STD_LOGIC); end half_adder; --------------------------------------------architecture Behavioral of HA_STR is begin ---------------------------------------------sum<= a xor b; carry<= a and b; ---------------------------------------------and Behavioral;

Entity declaration. a, b: - input port bits (bits to be added) Sum, carry: - output port bits

Concurrent statement of half adder circuit. These are the circuit expressions which are formed by k-map or Boolean function.

RTL VIEW:-

OUT PUT WAVEFORMS:-

INFOOP2R.WIX.COM/OP2R

Вам также может понравиться