Академический Документы
Профессиональный Документы
Культура Документы
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
entity fifo is
port(
CLR : in std_logic;
CLRptr : in std_logic;
RDinc : in std_logic;
WRinc : in std_logic;
CLK : in std_logic;
RD : in std_logic;
WR : in std_logic;
DATA : in std_logic_vector (3 downto 0);
Q : out std_logic_vector (3 downto 0)
);
end entity;
begin
end process;
end architecture;