Вы находитесь на странице: 1из 2

Programacin en VHDL/Ejemplos/Contador

El objetivo es crear un contador con reset asncrono, seal


de habilitacin y salida con un nmero de bits congurable, con la siguiente denicin de interfaz:
Entradas:
reset: Reset del sistema.
clk: Reloj del sistema.
enable: Activacin-Desactivacin del contador.
Salidas:
count: Salida del contador.
LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all;
USE IEEE.NUMERIC_STD.all; ENTITY count IS
GENERIC (width:POSITIVE:=8); PORT (clk : IN
std_logic; reset : IN std_logic; enable: IN std_logic;
count : OUT std_logic_vector(width-1 DOWNTO 0)
); END count; ARCHITECTURE arch1 OF count IS
SIGNAL cnt : UNSIGNED(width-1 DOWNTO 0);
BEGIN pSeq : PROCESS (clk, reset) IS BEGIN IF
reset = '1' THEN cnt <= (others => '0'); ELSIF clk'event
AND clk='1' THEN IF enable='1' THEN cnt <= cnt
+ 1; END IF; END IF; END PROCESS; count <=
std_logic_vector(cnt); END arch1;

1 TEXT AND IMAGE SOURCES, CONTRIBUTORS, AND LICENSES

Text and image sources, contributors, and licenses

1.1

Text

Programacin en VHDL/Ejemplos/Contador Fuente: http://es.wikibooks.org/wiki/Programaci%C3%B3n%20en%20VHDL/


Ejemplos/Contador?oldid=178619 Colaboradores: ManuelGR, MarcoAurelio, Julian.caba y Annimos: 4

1.2

Images

1.3

Content license

Creative Commons Attribution-Share Alike 3.0

Вам также может понравиться