Вы находитесь на странице: 1из 22

List of Figures

3.1

HVDC system based on modular multilevel converter . . . . . . . . . . . . .

3.2

Power circuit of three phase MMC

. . . . . . . . . . . . . . . . . . . . . . .

3.3

Schematic of a three-phase Modular Multi-level Converter . . . . . . . . . .

3.4

Circuit topology 3L-FC submodule . . . . . . . . . . . . . . . . . . . . . . .

3.5

Chopper cell of a Sub-Module . . . . . . . . . . . . . . . . . . . . . . . . . .

10

3.6

States of SM and current paths . . . . . . . . . . . . . . . . . . . . . . . . .

10

3.7

Voltage waveform of a Multi-Level Converter

. . . . . . . . . . . . . . . . .

11

4.1

BLOCK DIAGRAM OF MMC B CONTROL SYSTEM . . . . . . . . . . .

13

4.2

BLOCK DIAGRAM OF MMC - A CONTROL . . . . . . . . . . . . . . . .

15

4.3

BLOCK DIAGRAM OF ARM BALANCING CONTROL . . . . . . . . . .

16

5.1

Simulation results: (a) active and reactive powers of MMC-A, (b) active and
reactive powers of MMC-B, (c) positive and negative average arm capacitors
voltage of in MMC-A, (d) positive and negative average arm capacitors voltage
of in MMC-B, (e) total DC-line voltage . . . . . . . . . . . . . . . . . . . . .

17

Contents

1 INTRODUCTION

2 High voltage direct current (HVDC)

2.1

MODULAR MULTILEVEL CONVERTER ( MMC) . . . . . . . . . . . . .

3 SYSTEM DESCRIPTION AND MODELING

5
7

3.1

Description of the system . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

3.2

DESCRIPTION AND PRINCIPLE OF OPERATION OF MMC . . . . . .

3.3

Decoupled current control model . . . . . . . . . . . . . . . . . . . . . . . . .

11

4 CONTROL AND MODULATION OF MMC-HVDC SYSTEM

13

4.1

AC and DC current control for MMC-B . . . . . . . . . . . . . . . . . . . .

13

4.2

AC and DC current control for MMC-A . . . . . . . . . . . . . . . . . . . .

14

4.3

Arms Energy Balance Control . . . . . . . . . . . . . . . . . . . . . . . . . .

15

4.4

Modulation Scheme . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

16

5 SIMULATION RESULTS

17

6 Advantages and Applications

19

6.1

ADVANTAGES OF MMC . . . . . . . . . . . . . . . . . . . . . . . . . . . .

19

6.2

Applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

19

7 Conclusion

21

Control of HVDC Transmission System Based on MMC with Three-Level


Flying Capacitor Submodule

Chapter 1
INTRODUCTION

The continuous growth in the world population and the global economy implies the
steady growth in the electric energy demand. This tendency has an impact on the power
generation plants as well the transmission systems. The conventional ac transmission systems are not easy to modify to accommodate the increasing energy demand. The High
Voltage DC (HVDC) transmission system has high flexibility to implement the required
modifications to meet the energy demand. This technology will allows the interconnection
of two remote/distant power systems by using submarine transmission cables. Moreover,
the HVDC transmission system has an additional benefits such as interconnection of asynchronous grids, bidirectional control of active and reactive power flow, grid segmentation
and reduced Row ( Row = Right of Way) . Specifically, the HVDC system based on the
modular multilevel converter (MMC) has attractive features such as high modularity, high
power quality, transformer-less operation, common dc-bus and the capability to manage the
ac faults. These features got the attention of the industry and academic researchers, resulting
in new control schemes, novel submodule configurations and modulation schemes.
Conventionally, the two-level half-bridge submodules (2LHB) are widely used in MMC.
However, it is also possible to use multilevel submodules. In, a three-level flying capacitor
(3L-FC) submodule is considered as an alternative to the traditional 2L-HB submodule. Each
3L-FC submodule has two floating capacitors with different voltage rating. During normal
operation of MMC, submodule capacitors voltage need to be regulated at its nominal value.
In steady state, the imbalance in capacitors voltage has a severe impact on the converter

College Of Engineering Chengannur

Control of HVDC Transmission System Based on MMC with Three-Level


Flying Capacitor Submodule

performance. Particularly, the total harmonic distortion in the output voltage, device power
losses and the converter efficiency deteriorates. A control strategy is required to regulate the
capacitors voltage along with the active and reactive power flow.
For the operation of MMC based HVDC system, a new control strategy and modulation
technique is presented for the MMC-3L-FC based HVDC system. The proposed control
strategy allows to regulate the active and reactive power flow between the two AC systems
and, the regulation of total dc-line voltage along with the submodule capacitors voltage of
individual MMC station. The performance of the proposed control strategy is verified by
using MATLAB/SIMULINK software and the corresponding results are presented.

College Of Engineering Chengannur

Control of HVDC Transmission System Based on MMC with Three-Level


Flying Capacitor Submodule

Chapter 2
High voltage direct current (HVDC)

A high-voltage direct current (HVDC) electric power transmission system (also called a
power super highway or a electrical super highway) uses direct current for the bulk transmission of electrical power, in contrast with the more common alternating current (AC)
systems. For long-distance transmission, HVDC systems may be less expensive and suffer
lower electrical losses. For underwater power cables, HVDC avoids the heavy currents required to charge and discharge the cable capacitance each cycle. For shorter distances, the
higher cost of DC conversion equipment compared to an AC system may still be warranted,
due to other benefits of direct current links.
HVDC allows power transmission between unsynchronized AC transmission systems.
Since the power flow through an HVDC link can be controlled independently of the phase
angle between source and load, it can stabilize a network against disturbances due to rapid
changes in power. HVDC also allows transfer of power between grid systems running at
different frequencies, such as 50 Hz and 60 Hz. This improves the stability and economy of
each grid, by allowing exchange of power between incompatible networks.

2.1

MODULAR MULTILEVEL CONVERTER ( MMC)

Modular multilevel converter is a type of voltage source converter which converts ac voltage
into dc voltage. The modular multilevel converter (MMC) was first introduced in 2001. This

College Of Engineering Chengannur

Control of HVDC Transmission System Based on MMC with Three-Level


Flying Capacitor Submodule

converter is an emerging cascaded multilevel converter with common dc bus, and considered
suitable for HVDC transmission. MMC is well scalable to high-voltage levels of power
transmission based on cascade connection of multiple sub modules (SMs) per arm , which
also means a high number of output voltage levels (e.g., Trans Bay Cable Project is at 400
kV dc voltage, and about 200 SMs per arm ). The high number of voltage levels provides
high quality output voltage with low common-mode voltage, also known as zero-sequence
voltage in a three-phase ac system. Thus, only small or even no filters are required. Another
advantage of the high-level number is that low switching frequency modulation scheme can
be adopted to reduce semiconductor switching losses

College Of Engineering Chengannur

Control of HVDC Transmission System Based on MMC with Three-Level


Flying Capacitor Submodule

Chapter 3
SYSTEM DESCRIPTION AND
MODELING
3.1

Description of the system

Figure 3.1: HVDC system based on modular multilevel converter


The typical structure of a HVDC transmission system based on MMC is shown in Fig.1.
It is composed by two AC systems, two MMC-HVDC stations and a DC cable which connects
the two stations. These MMC-HVDC stations are consists of 3LFC submodules and the DC
cable is modelled as a T-model (or) T-line. The circuit topology of the MMC is shown in
Fig.2. It has six arms and each arm is composed by a large number of submodules, which
are connected in series. These arms are connected between the DC terminals and each
AC phase. The structure of the submodule is shown in Fig.4, which is a three-level flying
College Of Engineering Chengannur

Control of HVDC Transmission System Based on MMC with Three-Level


Flying Capacitor Submodule

capacitor (3L-FC) topology. Each 3LFC submodule has two flying capacitors (c1 and c2)
with a voltage of vc1 and vc2 respectively. The outer capacitor voltage (vc1) is twice that of
the inner capacitor voltage (vc2). The switching state and the direction of the arm current
decides the charging and discharging of the submodule

3.2

DESCRIPTION AND PRINCIPLE OF OPERATION


OF MMC

Figure 3.2: Power circuit of three phase MMC

Figure 3.3: Schematic of a three-phase Modular Multi-level Converter

The typical structure of a MMC is shown in Fig.3, and the configuration of a Sub-Module
(SM) is given in Fig.5. Each SM is a simple chopper cell composed of two IGBT switches
(T1 and T2), two anti-parallel diodes (D1 and D2) and a capacitor C. Each phase leg of the
College Of Engineering Chengannur

Control of HVDC Transmission System Based on MMC with Three-Level


Flying Capacitor Submodule

converter has two arms, each one constituted by a number N of SMs. In each arm there is
also a small inductor to compensate for the voltage difference between upper and lower arms
produced when a SM is switched in or out. With reference to the SM shown in Fig.6, the
output voltage U0 is given by,
U0 = Uc if T1 is ON and T2 is OFF
U0 = 0 if T1 is OFF and T2 is ON
Where Uc is the instantaneous capacitor voltage. The configuration with T1 and T2 both
ON should not be considered because it determines a short circuit across the capacitor. Also
the configuration with T1 and T2 both OFF is not useful as it produces different output
voltages depending on the current direction. In a MMC the number of steps of the output
voltage is related to the number of series connected SMs. In order to show how the voltage
levels are generated, in the following, reference is made to the simple three level MMC
configurations.

Figure 3.4: Circuit topology 3L-FC submodule


In this case, in order to get the positive output, +UD/2, the two upper SMs 1 and 2
are bypassed. Accordingly, for the negative output, - UD/2, the two lower SMs 3 and 4 are
bypassed. The zero state can be obtained through two possible switch configurations. The
first one is when the two SMs in the middle of a leg (2 and 3) are bypassed, and the second
one is when the end SMs of a leg (1 and 4) is bypassed. It has to be noted that the current
flows through the SMS that are not by passed determining the charging or discharging of
College Of Engineering Chengannur

Control of HVDC Transmission System Based on MMC with Three-Level


Flying Capacitor Submodule

the capacitors depending on the current direction. Therefore, in order to keep the capacitor
voltages balanced, both zero states must be used alternatively.

Figure 3.5: Chopper cell of a Sub-Module

Figure 3.6: States of SM and current paths


In this type of inverter, the only states that have no redundant configurations are the
two states that generate the maximum positive and negative voltages, + UD/2 and UD/2.
For generating the other levels, in general there are several possible switching configurations
that can be selected in order to keep the capacitor voltages balanced. In MMC the switching
sequence is controlled so that at each instant only N SMs (i.e. half of the 2N SMs of a phase
College Of Engineering Chengannur

10

Control of HVDC Transmission System Based on MMC with Three-Level


Flying Capacitor Submodule

leg) are in the on-state. As an example, if at a given instant in the upper arm SMs from 2 to
N are in the on-state, in the lower arm only one SM will be in on-state. It is clear that there
are several possible switching configurations. Equal voltage sharing among the capacitor of
each arm can be achieved by a selection algorithm of inserted or bypassed SMs during each
sampling period of the control system. A typical voltage waveform of a multi-level converter
is shown in Figure.

Figure 3.7: Voltage waveform of a Multi-Level Converter

3.3

Decoupled current control model

Considering the equal modulation index for all the submodules in each arm of the MMC
system, and vcx is the output voltage step, which is equal to the submodule inner capacitor
voltage, the arm voltages are expressed as:
vpx = 2N vcxmpx.....(1)
vnx = 2N vcxmnx.....(2)
Where x A,B, it is refer to MMC-A (or) MMC-B respectively, N correspond to the
number of 3L-FC submodules per arm and the variables mpx and mnx, correspond to the
modulation index of the positive and negative arm of the converter x, respectively. The
three phase vector corresponding to the positive or negative arm voltage of the converter,
vpx and vnx, The effect of the circulating current control loop is neglected by the effect of the
balance strategy. For this reason, the modulation indices are composed by two independent
components, which are related to the AC and DC current components:
mpx = 1/3mdcx + 1/2 macx
mnx = 1/3mdcx - 1/2 macx
College Of Engineering Chengannur

11

Control of HVDC Transmission System Based on MMC with Three-Level


Flying Capacitor Submodule

(2La cx + Lx )d/dt(ia cx) + (2ra cx + rx )ia cx = 2va cx2N Vc xMa cx...........(1)


(Ld cx + 2/3Lx )d/dt(id cx) + (rd cx + 2/3(rx )id cx = Vd cll4/3(N Vc xMd cx)....(2)
In the above equations the losses in AC system (racx), losses in the DC cable (rdcx) and
the internal losses of each converter (rx) are considered.

College Of Engineering Chengannur

12

Control of HVDC Transmission System Based on MMC with Three-Level


Flying Capacitor Submodule

Chapter 4
CONTROL AND MODULATION OF
MMC-HVDC SYSTEM
4.1

AC and DC current control for MMC-B

Figure 4.1: BLOCK DIAGRAM OF MMC B CONTROL SYSTEM


Considering that the MMC-B control the total transmitted power. To achieve this objective, the current references corresponding to the total transmitted power is directly generated.
To control these currents, a PI controller in rotating reference frame synchronized with the
output frequency of the MMC-B is used and is shown in Fig.8. The current idcB is a continuous DC-signal, therefore a PI controller can be used to generate the DC component of the
modulation signal (mdcB). Moreover, the reference DC current component idcB is generated
College Of Engineering Chengannur

13

Control of HVDC Transmission System Based on MMC with Three-Level


Flying Capacitor Submodule

by using a total energy control loop. In order to obtain the relationship between the DC
current and the total energy in the MMC-B, the average capacitors voltage of MMC-B and
the dynamic power equation in terms of amplitude of the AC signal is considered

C1 d 2
v
2 dt c1

1 2
v
rc1 c2

c2 d 2
v
2 dt c2

1 2
v
rc2 c2

2
2
= IdcB Vdcll rdcB IdcB
23 racB IacB
32 IacB VacB Ploss

Where rc1 andrc2 represents the losses of the submodule capacitor c1 and c2 respectively,
and the term Ploss is represent the internal losses of the converter:
2
2
Ploss = 49 rx IacB
+ 23 rx IdcB

The equation (3) can be expressed in terms of energy of the MMC-B and its result in:
d
E + 2 E +dE + 2 E
dt c1B r1 c1 c1B dt c1B r2 c2 c2B

2
2
= IdcB Vdcll rdcB IdcB
32 racB IacB
32 IacB VacB Ploss .....4

2
The DC-line losses (rdcB) and Ploss are neglected and the terms 23 racB IacB
and 32 IacB VacB

are considered as disturbances. Then, the total energy of MMC-B is equal to EB = Ec1B +
Ec2B andconsideringr1 c1 = r2 c2 = req BceqB .
d
E
dt B

2
E
(reqBCeqB) B

= IdcB Vdcll .......5

Where the DC current reference can be obtained by controlling the total energy of the
MMC-B. The total energy of this system is obtained by using the average voltage references
for c1 and c2, and is shown in Fig.5. Finally, the reference and actual energies are compared
to obtain the EB , which will be regulated by the PI-controller

4.2

AC and DC current control for MMC-A

The MMC-A regulates the total DC-line voltage. Therefore, it is necessary to control the
DC current of the MMC-A to achieve the above task. From the system shown in Figure, the
DC-line current is given as:
Cf racddtVdcll +

1
V
rcll dcll

= IdcA .7

Where rcll is represents the losses of the DC-line, indicate that these losses are modeled
as a resistor in parallel to the capacitor. Assuming that the idcB is a disturbance, then the
obtained model shows a first order dynamic response between the DC-line voltage and the
DC current of the MMC-A

College Of Engineering Chengannur

14

Control of HVDC Transmission System Based on MMC with Three-Level


Flying Capacitor Submodule

Figure 4.2: BLOCK DIAGRAM OF MMC - A CONTROL


It is possible to tune the PI controller that can generates the DC current reference for the
MMC- A .
Considering the average capacitor voltages of MMC-A, the dynamic power equation in terms
of amplitude of the AC signal is given as:

Considering that rdcA , racA and Ploss are negligible, and the term IdcAVdcll is assumed as a
disturbance. Then, the total energy of the MMC-A is equal to EA = Ec1A + Ec2A and considering
rc1c1 = rc2c2 = reqAceqA

4.3

Arms Energy Balance Control

To ensure the average DC voltage in positive and negative arms of MMC-A and MMC-B, an arm
energy balance controller shown in Figure is used in the DC component of the modulation index
control loop.
In this control, the actual total energy of the arm (Eyx) is compared with its reference (Ex). The

College Of Engineering Chengannur

15

Control of HVDC Transmission System Based on MMC with Three-Level


Flying Capacitor Submodule

Figure 4.3: BLOCK DIAGRAM OF ARM BALANCING CONTROL


resultant error is controlled by a PI-controller. The resultant output is denominated as mdcyx and
it is added to the DC modulation index (mdcyx). The dynamic energy equation of the individual
arm of MMC-A (or) B is defined as

Where iyx is the arm current of MMC-A (or) B and Eyx = Eyx Ex is the difference between
the actual and reference arm energy

4.4

Modulation Scheme

Each 3L-FC submodule require two triangular carrier signals for generate the switching state S1
and S2 respectively. Therefore, per phase is require a 4N carrier signals. These carrier signals
are phase-shifted by an angle of . The positive and negative arm modulation signals are obtained
from the closed loop controller shown in Fig.8 and Fig.9. These modulating signals are compared
with triangular carrier signals of the corresponding arm. The output of each comparator is added
together and the resultant output is a normalized reference pulse width modulated waveform (Dy)
where y represent the arm

College Of Engineering Chengannur

16

Control of HVDC Transmission System Based on MMC with Three-Level


Flying Capacitor Submodule

Chapter 5
SIMULATION RESULTS
The performance of the proposed control strategy is validated on the MMC-3L-FC based HVDC
system by using Matlab/Simulink software. . For the simplicity, in this work only five 3L-FC
submodules per arm is considered. The main objective is to highlight the dynamic performance of
the proposed control strategy and also with the lower number of submodules per arm, it is difficult
to achieve the voltage balancing (as the quality of the generated output voltage is lower than with
a high number of submodules and also the controllers are subjects to higher noise disturbances)

Figure 5.1: Simulation results: (a) active and reactive powers of MMC-A, (b) active and
reactive powers of MMC-B, (c) positive and negative average arm capacitors voltage of in
MMC-A, (d) positive and negative average arm capacitors voltage of in MMC-B, (e) total
DC-line voltage

College Of Engineering Chengannur

17

Control of HVDC Transmission System Based on MMC with Three-Level


Flying Capacitor Submodule

However, the control and balancing strategies are easily extended to any number of submodules
per arm. In order to evaluate the performance of the proposed control system, at t = 1[s] the
reference active power for MMC-B (PacB) is changed from 0[MW] to 2.4[MW] as shown in Fig.12(b).
Maintaining this reference in MMC-B, at t = 2[s] the reference reactive power (QacA) for MMC-A is
changed from 0[MV Ar] to 2.5[MV Ar] and is shown in Fig.12(a). At t = 3[s], the reference reactive
power (QacA) is changed from 2.5[MV Ar] to 3[MV Ar] and it is maintained up to t = 3.9[s]. At
t = 3.9[s], the reactive power is changed to QacA = 0[MV Ar], which is shown in Fig.11(a). At t
= 4[s], the active power reference for MMC-B (PacB) is changed to 3[MW], by changing the active
power flow of the system. During these changes in the active and reactive power of MMC-A and
B, the average capacitors voltage of the submodules of each station and the total DC-line voltage
is maintained constant and are equal to their nominal value as shown in Fig.11(c) to Fig.11(e).
Further, at t = 5[s] the reference reactive power (QacB) for MMC-B is changed from 0[MV Ar]
to 2.35[MV Ar] (Fig.11(b)). At t = 6, the reactive power of the MMC-B is changed to QacB =
2.35[MV Ar]. The above changes does not effect the submodule capacitors voltage in MMC-A and
B, which demonstrates the performance of the proposed decoupled control strategy.

College Of Engineering Chengannur

18

Control of HVDC Transmission System Based on MMC with Three-Level


Flying Capacitor Submodule

Chapter 6
Advantages and Applications
6.1

ADVANTAGES OF MMC

High modularity.
High power quality.
Common dc-bus and the capability to manage the ac faults.

6.2

Applications

High Voltage Direct Current (HVDC) systems are an ideal supplement to the existing AC power
grid and are going to play a key-role in the new energy era by:
economical and most efficient transmission of electrical power over long distance.
connecting asynchronous grids or grids with different frequencies.
providing controlled power supply in either direction.
offering grid access for onshore and offshore power generation from renewable energy sources.
performance improvement and acting as a firewall against blackouts in existing overloaded
AC grids.
In an AC network the converter station as part of the HVDC system converts the electric power
into DC. In an additional converter the electrical power is converted back to AC. The converters
can be located in one place as a back-to-back HVDC system, or electrical power can be transmitted

College Of Engineering Chengannur

19

Control of HVDC Transmission System Based on MMC with Three-Level


Flying Capacitor Submodule

from one converter station to another over long distance via an overhead line or cable. In both
cases HVDC increases the transmission capacity and system stability very efficiently.
.

College Of Engineering Chengannur

20

Control of HVDC Transmission System Based on MMC with Three-Level


Flying Capacitor Submodule

Chapter 7
Conclusion
The seminar presented a control strategy to control the active power, reactive power and total
DC-line voltage in a MMC-3L-FC based HVDC transmission system. With the proposed control
strategy, the independent control of the power flow, submodule capacitors voltage in each MMC
station and total DC-line voltage are achieved. Moreover, the control and modulation strategies
are easily extended to any number of submodules per arm. The simulation results of the proposed
control strategy applied to a MMC-3L-FC based HVDC Transmission system shows a good dynamic
and steady performance

College Of Engineering Chengannur

21

Control of HVDC Transmission System Based on MMC with Three-Level


Flying Capacitor Submodule

Bibliography
[1] M.Perez,R.Lizana F and J.Rodriguez, Decoupled Current Contol of Modular Multilevel Converter for HVDC applications,in Industrial Electronics(ISIE), 2010,IEEE Intenational Symposium on,May 2012,pp.1979-1984.
[2] S.Cole and R.Belmans, Transmission of Bulk power, Industrial Electronics Magazine,IEEE,vol.3,no.3,pp.19-24,sept.2009.
[3] S. Allebrod, R. Hamerski, and R. Marquardt, New transformerless, scalable modular multilevel converters for hvdc-transmission, in Power Electronics Specialists Conference, 2008. PESC
2008. IEEE, june 2008, pp. 174 179.
[4] P. Bordignon, M. Marchesoni, G. Parodi, and L. Vaccaro, Modular multilevel converter in
hvdc systems under fault conditions, in Power Electronics and Applications (EPE), 2013 15th
European Conference on, 2013, pp. 110.

College Of Engineering Chengannur

22

Вам также может понравиться