Вы находитесь на странице: 1из 20
3D Packaain Magazine on 3D-IC, TET) TSV, WLP & Embedded Technologies ANALYSIS g PET EE SET Ee) 2010: Fan-in WLCSP matures, what's next? Year of the Fan-in water-level chip-scale packaging is maturing and costs are becoming CMOS BSI competitive with other ‘mainstream’ packages, so it begs the question: what's sonar next for water-level packaging? wave? This int a imple quoston, because selection of >» IF you are follwing recent announcements inthe digtal imaging area closely, you may have noticed that CMOS image sensors ‘are on the verge of making another giant step over CCD technology this year. And ‘Japanese imaging companies seam to be leasing the way in tis area! Indeed, Sony made the frst step last year by introducing is CMOS BSI sensor technology. The Japanese electronics COMPANY VISION (WLCSP) is. matuing and growing at a lately brisk pace, and is success appears to be serving as a springboard of sorts for the technology into applcatons beyond handsets and ‘so accelerating development of oer types of waterievel packages (WLP). So now is a perfect time to take a ook at what the industy sees on the horizon for WLP Fi waferievel chip-scale packaging Cost (One of the key questions now that fan-in WLOSP is considered mainstream, is: Can it become cheaper ‘han other competing semiconductor packages? fanin WLCSP stil depends largely on package ype, de Sze, and VO, according to Erie Beyne, program ‘recto ofthe Advanced Packaging ad interconnect Research Cenler at IMEC (Leuven, Belg; www. imec be) Reasons to use waterleve chipscale packages (WLCSPs) prima ivoive footprint and package height reductions for potable devoes, ne exons. ‘Tom Strothmann, manager of WLOSP Business Development at STATS ChipPAC Inc. (Singapore: wi stalschippac com), also beieves thatthe use of .WLCSP is sb primariycriven by form factor rather than cost. 2 4 paper IMT on the role of Wafer-Level Packaging in MEMS Innovative Micro Technology is a MEMS contract manufacturerffoundry partner, The company operates in one of the largest independent MEMS fabs in the world. Built for MEMS manufacturing, IMT provides complete foundry services from Printed on 8 design through production. Développement: What MEMS devices do you expect to use waferlevel packaging? Craig Trautman: There ate several areas in which we expeet to see the use of wafe-evel packaging expand, but itorostingly, IMT has boon Using WLP fora rumber of years now. At any given time, IMT can have as many a8 30 to 40 programs snd, tt pons wo xa a tony useP reson rach Tey ICncnot awl gens hates ne votre aletpsmestornresoputas Sorc > aft) tog wed aves ST ne nas and be at conan 6 Released Si02ineayer electric shows @ matrix of copper itereannet trace for interposers snd 30 packaging apleatons. TOP3 FROM I MICRONEWS.COM IPDiA opens multi-parties 3D TSV Silicon Interposer Program IPDiA, a leading suppl of ‘on passive components and 3D silicon packaging is offering first call to participate to a Through Silicon Via (TSV) Multi Part Wafer (MPW) program also called “pizza mask’. oh Sic Via ey tron “T sitnrs Seran et cecil ptoarc be eh rt centre tr dete {io mea enor patag on high dersity packing and nancial benefit wth a high ogre of miisturization and therefore lower cost. ‘Thanks to tis MPH opportunity, companies which ‘would ike to make an evaluation design with Trough Yasin Sen cut ateige 8 WM awacysis 2 McomPANy VISION 6 MANALYST CORNER 16 Mitor3 18 Sosa bt poe bop wctnon_opopeptandine Pep co ) DATSA ssec SPTS> @™ sensonor tegal tonics YY) ore veverorrenens ant is now mass producing the CMOS BSI sensor in its newest vdeo camcorders and digital stil cameras. But Sony is not {an isolated case 2s in early January, many cher announcements have flowed and not only Casio But also Nikon, Ricoh, Samsung, JVC and Fujfim all soparately announced their frst digital camera products using @ (CMOS sensor. based on BS! “Backside Mumination technology! So, alt of interesting announcements inthe high-end imaging market have happened carly this year. But the low-end image sensor market wil not stand by and watch as we beleve that CMOS 85! technology wil also appear into diferent smart-phone camera ries later this year. Omnivision i ready ‘and curently sampling its second generation 1 image sensor. Aptina Imaging, Toshiba, Samsung and STWicro are aso in the staring-blocks, Yole is following the CMOS image sensor marke ery closely for several years now. We are very pleased io announce the imminent release of our all now market study in tis area. This report wil ofcourse provide the key technica insighs about the very latest technology ends such as BSI, Wafer Level Cameras, image stabilzation and auto-focus technologies that are under development for ‘he camera module market! Jerome Baron Technology & Market Analyst & Edtor baron@yoler MASE * DATE 2010 - Design, Automation & Test in Europe arch 8-9, Dresden, Gormany OLOe + IMAPS 2010 : 6th International Conference and Exhibition on Device Packaging, arch 8-11, Scottsdale, AZ, USA + Image Sensors Europe, March 23-25, London, UK neaa EES 3D. Packaging Fan-in WLCSP matures, what’s next? From page 1 ‘QFN packages are the most competitive with WLOSP in the market space requing reduced (orm factor, he says, WLCSP cost is competitive with QFN today in small die sizes with low UO counts and less expensive than QFN as the UO count Inereases. Fan-in WLCSP is expected to become less expensive than QFN packages inal ie sizes as WLCSP volume increases. Fan-in WLCSPs continue to have the highest year-over-year adoption rat of all semiconductor packages, says Ted Tessier, chief technical oficer of FipChip Inerational (Phoenix, Ariz; ‘wera fichip com) thanks to tele minimalist form factor al an attractive price point. “Most of the high-volume supplies of WLCSPS are ctiving fan aggressive costreducton roadmap and, as @ result, the pricing has dropped gradually. But after 10 years of high-volume usage, continued price reduction is becoming inreasinly diffeut and leveling of" he ads. leading semiconductor package because many applications where space isn ciical dont have tong divers to mgrale to WLCSP, “Irene ofthe fast-growing packages, alongwith (QFN, but bath have away to goto catch up with te ‘more established packages, which wil continue to tow ala slower pace,” says Stepnigk Beyne notes that the majority of packages are stil lead-rame-bases solutions, but expects the compound annual growth rate (CAGR) of WLESPS willbe much greater Expanding to more apps? ‘Another big question is: Wil the success of fan in WLCSP in mobile appicalions, fist integrated for szeiminiatczation drivers, alow for ts wide adoption by other consumer applications? “... QFN packages are the most competitive with WLCSP in the market space requiring reduced form factor Strothmann, STATS ChipPAC Inc. \Wilefaninisbecoming cheaper, soare competing semiconductor packages, points out David ‘Stopniak, manager of WCSP and 3 packaging at Texas instruments (Dallas, Texas, wawct.com). He oosr't anticipate a change in packaging strategy between fan.n WLCSP and other packages based on relative price or cost changes. And in many cases, David Kress, director of Technical Marketing at Analog Devices. Inc (Norwood, Mass; wwwanalog.com), says that \WLCSP has already become the least expensive package Leading package? Is fan-in WLCSP emerging as the overall leading semiconductor package? With so many packages fut thereto choose fom, i's haraly suprising that opinions vary a ite Fan-in WLCSP has the highest growth rate of all mainstream semiconductor packages, says Strothmann, but its starting from @ smaller base than other package technologies. He expects it wil become the dominant package in handheld products where a reduced form factor is erica, bul feels i's unlikely to become the overall according to Tom “The industy-wide high-volume infastructre that was put into pace forthe fabrication, back-end die processing, and surface mount technology (SMT) usage of WLCSPS to support the ultahigh-voiume requremenis of mobile applicatons is defintely providing arabust base from which the proferaton ‘of WLCSPs is springing ilo other application spaces, says Tessier "We're seving siniicant ‘adoption and engineering interest in automotive, medical, computing, ané digital photography. ‘SEM Photomicrograoh ofa Fann WALCSP ‘embeded in a PCB laminate (Courtesy of FisChio}

Вам также может понравиться