Вы находитесь на странице: 1из 51

..

1998
681.3

,


.
: ,
.
2202,
, .

: .. , .. , ..

1.

...................................................................
2.
3.

4.
5.
5.1.
5.2 .
5.2.1. 580
5.2.2. 1821
5.2.3. 1810 86/88
5.2.4. 1816 51
5.3.
5.3.1.
5.3.1.1.
5.3.1.2.
5.4.
5.5. -
5.6.
5.6.1.
5.6.2. 580 55
5.6.3. 580 51
5.6.4.
5.6.5. 1821
6.
7.
8.


, ( ).
() -
,
() .
, , .
,
.
: , ,
.

: () .

.
, .
.
:
- , ()
;
- ;
- .
2

, .
1. .
.
: ,
,
,
, - , , .

, .

.
.
2. .
, ,
- , , . .
.
.

- .
( ) . ,
.

- - ().
3. .

.
-

:
, ; ,
,
. ,
,
.

,
.

.

.
() , .
4. .
, .
, ,

.

, , .
.

(), .
5. .
() ,
.
( .).
6. .
,
,
,
.

,
.

.
4, 5, 6 .
7. .

( , .)
.

.
,
, , 2, 3, 4, 5, 7.
3

,
.

.

,
, , , ,
.
, .

, .
( , ..)
,
, .

, ,

.
, ,
.
- .

, ,
.

.

, - (
) ( ).

. (
) .
: A(n :m), A - ;
n, m - .
: M[k : h](n : m), M ; k, h - , .
:=.
( ), - .
()
.
, A (0:15) B (0:15)
C:=A-B, A, B, C - ; - -
.

(15:0) := ((15).(14:0)).
. - ().
:
(3:0):= (3:0)1011
:
A(15:4):=B(11:0)
A(3:0):=C(15:12)
, ,
(). - ,

x = (A, B, ...)
- ; x - , A, B ... -
.
, B
x:A=B

x x =A B , 2 .

: , , ( 1).

()

1 - : - , , - , -

.
() .
.
: =, <, >, , . .

-
: .
,
. ()
(, )
P(y) = ((...(an y + an-1 ) y + an-2 ) y + ... + a1 ) y + a0 ,
:
Si+1 = Si y + an- i ,

i =1,n

(3.1)

n - P; an , ... , a0 - ; y -
; S1 = an . (3.1) n
Sn+1 , P(y).
4

: , -.
.

.
( ),
.

()
. (),
(), () (). ,
.
, .
, ,
. . . , ,

( ).
( , . .)
.
- ()
: (), ,
( ) . . -
()
() .
: (),

, ,
.
.
- ,
(),
. ,
.

2. (), , ,
,
- .
,
,
. , ,
- .
,
.

. . .
2 -

,

() , .

: ,
, ,
()
.
580
1.6, 1.7, 1.32, 1.33 [-].
1810 4.5, 4.6, 4.7 [-].
5

, ()
.
()
,
(), ,
, , .
5. 1

[1].
.
:
- ,

- ,
- .

:
(
).
,
, , .

.
,
.
,
(), () ().

.
I 2 C bus (Intel Integrated Circiut Bus) Philips Semiconductor,
,
, .
, ,
[?]

, ,
.
, ,
.
,
.
182155, 1821()51.
() .
.

.

().

. .
,
.

().

, ,
, .
,
, .
Intel, Zilog
.
: ,
-
,
.
.

- (,
Motorolla).
.


. , Intel 8080/8085
15.
15=0, - 15=1.
Intel 8086
,
, .
, ISA,
.
MC 68000 (1 )
, 8
, .
. , ,
(4 ).
,
, .
,
, .

.

, ,
(),
.

(
). ,
,
. ,
.

.
,

.

( -).
() .

,
.
,
. ,
,
.
.
- -
() ,
(t 3-5 t ), t - -, t -.

,
.
.
,
.
. ,
, -
.
. :
,
,
.

. ,
/
.
,
( ,
handshake): .

,
/.
,
.
,
,
.
:
( ) / ,
,
/( ) .
,
.

3. / .
,
.
.
:

:=
n
.
:=
n-1

=
0

/ .

4. .

.
.


READY.
READY ,
.
WAIT .
-
/

()
,

.
/

,
- .
. ,
.
.
, ,
: INTR - , INTA - .

IRQ0-IRQ7 INTA.
.
() ,
/.
. :
- HOLD - HLDA. ,
, . .

DREQi DACKi.
(BUSY)
CBRQ, ,
[ ].
,
/ , .


/ .
/
.

. ,
, (, , ),
[ ].
5.2.


(), ,
,
.
() (),
, , , ,
.
.

Intel (8080/8085, 8086/8088, 8051,
8751,8751) ( 580, 1821, 1810, 1816,
1830). ,

. [ ].


,
.

n

Ii I j

i=0

C i C j

i=0

I j - j, .
0,
1.
C j - j, /
.
I , - j
.
n
.
, n-
, I 1,6-2 , C 100 .


(58086(87), 58082(83)) 1533, 555, 155, 1561
( , , , ).
,
.

.
[ ].

, .
5.2.1. 580
580 1.6 [6].
58024.
9
, F1, F2.
, 400-500 .
F2T
(I 15). , OSC
.

58028.
I 10 , 100 .

58059, 181059. 580 59 [ ].

RSTn INTA .
,
58028. INT
RST7, INTA 10
+12.
58057,
,
16 16- [ ].

1.
5.2.2. 1821.
182185
, ,
, . 58080
- ( 2-3 ),
1,5-2 , +5,
, ,
. 182185
, , 58080.
IP , IP
: RIM - (A):=(IP) SIM - (IP):=A.

, SID, SOD -
/, 7.5, 6.5, 5.5 - , SDEN -
, MSEN - , IE , I7.5, I6.5, I5.5 - , R7.5 RST7.5.

. ,
,
CR1, CR2 [ ]. CR2
20 50 .
f/2, f - .

SYNC ,
.
(4 5) ,
RESYN , - .
RES .
IL 2, IH 0,4 , C 150 .

. 182155,
182155.
[ , 1.37 ].
, AD0-AD7.
EA (ALE).
.
/
,

Ak
IO/M
Ak+1
Ak+2

C
D

DC

... CS
4

A0
A1

C
E

... CS
4

WR

WRI

RD

RDI

D7
D6 D5 D4
D3
SOD SDEN X R 7.5 MSEN

IO/M

C
D

WR
RD

A0
A1

DC

IO/R
IO/W

MEMR
MEMW

D2
D1
D0
M 7.5 M 6.5 M 5.5

SIM

D7
SID

D6
D5 D4
I 7.5 I 6.5 I 5.5

D3
IE

D2
M 7.5

D1
M 6.5

D0
M 5.5


TRAP


24h

RST7.5
RST6.5
RST5.5
INTR

2
3
4
5

3Ch
34h
2Ch

. .

D7

D6

D5

A0=0
A0=1

N7

N6

N5

RIM


. 0 1,
1 ( 400)
. 0 1
. 1
. 1
. 1

D4
1

D3
L/E

D2
F

D1
S

D0
IC4

N4

N3

N2

N1

N0

S=1
3
A0=1

S7

S6

S5

S4

S3

S2

S1

S0

I2

I1

I0

IC4=1

A0=1

SFNM BUF

M/S

AEOI MP

, . .
/, .
, ,
CS.

4 ( ).
, /
, , 4 ( ),
.

. ,
.
/.
,
WR , RD , IO /M (
).
.

:
182185 58080,
.

.

.
, ,
,
.

.
, TRAP,
EI, DI.
RST5.5, RST6.5,
RST7.5 SIM.
MSEN.
RIM.
TRAP
, .
,
400 .
.
RST5.5, RST6.5 .
1, .
,
.
- .
.
RST7.5
0 1
.
, R7.5 SIM.

( , IE=0).
EI.
-
RIM, SIM.
RS232 / .

1702, 1702.

580.

2.
5.2.3 1810 86/88
, ,
[ ,4.5 ]. 181084
(. 3) RESET, READY
OSC, CLK, PCLK,

fOSC = 3 fCLK = 6 fPCLK .


fOSC ,
EFI. - ,
1, X2.
F / C . CLK ,
PCLK .
,
2 .
RDY1, RDY2, AEN1,
AEN2 -
, SINC - , RES - .
RES 50 ,
- 4 CLK.
,
. 8 fCLK
(IP:=0, CS=FFFF, DS=SS=ES=0,
PSW, , - FFFF0).

1821 [ , 4.5 ].
DEN, DT / R ,
, RD , WR .
ALE.
, [ ].

:

181059.

PSW, CS,
IP.
58059
N, .
N 4.
CS IP
.

0-FFF.
0-2 . 3
INT, INT0 4.
181059
58059.
SP/EN.


59 580,
1821, 1810.
1
1810 D0, D1, D3. IC4=1 ,
1810, S - (S=1
, S=0 ), L/E
. L/E=1
, L/E=0 - .
,

. 1
580 [ ].
2 1810
580.
I2-I0 ,
.
3 , 58059 [ ].
4 : (=1
1810); AEOI : AEOI=1 -


, AEOI=0 - EOI
; BUF
; BUF=1, SP/EN
,
M/S (1 0 ); BUF=0, M/S
; SFNM - .
58059 [ ].
181086 59
,
AL. 0 1
.

181037. () 181037


.
12- ,
0-3 .
IOR , IOW .
.
.
0-7, - DB7-D0
AEN=1.
15-8.
ADSTB,
AEN. AEN ,
, .

16- .
, 4 , 19-16.


IOR , IOW MEMR
, MEMW .
.
,
.
. DREQi.
HOLD ,
HLDA, / DACKi.
.
EOP . 0,
.
.
EOP .
, .

, -.
181037 [ ].

181088, 86
8- ,
/.
182185.
IO /M . 88

1821.
. 86 20-30%
.
, , ,
: 4 ,
,
4 .

INTEL 80186/80188,
, , , ,
.
,
- ( 8086/88, 80C186/188 ).
5.2.4 181651

() - () ,
, /,
.
[ ,3.1 ]. . : 8,
16, 32 - , 32 , 2 , ,
/ , ,
, , ,
.
Motorolla, Microchip, Intel, Zilog.

,
: ,
.
, ,
.

Intel 8051 ( 181651 ).
51
182185 [ ,3.15 ].
. ,
, 85 [ ].
P0, (2,4-3,2) ,
100 .
( 7-0).

, ALE,
2. 2 - 1,6 , 80 .
3,
[ ,3.5 ].
- WR
RD , - PSEN .
/ MOVX.

.
INT0, INT1.
MCS-51
.

181631
181651
1816751
183031
183051
1830751
1830753
()185065
1

8031
8051
80751
8031
8051
8751
8753
-

4
4
4
4
8
32

128
128
128
128
128
128
128
128

.
.
,

12,0
12,0
12,0
12,0
12,0
12,0
12,0
12,0

150
150
220
18
18
18
18
120

xD, RxD .
16-
0, 1. 1-3 1,6 , 80 . ALE, PSEN - (3,2-2,4) , 100 .
ALE,
.
MOVX, ALE .

.
,
.
.
0-3 :
.
1,
- 0. 3, 1
, 0 - 0.
1.
1-3 ,
. /
.
0 .
0
.
.
2
MOVC MOVX A, @DPTR, MOVX @DPTR, A.
-
, .

. .
MOV, SETB, CLR.
.
.

,
.
:
.
- - .
,
(ANL PX, A, INC PX, JBC PX.Y ).
MOV (A, Rn, @R0), PX, ANL A, PX
.
- () ,

. 16- , .
TL, TH 1 0.
1 0 1 , . .
OSC/24, OSC -
.
OSC/12.
-
[ 3.8, 3.9].
.

INTi, i - .
, , .
TMOD [ 3.7].
1 0 TFi,
.
TFi TCON.
TF.

,
0-2.

: TMOD, TH, TL,


TCON.

, /
( ).
.
( 0), ,
1 ( 1, 3), ( 0,
2). , 8
, ,
(, ).
SCON.
/ SMOD PCON.
SBUF.
TI, - RI
SCON. / SBUF
. PCON, SCON [ ].

. /
RS-232
1702 1702.

.
5
, [ , ].

IP, IE, TCON SCON.
,
.
INT0, INT1
. TCON
IT0, IT1. IE0, IE1.
, . .

.
.
, - .
:
,
.
, ,
, .

- TF0, TF1 ( TCON)
TI, RI ( SCON).
LCALL vect,
:
INT0 IE0 0003h
CT0 TF0 000Bh
INT1 IE1 0013h
CT1 TF1 001Bh
TxDRxD RITI 0023h


, INT0 ,
- .

, RETI
IE IP.


IP. (. 1)
.
.
6.
:
- , . . ;
- ;
- .

(), ,
.
, , ,
.
.

6.1
6.1.1

.
.

(ROM),
,
- .
(PROM) -
-. ,
.
() - EPROM.
.
.

()
EEPROM

. - ,
- .
(flash-).
- (
) .
(), ().
(-RAM)
.
(DRAM)
( ) ,
.
(- ), , ,
, ,
(refresh cycle). .
(SRAM) ,
,
,
. ,
SRAM DRAM.

5378
53710
53711
53714
53717
53719

TC5516
HM6516 - 9
/
TC5504AD
MB8464 - 15
HM6287 - 70

2K x 8
2K x 8
256 16
4K x 1
8K x 8
64K x 1

5378, 10
8
7
6
5
4
3
2
1
23
22
19

A0 RAM
A1 2Kx8
A2
A3
A4
A5
A6
A7
A8
A9
A10

18
21
20

CS
W/R
CEO

Z
D0
D1
D2
D3
D4
D5
D6
D7

9
10
11
13
14
15
16
17

+ 5 B - 24
. - 12

,
190
220
440
110
200
70

.,
. / .
30 / 1
70 / 3. 10 - 4
35 / 0,15
45 / 10 - 2
85 / 2.10 - 3
70 / 0,6

53717
10
9
8
7
6
5
4
3
25
21
24
21
2

A0 RAM
A1 8Kx8
A2
A3
A4
A5
A6
A7
A8
A9
A10
A11
A12

Z
D0
D1
D2
D3
D4
D5
D6
D7

3 c.
3 c.
3 c.
3 c.
3 c.
3 c.

53719
11
12
13
15
16
17
18
19

20 CS1
22 CS2
27 CEO
27 W/R
+ 5 B - 28
. - 14

1
2
3
4
5
7
8
9
15
16
17
18
20
21
22
23
14
11
13

A0 RAM
A1 64Kx1
A2
A3
A4
A5
A6
A7
A8
A9
A10
A11
A12
A13
A14
A15
DI
W/R
CS

DO

10

+ 5 B - 24
. - 12

537
CS
1
0
0
0

CEO

X
0
1

WR / RD
X
0
1
1

A0 , A1 . .

DO - D7
Z -


Z -

2716
2716
2764
27256

2 8
2 8
8 8
32 8

573 2
573 5
573 6A
573 8A

5732, 5
8
7
6
5
4
3
2
1
23
22
19

A0 ROM
A1 2Kx8
A2
A3
A4
A5
A6
A7
A8
A9
A10

18
20
21

CS
CEO
U

Z
D0
D1
D1
D1
D1
D1
D1
D1

,
450
450
300
350

.,
. / .
100 / 35
100 / 25
150 / 50
100 / 25

5736
9
10
11
13
14
15
16
17

+ 5 - 24
. - 12

10
9
8
7
6
5
4
3
25
21
24
21
2

A0 ROM
A1 8Kx8
A2
A3
A4
A5
A6
A7
A8
A9
A10
A11
A12

20
22
27
1

CS
CEO
PR
UPR

Z
D0
D1
D2
D3
D4
D5
D6
D7

3
3
3
3

.
.
.
.

5738
11
12
13
15
16
17
18
19

+ 5 - 28
. - 14

10
9
8
7
6
5
4
3
25
24
21
23
2
26
27

A0 ROM
A1 32Kx8
A2
A3
A4
A5
A6
A7
A8
A9
A10
A11
A12
A13
A14

20
22
1

CS
CEO
UPR

Z
D0
D1
D1
D1
D1
D1
D1
D1

11
12
13
15
16
17
18
19

+ 5 - 28
. - 14

573
CS
1
1
0
0

CEO

1
0
0

U PR
+5
+ 25
+ 25
+5

A0 , A1 . .

DO - D7
Z -


28F256A
28F512
28F010
28F020

32K x 8
64K x 8
128K x 8
256K x 8

,
120
120
120
150

("")

.,
. / .

5564
5565
55616
55618

3601
3604
HM76641 - 5
HM76161 - 5

256
512
8K x
2K x

55618
8
7
6
5
4
3
2
1
23
22
21

A0 ROM
A1 2Kx8
A2
A3
A4
A5
A6
A7
A8
A9
A10

18
20
19

CS1
CS2
CS3

4
8
8
8

45
50
85
60

130
190
190
180

. .
. .
3 c.
3 c.

55616
Z
D0
D1
D1
D1
D1
D1
D1
D1

9
10
11
13
14
15
16
17

8
7
6
5
4
3
2
1
23
22
21
19
18

A0 ROM
A1 8Kx8
A2
A3
A4
A5
A6
A7
A8
A9
A10
A11
A12

20

CS

Z
D0
D1
D2
D3
D4
D5
D6
D7

9
10
11
13
14
15
16
17

+5 - 24
. - 12
+5 - 24
. - 12

6.1.2
:
1) () - , ..
;
2) , ..
.
ROM SRAM = , DRAM 2 , .
:
1) - , . . , , ,
, - (, . .);
2) , . . ,
( ) V = W/ , W .
, .
:
1) - ,
;

;
2) ;
,
, , 16-
32- 64- ;

3)

( - cache)
;
4) :
- ,
- (EDO RAM),

;
5) (interleaving) , . .
( ) , ,
; , ,
.
, ,

. , INTEL 8086 ( 181086) 80286, Motorola
68000 4 16- , INTEL 80386
, 32- , Motorola 68020/30 32-
, 3- , INTEL 80486 Motorola 68040 32-
2 .
6.2
,
:
1.
.
( )
.
2.
, .
,
.
.
16 8 541 16 1.
8 , ,
.
. . ,

. .
3.
.
:
1) ()
;
;
2) .
.
16 8 541 2 - 4 1
( )
1) 4 8 ( )
2) 4 .
- = int log M2 , . . 14 - (13 0).
12 - (11 0). 13, 12
1 4 . () 1 4,

( , CS )
( ).
( CS ),
.
.
.
4 8 556 5 - 512 8
( ).
( ) .
11, 10, 9,
1 8 .

7.

, ,
. , ,
() ,
.
,
:
580 53, 1810 54,
580 55,
580 51,
580 59, 1810 59.
7.1


( ) ,
,
, [ J ,P ].
()
N. ,
( - ), (
) .
16- ,
, ,
.
N max 2 16 , .. 65536,
- - 10 4 . :
0, Tmax
= Nmax . Tin ( Tin - ) 1,
2 3,
4 5.
Nmax ( OUT
0 CLK 1 . .). N
.
, Nmax = 65536 = 10000h ( 10000 )
.
1810 54 580 53
( 580 53 0 2 , 1810
54 8 ) .
.


D7
1

D6
1

D5
COUNT

D4
STAT

D3
CNT2

D2
CNT1

D1
D0
CNT0
0
1 0
1 - 1

1 - 2
0 - . , D3 - D1.
0 - . , D3 - D1.

, 11001110 /

.
-
D7
OUT

D6
FN

D5
RW1

D4
RW0

D3
M2

D2
M1

D1
M0

D0
BCD



, D7 D6.
D7 OUT
.
D6 ,
. 1 5,
- GATE. D6=0 ,
. ,
. GATE
.
,
.
[ R ].
7.2
580 55
() 580 55 [ m ]
()
/ ( / B) , .
: 0 - / ; 1 / ; 2 - .
, ,

. / ,
.
0, 1 - ,
2 .
.

0 / 8 -
, 4- /
, .
,

.
1 ,
.
8- ,
.
2
. .

.
0 () / , 1 2
- / ( / ) / .
7.3 580 51
()
[ m ].
, , .
( ,
) .

.
.
, ,
,
, .
,
, .
RS232 " 20 ".
RS232 - 3 - 12 "1", 3 - 12
"0".
" " - 15 - 25 "1", 0 - 3 "0".
RS232
170 2 170 2 .
" "

.
/
, ,
.
/ .
,
53
54.

.
7.4 580 59, 1810 59.

,
, INTR,
58059 [ m ] 1810 59 [kaz] .

.
64.

. .
7.5 1821

580 80, 1821 85, 1810 86, 1810
88, . . , , INTEL 8080, 8085, 8086, 8088.

.

,
. , , 1821 55 (
256 8; 8 - 6 - ; 14 -
) 1821 55 ( 2 8 8 -
/ B).
1821 85

. [ Kaz ].

1821 55
AD7
AD6
AD5
AD4
AD3
AD2
AD1
AD0

IOPT
RAM

1821 55
PA7
PA6
PA5
PA4
PA3
PA2
PA1
PA0

AD7
AD6
AD5
AD4
AD3
AD2
AD1
AD0

ALE
RD
IO/M
RES
WR
CS

PB7
PB6
PB5
PB4
PB3
PB2
PB1
PBO`

ALE
RD
IO/M
RES
IOW
IOR
CS1
CS2

PB7
PB6
PB5
PB4
PB3
PB2
PB1
PB0

TIN

TOUT

CLK

RDY

PC5
PC4
PC3
PC2
PC1
PC0

A10
A9
A8

UCC
GND

IOP
ROM

PA7
PA6
PA5
PA4
PA3
PA2
PA1
PA0

UCC
GND

1821 55

12 - 19
11
8
9
10
7
4
3
40
20
21 - 28
29 - 36
37 - 39
1,2,5
6

AD0 - AD7
ALE
CS
RD
WR
IO / M
RES
TIN
UCC
GND
PA0 - PA7
P0 - P7
P0 - P7
TOUT

. .




/ B


+ 5

. /
. /
. /

1821 55

12 - 19
11
1, 2
9
10
8
7
4
3
40
20
24 - 31
32 - 39
6

AD0 - AD7
ALE
CS1, CS2
RD
IO W
IOR
IO / M
RES
CLK
UCC
GND
PA0 - PA7
P0 - P7
RDY

21 - 23

A8 - A10

. .





/ B

c
+ 5

. /
. /

6.3 /

.
, INTEL 8080/85
16- , 8 ,
.
216 = 65536 = 64 , - 15 0
28 = 256 , - 7 0 15 8
. (I/O R) . (I/O W)
256 256 . 2-
IN port, OUT port.
.
, . .
. (MEMR) . (I/O R), . (MEMW) . (I/O W).
3- : STA
addr16, LDA addr16, 1-
.

.

:
1) ;
2) ,

.

.

.
.
, , 5732
2 8 2048 = 211 , . . 11
0 10, ,
( 11 15 8080/85)
.
3 :
1. .

. 8080/85
5 15 11 5 , : 01111, 10111,
11011, 11101 11110. ( 5-
27) .
n
n .
2. .
n 2n , . .

25
= 32 .

, .
5-
5 (OR5) 5- (NAND5), ,
5- (NOR5) 5 (AND5), .
( )
, ( 5 )
(NOT).
.

8080/85 2 8 0000
2 8 F800H.

8
16

8
5732
A0
11

A10
D

1
CS
MEMR

A15
A14
A13
A12
A11
MEMR

ROM
2K8

5378
A0 RAM
2K8
11
A15
A14 &
A13
A12
&
MR & A11
MW

A10
CS
1
CS
2
W/R

DI/O

1
&
1

3- 2- 5.
,
( 15 11 1)
. ,
.
3. .
,
.
3. .2 :
- 0000,
- 8000.
, 15:
15=0 , 15=1 .
14 11 , 16
14 11 15=0. , 15=1.
.

ROM

RAM

A15
A15
MR

CS

MR
MW

&

CS 1
CS 2
W/R

MR MW
, ( MR = MW =1)
.
, . . ,
I/OW I/OR ( ).
,
.
8-
8 8 .
(, 55),
4 (3 / ),
1, 0, 7 2
6 , . . 18
/.

, ,
, .
4.
580 55.
PPA A
A1
A0
A2

CS

PPA A

B
C

A3

A1
A0

CS

PPA A
A1
A0
A2

CS

PPA A

B
C

A3

A1
A0

CS

( ) ,
, 2=3=0,

( ), . .
.
( ) ,
.
.
8.

.
,
, ,
.

, .
( ).
,
.
,
.
( )
.


, .

.

t=n T,
(8.1)
T - , n -
.
, ,

, , .
, ,
, . . .

.
1.
[ . .].
,
, .
2.
ni ,
. , - k.
ni i -

ni = hm ,
m=1

(8.2)

hm - , M -
, i - .
.

,
.
3.
. ,
1.
4. , ,
. ,
. ,
, .
,
, ,
.

.
, . .
.
.
5. ( )
( ),
.
.
, .

,
.
. .

,
.3 ,
, ,

.
9.
() .

2.105 [4], 2.106 [3], 6.1.4-97 [2], -
19.701 [ ] .

c , ,
4
( 36-39 60-65
). : - 30 , - 10 , -
15 , - 20 .
,
, , , , .
(.. ), ,


.
, , ,
,

() ( , , . .)
.
( , )
().
.
.
, ( ).
:
;
;
;
;
;
;
;
;
;
.

.
.
, ,
.
: ,
( ,
), ( 5 15 ,
, , ,
), .
( , ,
) . - 500 700
[5].
-

.
: 20 ., 23 , 23 , 4 .
: 2 .1.
,
,
, ,
,
.
.
. .

. . ,
.
: , "
...", , , ,
, .
,
( ).
"", "", " ", "",
" . ." .
,
.
, ,
.
" ". , ,
, ".
, 1., 3.
(),
() . "", "", ", "
, .

.
.
, .

.
, , .
.
.
(
). .
. , .
.
.
:
1
1.1
1.1.1

,
,
(
).

.
.
.
(, , , ,
, ) .
. , 2.
(, ,
) .
2 (420594 ).
, ,
(
).
.

: -

2 -
.
.
,
.
.

3 -


, ,
.
.
. : (3).
: - 2,
- 12, - (5), - [3]
( ).

.
.
: ()
, , . . .
. "" ,
.
, .

, ,
1, 2.
2.104 ( 1).
2.701, 2.702,
2.708, 2.743, 19.701.
2.104 ( 1) :

,
- .

2.702/9/,
2.708 [10].
( 101), ( 102), (
202) . ,
, 2.743 /12 /, 19.701 [11].
2.108.

1. .., .., ..
-.: , 1989. -416 .: .
2. .., .., ..
: , -.: ,
1987. -640 .: .
3. :
. 2 ./ .-.. , .. , .. , .;
. .. ,-.: , 1988.-386 .: .
4. .., .., .., ..
1533, 1554. . .-.: , 1993.-496 .: .
5. .., ..
: .-.: , 1990.-304 .: .
6. .. . . :
, 1992.-51 .: .
7. .., .. .-.:
, 1989.-288 .: .

1810:
,
,
: / .. , .. , ..
, .. ; . .. .-.: ,
1990.-269 .: .
9. .., .., .. MCS51.-.: , 1995.-156 .: .
10.
: . .: , 1994.-400 .: .
11. .. .
/ .. , .. , .. .-.:
, 1990.-224 .: .
12.
- , . 8086/8088. .
. -.: , 1987.-512 .: .
13. 6.1.3-89. .
. / . . -. , 1989. 24 .
14.
144-1-87.
. / . . -. , 1988. 30 .
15.
2.106. . - .: - , 1970.-22 .
16. 2.105. . - .: -
, 1980.-22 .
17.
7.9. . - .: - , 1978.-5 .
18.
7.1. . - .: -
, 1984.-77 .
19.
2.605. - . - .: - , 1968.24 .
20.
2.701. . . . .
21.
2.702. . .
22. 2.708. .
.
23. 19.701. . , , .
.
24.
2.743. . .
.
25.
8.



- ;
-
;
- , ,
Y=aX+b, X - , ,
- 12 ; a, b - ;
-

Y=

1 N 1 K
Yk (tkn ) ,
N n=1 K k=1

Yk ( tkn ) - tkn = kT +(n- 1)KT.


- ;
- N Y
;
- K, T, N, a, b
;
-
;
- - .

1. K, T, N, a, b .
2. k - .
3. .
4. .
5. 2, 3, 4 .
6. N .
7. .

1. 2.
3.

N, K, T, a, b

k >K

N =0
N

. .1

k :=1

X k

k :=k +1

k >K

. .2

i :=n
S :=ai
S :=S X +ai-1
i :=i - 1

i =0

P :=S

. .3

Вам также может понравиться