Вы находитесь на странице: 1из 10

@ CY Nur05 novembre 98 V1.

1 1 / 10 Les systmes logiques squentiels


/HVV\VWqPHVORJLTXHVVpTXHQWLHOV
I. Introduction
On appelle systme
squentiel asynchrone un
systme correspondant la
structure de la Figure 1.
Les variables indpendantes
x
i
(i [1, n]) sont appeles
variables dentre du systme
squentiel. Les variables
z
i
(i [1, p]) sont les variables
de sortie. Les sorties
reboucles y
i
(i [1,m]) et
retardes sont les variables
internes.

t
1
x
1
Systme
combinatoire
x
2
x
n
y
1
y
2
y
m
z
1
z
2
z
n
y
1
y
2
y
m

t
2

t
m
Figure 1 : structure dun systme logique squentiel.
II. Les bascules
A linstar des oprateur logiques lmentaires en logique combinatoire, les bascules (flip-flop) sont les
lments de base de la logique squentielle.
II.1. Mise en place de la fonction
Le montage de la Figure 2 fonctionne comme lindique le Tableau 1. Par commodit, on contracte
lcriture dans le Tableau 2. Lexamen de cette table permet dindiquer que S et S* sont complmentaires
sauf dans le cas o a et b sont simultanment 0. Pour utiliser cette complmentarit des sorties, on estime
que les entres ne doivent jamais tre simultanment zro. Lemploi de cette combinaison est prohib. Ce
montage prend le nom de bascule S R avec a = S , b = R , S = Q et S* = Q .
a b S S*
S R
Q
n+1
0 0 1 1 0
X
1
0 1 1 0 1 0 0
1 0 0 1 1 1 Q
n
1 1 0 1
&
&
a
b
S
S*
1 1 1 0
Figure 2 Tableau 1 Tableau 2
II.2. Bascule RS asynchrone
A partir de lexemple prcdent, on dfinit la bascule RS asynchrone (Figure 3). Son fonctionnement
est donn dans la table des tats (Tableau 3). Q
n
est ltat de la bascule avant le changement de lune des
entres et Q
n+1
est le nouvel tat pris par la sortie. S place la sortie 1 (set) et R 0 (reset).
S R Q
n+1
0 0 Q
n
0 1 0
1 0 1
1 1

S
R
Q
Q
Figure 3 : bascule RS asynchrone. Tableau 3
@ CY Nur05 novembre 98 V1.1 2 / 10 Les systmes logiques squentiels
Application de la bascule RS : antirebonds pour contact lectrique
Le schma de la bascule antirebond est donn la Figure 4 tandis que les chronogrammes de
fonctionnement sont prsents la Figure 5.
v
1
0
1
v
2 R
2
S
R
Q
Q
R
1
Vcc
v
1
t
Q
v
2
t
t
pos|l|on 0 qu|lle
pos|l|on 1 alle|nle
Figure 4 : antirebonds. Figure 5 : chronogrammes de l'antirebonds.
II.3. Bascule RS synchrone (ou bascule RSH)
Dans une bascule synchrone, un signal complmentaire, lhorloge, autorise le changement des sorties de
manire statique (sur niveau logique 0 ou 1) ou dynamique (front montant ou descendant). La bascule RS
synchrone de la Figure 6 est active sur les fronts montants de lhorloge H. Son fonctionnement est donn
dans le Tableau 4.
On peut retrouver ce fonctionnement laide des indications du symbole : le triangle face lentre
indique quelle est dynamique (front). Elle nest pas complmente, cest un front montant. Le repre 1
indique les entres contrles (lettre C) par lhorloge.
Remarque : on rencontre aussi des bascules dclenchables sur front descendant (Figure 7).
S R H Q
n+1
0 0

Q
n
0 1

0
1 0

1
1 1

S
R
1S
1R
Q
C1
H
Q
S
R
1S
1R
C1
H
Q
Q

Q
n
Figure 6 : activit sur front
montant.
Figure 7 : activit sur front
descendant.
Tableau 4
Fonctionnement (dclenchement sur front montant)
t
H
t
R
t
S
t
Q
Figure 8 : chronogrammes d'volution de la bascule RSH.
Remarque : les fronts actifs sont indiqus par une flche sur le chronogramme de H.
II.4. Evolution vers la bascule JK
II.4.1. Introduction
Malgr lavantage du synchronisme, linconvnient de ltat RS = 11 demeure. La solution ce
problme conduit la bascule JK. Sa structure interne et son symbole sont indiqus la Figure 9.
@ CY Nur05 novembre 98 V1.1 3 / 10 Les systmes logiques squentiels
S
R
&
&
J
K
J
K
Q
Q
Q
Q
Figure 9 : structure interne de la bascule JK (ici asynchrone).
II.4.2. Caractristiques de la bascule JK synchrone
Table des tats
J K H Q
n-1
Q
n
0 0

0 0
0 0

1 1
0 1

0 0
0 1

1 0
1 0

0 1
1 0

1 1
1 1

0 1
1 1

1 0
Equation : synthtise partir de la table des tats, on obtient
1 1
+ =
n n n
.Q K Q J. Q
Table de fonctionnement rduite (contraction de la table prcdente)
J K Q
n
Commentaires
0 0
Q
n-1
Conservation de ltat prcdent (mmorisation)
0 1

0 Mise zro (reset)
1 0

1 Mise un (set)
1 1

1 n
Q
Inversion de ltat (toggle)
Q
n-1
Pas de changement
II.5. Bascule drive de la JK : bascule D
1D
Q
H C1
Q
La bascule D (Figure 10) est drive de la bascule JK avec la
condition D K J = = . On a alors : Q
n
= D.
Ceci justifie son appellation D (D pour Delay, retard) : elle
dcale dans le temps ltat de la sortie.
Remarque : une bascule D ne disposant pas dentre
dhorloge est appele verrou (latch).
Figure 10 : bascule D
dclenche sur front.
II.6. Fonctionnement forc des bascules (forage)
Il est parfois ncessaire daffecter le niveau de sortie dune bascule de manire non synchrone : cest le
rle des entres de forage. On distingue des forages synchrones (sous le contrle de lhorloge) et
dautres asynchrones qui simposent immdiatement aux sorties (symboles aux Figure 11 et Figure 12).
C1
1J
Q S
R
1K
Q
C1
1J
1S
1R
1K
Q
Q
Figure 11 : prpositionnements asynchrones. Figure 12 : prpositionnements synchrones.
@ CY Nur05 novembre 98 V1.1 4 / 10 Les systmes logiques squentiels
III. Les registres
III.1. Introduction
CLK
Entre srie droite
Entre srie gauche
Chargement
Sens
Donnes de sortie
Q
B
Q
C
Q
D
Q
A
B C D A
Registre
Donnes dentre
Le registre (register) rsulte de
lassemblage dun ensemble combi-
natoire et squentiel permettant le
stockage dinformations binaires en
vue dune mmorisation temporaire
avec ou sans traitement
(reprsentation gnrale la Figure
13).
Figure 13 : le bloc fonctionnel registre.
Les registres peuvent raliser diffrentes manipulations comme lindique la Figure 14. Lcriture
constitue un chargement du registre. La lecture (a) est immdiatement possible car les sorties reprsentent
le contenu du registre. En consquence la lecture demeure possible (b) tant que le contenu nest pas modifi
(mmorisation). Le dcalage droite (c) ou gauche (d) rend libre une case du registre pour recevoir
un bit srie au travers de lentre correspondante. Lautre bit extrme est perdu. A titre indicatif, on
remarquera que lentre srie est dnomme de la mme manire que le sens de dcalage, alors quelle est
positionne de lautre ct.
U U U U
U U U U
U U U U
65 U U U
U U U U
U U U 6/
(a) criture parallle (b) lecture
(sans criture)
(c) criture srie
(avec dcalage droite)
(d) criture srie
(avec dcalage gauche)
Figure 14 : modes de fonctionnement d'un registre.
III.2. Analyse du registre universel de type 194
Il nest plus ncessaire aujourdhui deffectuer la synthse des registres que lon utilise : un choix trs
vaste est offert par les constructeurs. A titre dexemple, le registre universel du type 194 est prsent.
Cest un registre chargement parallle ou srie, avec la possibilit dun dplacement de linformation
vers la droite (Q
A
vers Q
D
) ou la gauche (Q
D
vers Q
A
).
III.2.1. Symbole IEC et description des entres et sorties
(3)
(5)
(4)
(15)
(14)
(13)
1,4D
3,4D
3,4D
3,4D
(1)
(9)
(11)
SRG4
74LS194
C4
0
R
CLR
CLK
S0
C
B
A
QD
QC
QB
QA
1
1/2
(12)
(10)
S1
M
0
3
(2)
SR
(5)
(4)
SL
D
3,4D
2,4D
Le symbole normalis IEC du registre de type 194 est
donn la Figure 15.
CLK, lentre dhorloge, synchronise le registre sur
fronts montants.
CLR, entre asynchrone de remise zro des sorties.
A, B, C et D, entres de chargement parallle.
SR (shift right), entre de chargement srie ct droit,
SL (shift left), entre de chargement srie ct gauche.
S0 et S1, les entres de contrle synchrones. Les modes
de fonctionnement sont donns dans le Tableau 5.
Q
A
, Q
B
, Q
C
et Q
D
, sorties du registre et reprsente son
contenu. Utilises simultanment, la lecture est parallle.
Si seule la dernire est utilise, la lecture est srie.
Figure 15: registre de type 194.
@ CY Nur05 novembre 98 V1.1 5 / 10 Les systmes logiques squentiels
S1 S0 Mode de fonctionnement
0 0 Inhibition (registre fig malgr lhorloge)
0 1
Chargement srie par lentre SR, dplacement de linformation
droite (Q
A
vers Q
D
)
1 0
Chargement srie par lentre SL, dplacement de linformation
gauche (Q
D
vers Q
A
)
1 1
Chargement parallle par les entres A, B, C et D.
Tableau 5 : les modes de fonctionnement du 194.
III.2.2. Chronogrammes de fonctionnement
Figure 16 : chronogrammes de fonctionnement du 194.
IV. Les compteurs
IV.1. Introduction
La fonction qui simpose pour dnombrer des vnements numriques est le compteur.
Un compteur (counter) rsulte de lassemblage dun ensemble combinatoire et squentiel (bascules JK
le plus souvent) cadenc par un signal dhorloge H. La combinaison des n bits de sortie forme un mot
binaire qui volue en croissant ou dcroissant au rythme de lhorloge.
IV.2. Les compteurs asynchrones
IV.2.1. Introduction
La ralisation dun compteur asynchrone (asynchronous counter) consiste mettre en cascade des
bascules (assurant la fonction de diviseur par deux), dtecter la combinaison de remise zro puis
lappliquer aux entres de remise zro de chaque bascule.
Cette mthode nassure pas un fonctionnement fluide du systme : la rupture du squencement
montre le comportement asynchrone qui gnre des alas (dfauts de fonctionnement).
@ CY Nur05 novembre 98 V1.1 6 / 10 Les systmes logiques squentiels
IV.2.2. Exemple de compteur asynchrone
&
RAZ
C1
1J
R
1K
Q
Q
"1"
H
Q1 Q0
C1
1J
R
1K
Q
Q
"1"
La structure est donne la
Figure 17. Les chronogrammes
illustrant le fonctionnement sont
tracs la Figure 18.
Figure 17 : compteur asynchrone modulo 3.
Si la rupture nexiste pas, la longueur de la squence (modulo du compteur) est un poids binaire (2
n
).
Pour un modulo diffrent, le redmarrage 0 est assure aprs la dernire combinaison souhaite en
dtectant la combinaison qui succde celle de rupture laide dun systme combinatoire (une fonction
qui prend 1 pour un seul minterme). La sortie pilote alors la remise zro de toutes les bascules. La
combinaison dtecte apparat furtivement (sa prsence dpend des retards des composants).
t
t
t
1 0
0 3
t
H
Q
1
Q
0
5$=
0 3
2
Alas
Retards
1 0 2 1 0 Comb.
Figure 18 : chronogrammes du compteur asynchrone modulo 3.
IV.3. Les compteurs synchrones
Le comptage synchrone, utilise le signal de rfrence (lhorloge) pour viter le problme dalas lis au
dcodage de la combinaison de remise zro. Ceci implique que le systme volue naturellement vers sa
combinaison de bouclage. Pour cela, les entre J et K des bascules sont pilotes par un ensemble
combinatoire. Lentre reset des bascules nest plus ncessaire.
Les mthodes de synthse de ce genre de compteur nest pas prsent dans ce document.
IV.4. Mise en oeuvre des compteurs intgrs
IV.4.1. Compteurs intgrs : le prt porter numrique
Dans la pratique, on ne synthtise que trs rarement des compteurs. On prfre utiliser des fonctions
prcbles. Ces composants possdent diffrentes broches permettant dadapter le fonctionnement un
maximum de situations (standardisation).
IV.4.2. Analyse des compteurs de type 190 et 191
La rfrence 190 correspond un compteur/dcompteur par 10 tandis que le 191 est modulo 16. Mis
part ces diffrences, les fonctionnements sont identiques. Cest la raison pour laquelle nous ne nous
attacherons quau 190.
IV.4.2.1. Les entres
Clock est lentre dhorloge. Cette dernire synchronise le compteur sur ses fronts montants.
Le systme peut compter ou dcompter suivant ltat de D/ U (Down/Up).
@ CY Nur05 novembre 98 V1.1 7 / 10 Les systmes logiques squentiels
Le fonctionnement du compteur peut tre bloqu en plaant lentre CTEN (CounT ENable) au niveau
bas. Dans cette ventualit, les sorties du compteur sont figes.
A, B, C et D sont les entres de prchargement. La combinaison binaire de quatre bits devient ltat
interne du compteur (et apparat donc aussi en sortie) ds que lentre LOAD (To load = charger) passe
0. Il sagit donc dune opration de prchargement asynchrone.
IV.4.2.2. Les sorties
MAX/MIN reste 1 pendant que la combinaison en sortie est 1001 (= 9) en mode comptage et 0000 en
mode dcomptage. Elle permet dindiquer un dbordement prochain du compteur.
RCO (Ripple Clock Output) permet de synchroniser le fonctionnement dun autre compteur raliser
une mise en cascade. Relie lentre CTEN dun botier suivant, ce dernier serait bloqu durant toute la
phase de comptage du premier compteur (units par exemple) car RCO est 1. Au moment o le compteur
des units va boucler un tour , RCO se positionne 0, validant ainsi ltage suivant et permettant donc
la prise en compte du front dhorloge pour sincrmenter (dune dizaine par exemple).
IV.4.2.3. Modulos diffrents de 10
Pour raliser un compteur bouclant avant 9, on dcode le modulo (grce une fonction ET). Le rsultat,
synchronis avec lhorloge, assure un niveau 0 pour le chargement de la combinaison 0000 pralablement
fixe sur ABCD.
IV.4.3. Analyse du symbole IEC
Les symboles normalis IEC des compteurs 190 et 191 aux Figure 19 et Figure 20. Les diffrentes
notations permettent de dcrire compltement le fonctionnement de ce composant numrique.
(15)
(1)
(10)
(3)
(2)
(6)
(9)
5D
(4)
(5)
(11)
(14)
CTRDIV10
74HCT190
1,2-/1,3+
G4
M2 [DOWN]
G1
CTEN
CLK
D/U
D
A
C
B
QD
QC
QB
QA
M3 [UP]
LOAD
C5
(12)
(13)
RCO
MAX/MIN
(7)
[1]
[2]
[4]
[8]
2(CT=0)Z6
3(CT=9)Z6
6,1,4
(15)
(1)
(10)
(3)
(2)
(6)
(9)
5D
(4)
(5)
(11)
(14)
CTRDIV16
74HCT191
1,2-/1,3+
G4
M2 [DOWN]
G1
CTEN
CLK
D/U
D
A
C
B
QA
QA
QA
QA
M3 [UP]
LOAD
C5
(12)
(13)
RCO
MAX/MIN
(7)
[1]
[2]
[4]
[8]
2(CT=0)Z6
3(CT=15)Z
6
6,1,4
Figure 19 : le 190. Figure 20 : le 191
Le symbole est form de deux blocs essentiels. Le cadre de contrle ou de commande (en haut)
caracatris par les deux chancrures. Il regroupe toutes les indications concernant lensemble de la
fonction. Dans sa partie suprieure, on remarque la fonction ralise (compteur diviseur par 10 ou 16). Le
cadre du bas est subdivis en quatre parties limage des quatre bits de donnes. Les numros de broche
sont toujours indiqus entre parenthses. Toutes les indications lintrieur des cadres sont normalises,
cest dire invariantes et immuables. Ce qui est lextrieur est la prrogative de lutilisateur (mais il est
conseill de conserver les indications donnes par le constructeur).
On retrouve les notations classiques de la norme IEC : les numros repres qui renvoient aux broches (
ne pas confondre avec le numro des broches), les notations de dpendance des broches (G pour une entre
doprateur ET, Z pour une liaison interne directe), M pour les modes de fonctionnement, C pour un
contrle et D pour une fonction mmoire (entre de bascule D). Les annotations entre crochets sont
indicatives (ici ce sont les poids binaires des entres et sorties).
On peut dire que ce compteur agit sur quatre groupes de 1 bit. Les tats des entres A, B, C et D sont
mmorises si la broche correspondant au repre 5 est active, cest dire une demande de prchargement
par un tat 0 sur la broche LOAD (on remarque bien quun contrle est assur par cette entre). La
notation 5D nest indique que dans la premire case et doit tre duplique dans celles du dessous.
Deux modes (lettre M) de fonctionnement sont possibles. La broche D/ U assure les modes comptage
ou dcomptage : les repres 2 et 3 renvoient leffet de lhorloge (signes + et -). Dailleurs, des
indications entre crochets finissent de nous renseigner quant leffet observ. On remarque aussi que
@ CY Nur05 novembre 98 V1.1 8 / 10 Les systmes logiques squentiels
lhorloge est active sur front montant (> sans complmentation) et que, sur niveau bas, par le repre 4, elle
participe llaboration du signal RCO (on peut dire que celui-ci est issu du produit logique de CLK,
CTEN et MAX/MIN).
Leffet de lhorloge H est autoris par la broche CTEN puisque ces entres sont lies par un ET (lettre
G, repre 1). On voit aussi trs bien lincidence du mode de fonctionnement (repres 2 et 3).
La sortie MAX/MIN est issue dun OU cbl entre les tats 0 (dans le sens du dcomptage, donc mode
2) et 9 (ou 15, dans le sens du comptage, donc mode 3) du compteur.
IV.4.4. Chronogrammes de fonctionnement (cas du 191)
Figure 21 : chronogrammes de fonctionnement du 191.
V. Les mmoires
V.1. Introduction
Les mmoires (memory-ies) sont les lments permettant la sauvegarde de donnes en quantit variable.
Le symbole fonctionnel est prsent la Figure 22. Ladresse est le mot binaire qui permet de localiser la
donne qui entre et sort par un canal unique appel bus de donnes qui est bidirectionnel (deux sens
possibles).
@ CY Nur05 novembre 98 V1.1 9 / 10 Les systmes logiques squentiels
Mmoire
2
p
donnes de n bits
n
8us de donne 0
(o|d|recl|onne|)
8us d'adresse /
(un|d|recl|onne|)
p
CS
Chip select
WE
Write Enable
Figure 22: bloc fonctionnel mmoire.
Dans le cas gnral, le fonctionnement dune mmoire est dcoup en deux cycles.
Mcanisme de lecture :
appliquer le mot adresse sur le bus dadresse,
slectionner le botier mmoire en appliquant un niveau logique bas sur la ligne CS ,
slectionner le mode lecture en appliquant un niveau logique haut sur la ligne WE ,
ds cet instant, ladresse est dcode et la donne correspondante se prsente sur les
sorties de donnes (D
i
),
le retour de CS ltat haut fait passer le bus de donnes ltat haute impdance.
Mcanisme dcriture :
appliquer le mot dadresse sur le bus dadresse,
appliquer le mot de donne sur le bus de donnes,
slectionner le botier mmoire en appliquant un niveau logique bas sur la ligne CS ,
slectionner le mode criture en appliquant un niveau logique bas sur la ligne WE ,
ds cet instant, ladresse et dcode et les donnes prsentes en entres sont prises en compte si
elles demeurent pendant une dure suffisante.
V.2. Les diffrents types de mmoires
Les mmoires sont classes suivant deux familles :
mmoires mortes (en anglais, ROM pour Read Only Memory, mmoire lecture seule) ;
mmoires vives (en anglais, RAM pour Random Access Memory, mmoire lecture alatoire).
V.2.1. Les mmoires mortes
Le contenu est fix la construction ou par lutilisateur. La disparition de lalimentation lectrique
naltre pas le contenu.
Les ROM sont utilises pour stocker des informations figes telles que les gnrateurs de caractres
matriciels, les programmes fixes dans des machines programmes ou les tables de conversion de donnes.
V.2.2. Les mmoires vives
On distingue deux types de RAM : statiques (le contenu est modifi par un nouveau) ou dynamiques
(linformation est conserve par un condensateur et il faut la rgnrer). Toute disparition de lalimentation
lectrique efface le contenu.
Ds quun systme doit conserver temporairement des informations, la RAM trouve sa place. En
informatique, elles sont largement mises en uvre en quantits importantes (plus de 16 Mo en micro-
informatique et plusieurs centaines de mga octets en miniinformatique).
V.2.3. Les mmoires programmables et effaables par lutilisateur
Les mmoires programmables sont intermdiaires entre les RAM et les ROM. Leur contenu peut tre
dfini par lutilisateur et subsister sans alimentation lectrique. Leur mise en uvre est aussi aise que
celle des RAM sans leur volatil inconvnient.
@ CY Nur05 novembre 98 V1.1 10 / 10 Les systmes logiques squentiels
On en rencontre de diffrentes familles :
les PROM (Programmable ROM) sont composes de liaisons que lon peut dtruire une seule
fois ;
les rseaux logiques programmables (PLA, Programmable Logic Array), une sorte de PROM
adapte la confection de circuits combinatoires ;
les composants logiques programmables (PLD, Programmable Logic Device) offrent un
ensemble de structures squentielles et combinatoires printgres. Des connexions fusibles
permettent de programmer le composant suivant les besoins ;
les mmoires effaables (EPROM, Erasable PROM).
les mmoires effaables lectriquement (EEPROM, Electrical Erasable PROM).
Les mmoires PROM sont bien adaptes aux ensembles fabriqus en sries restreintes ou souvent
renouveles. Les EPROM trouvent emploi dans les petites sries et les prototypes. Quant aux mmoires
EEPROM, elles sont bien adaptes la sauvegarde hors alimentation des paramtres de configuration.

Вам также может понравиться