Вы находитесь на странице: 1из 8

UNIVERSIDAD PRIVADA DEL NORTE

CURSO: ELECTRONICA DIGITAL

TEMA: CONTADOR DE PERSONAS QUE INGRESAN A UN METROPOLITANO

INTEGRANTES
LUQUE OLAYA,FRANS JEANCARLO

SAMANIEGO MELO, OSCAR LAZARO CORAL, JUAN CARLOS BOLIVAR RUNCIMAN, EDUARDO MEDINA GARCIA SHIRLEY

2012

1. Descripcin Nos permitir tener el conteo de personas que ingresen al Metropolitano, para luego poder realizar la estadstica necesaria del Negocio. Todo esto es gracias a la implementacin del flip-flop asncrono, un display y un sensor. 2. Objetivo 2.1 Objetivo Generales Implementar todo lo aprendido en el curso. Disear y armar un circuito contador con flip-flops que muestre en un display los nmeros del sistema decimal. 2.2 Objetivos Especficos Aplicar los conocimientos adquiridos en clase con respecto a flip flops y compuertas lgicas. Elaborar nuestro diseo prcticamente. Realizar el diseo del circuito de tal forma que a la salida tengamos los valores decimales diferenciados cada uno entre ellos. Adiestrarnos en el correcto uso de los diferentes circuitos integrados y dems. Implementacin del contador dentro de un negocio. Contar la cantidad de personas que pasan sobre un determinado punto.

3. Marco Terico 3.1 FLIP-FLOP JK El smbolo lgico para un flip-flop JK es el siguiente:

Figura 1: Smbolo lgico de un flip-flop JK Este flip-flop se denomina como universal ya que los dems tipos se pueden construir a partir de l. En el smbolo anterior hay tres entradas sncronas (J,K y CLK). Las entradas J y K son entradas de

datos, y la entrada de reloj transfiere en dato de las entradas a las salidas. A continuacin veremos la tabla de la verdad del flip-flop JK:

Figura 2: Tabla de verdad para un flip-flop JKJK 3.2 Display de 7 Segmentos El display de siete segmentos es una forma de representar nmeros en equipos electrnicos. Est compuesto de siete segmentos que se pueden encender o apagar individualmente. Cada segmento tiene la forma de una pequea lnea. Se podra comparar a escribir nmeros con cerillas o fsforos de madera. 3.2.1 Funcionamiento El display de 7 segmentos es un componente que se utiliza para la representacin de nmeros en muchos dispositivos electrnicos debido en gran medida a su simplicidad. Aunque externamente su forma Difiere considerablemente de un diodo LED (diodos emisores de luz) tpico, internamente estn constituidos por una serie de diodos LED con unas determinadas conexiones internas, estratgicamente ubicados de tal forma que forme un nmero 8. A cada uno de los segmentos que forman el display se les denomina a, b, c, d, e, f y g y estn ensamblados de forma que se permita activar cada segmento por separado consiguiendo formar cualquier dgito numrico. A continuacin se muestran algunos ejemplos: Si se activan o encienden todos los segmentos se forma el nmero "8". Si se activan slo los segmentos: "a, b, c, d, e, f," se forma el nmero "0". Si se activan slo los segmentos: "a, b, g, e, d," se forma el nmero "2". Si se activan slo los segmentos: "b, c, f, g," se forma el nmero "4".

Muchas veces aparece un octavo segmento denominado p.d. (punto decimal). Los diodos led trabajan a baja tensin y con pequea potencia, por tanto, podrn excitarse directamente con puertas lgicas. Normalmente se utiliza un codificador (en nuestro caso decimal/BCD) que activando un solo pins de la entrada del codificador, activa las salidas correspondientes mostrando el nmero deseado. Recordar tambin que existen display alfanumricos de 16 segmentos e incluso de una matriz de 7*5 (35 bits). Los hay de dos tipos: nodo comn y ctodo comn. En los de tipo de nodo comn, todos los nodos de los leds o segmentos estn unidos internamente a una patilla comn que debe ser conectada a potencial positivo (nivel 1). El encendido de cada segmento individual se realiza aplicando potencial negativo (nivel 0) por la patilla correspondiente a travs de una resistencia que lmite el paso de la corriente. En los de tipo de ctodo comn, todos los ctodos de los leds o segmentos estn unidos internamente a una patilla comn que debe ser conectada a potencial negativo (nivel 0). El encendido de cada segmento individual se realiza aplicando potencial positivo (nivel 1) por la patilla correspondiente a travs de una resistencia que lmite el paso de la corriente. Los segmentos pueden ser de diversos colores, aunque el display ms comnmente utilizado es el de color rojo, por su facilidad de visualizacin. 4. Decodificador bcd a 7 segmentos La funcin bsica de un decodificador es detectar la presencia de una determinada combinacin de bits (cdigo) en sus entradas y sealar la presencia de este cdigo mediante un cierto nivel de salida. Un ejemplo de aplicacin es el decodificador BCD a 7 segmentos. Este tipo de decodificador acepta cdigo BCD en sus entradas y proporciona salidas capaces de excitar un display de 7 segmentos para indicar un dgito decimal.

5. Descripcin general El contador fotoelctrico que se describe en este proyecto es un circuito que cuenta la cantidad de veces que un objeto opaco se interpone entre un rayo de luz y un sensor ptico. El estado de la cuenta se visualiza en tres displays de siete segmentos, permitiendo la cuenta en lnea hasta de 999 objetos. El contador utiliza como sensor un LDR (resistencia dependiente de la luz) o fotocelda. La luz puede provenir de una fuente natural (sol) o artificial (lmparas incandescentes, fluorescentes, de nen, etc.). Cuando la cuenta llega a su tope mximo (999), el circuito la reinicia nuevamente en 0 y enva una seal de sobreflujo que puede utilizarse externamente para ampliar la longitud del conteo a 4 ms dgitos. El circuito tambin proporciona la facilidad de borrar la cuenta (reset) o detenerla (stop) en cualquier momento. No utiliza partes mviles y es extremadamente compacto, gracias a la adopcin de una tcnica digital conocida como mutiplex por divisin de tiempo. Al no existir contacto fsico entre el sensor y el mundo externo, el sistema garantiza la ausencia de desgaste mecnico y permite contar objetos de cualquier ndole, sin importar su forma o su peso. Esta es una de sus principales ventajas. Los contadores fotoelctricos se utilizan en una gran variedad de aplicaciones, domsticas e industriales, y sustituyen a los contadores electromecnicos convencionales en numerosas situaciones. Se pueden emplear para contar personas, animales y objetos como hojas, botellas, latas, cajas, bolsas, etc.

6. Operacin En la figura fotoelctrico. conformador decodificador 1 se muestra el diagrama de bloques de nuestro contador El sistema consta, bsicamente, de un sensor de luz (LDR), un de pulsos, un contador BCD de 3 dcadas multiplexado, un de BCD a siete segmentos y un displays de 3 dgitos.

En la figura 2 se muestra el circuito correspondiente al conformador de pulsos. En condiciones normales, la fuente de luz ilumina la fotocelda y su resistencia es muy baja. Como resultado, la entrada del inversor Schmitt-trigger recibe un alto y su salida es baja.

Cuando se interpone un objeto entre el rayo de luz y la fotocelda, la resistencia de esta ltima aumenta, aplicando un bajo a la entrada del inversor Schmitt-trigger. Como respuesta, la salida del circuito realiza una transicin de bajo a alto, es decir, produce un flanco de subida. Cuando el objeto deja de interrumpir el rayo de luz, la resistencia de la fotocelda disminuye y la salida del inversor se hace nuevamente baja. El resultado neto de este proceso es la emisin de un pulso positivo de voltaje. Este pulso se aplica al contador. Las fotoceldas no responden inmediatamente a los cambios en la intensidad de la luz incidente y, por tanto, generan seales lentas. Esta es la razn por la cual se emplea una compuerta Schmitt-trigger como dispositivo conformador de pulsos. El potencimetro R2 permite ajustar la sensibilidad de la fotocelda de acuerdo a la

intensidad de la luz incidente. La resistencia R1 sirve de proteccin, evitando que circule una corriente excesiva cuando el potencimetro est en su posicin de mnima resistencia y la LDR est iluminada. El contador de pulsos es el corazn de este proyecto. Est desarrollado alrededor de un circuito integrado MC14553. Este chip, consiste de tres contadores BCD conectados en cascada. El primer contador registra, en cdigo BCD, las unidades, el segundo las decenas y el tercero las centenas del nmero de pulsos. Por ejemplo, si han ingresado 319 pulsos, en las salidas del primer contador se tendr el cdigo BCD 0011 (3), en las salidas del segundo el cdigo 0001 (1) y en las salidas del tercero el cdigo 1001 (9). Estos tres cdigos se rotan secuencialmente en las salidas del contador MC 14553, apareciendo cada uno durante una pequea fraccin de tiempo ( 1.6 ms). Esta forma de presentar informacin digital se conoce como multipLex por divisin de tiempo. Las salidas del contador alimentan un decodificador 4543B, el cual convierte cada cdigo BCD en un cdigo de siete segmentos que excita, secuencialmente, los displays encargados de visualizar las unidades, decenas y centenas de la cuenta. En la figura 3 se muestra el diagrama esquemtico completo del contador fotoelctrico. Los pulsos provenientes del conformador se aplican al pin 12 del MC14553. Para que la cuenta ocurra, las lneas MR (reset maestro, pin13) y DIS (inhibidor, pin11) deben estar ambas en bajo. Para iniciar la cuenta a partir de 000 cancelarla en cualquier momento, debe pulsarse el botn de borrado S1 (RESET). De este modo, la lnea MR (reset maestro pin 13) del MC14553 recibe un alto y todas las salidas BCD de sus contadores internos se hacen iguales a 0000. Para detener la cuenta y congelarla en el ltimo valor registrado, sin borrarla, debe pulsarse el botn de paro S2 (STOP). Cuando esto se hace, la lnea DIS (inhibidor, pin 11) del MC14553 recibe un alto y se inhibe la operacin de los contadores BCD internos. El condensador C1 determina la frecuencia de exploracin, es decir, la rapidez con la cual el MC14553 muestra secuencialmente en sus salidas los cdigos de las unidades, decenas y centenas de la cuenta actual.

Вам также может понравиться