Вы находитесь на странице: 1из 15

INSTITUTO POLITECNICO NACIONAL UNIDAD PROFESIONAL INTERDISCIPLINARIA DE INGENIERIA Y CIENCIAS SOCIALES Y ADMINISTRATIVAS

Ingeniera en Informtica

Aplicaciones de Sistemas Digitales

Prctica VIII Multivibradores

Participantes: ______________________________________________________ ______________________________________________________ ______________________________________________________ ______________________________________________________

Profesor:

Fecha de realizacin:

I P N_UPIICSA_APLICACIONES DE SISTEMAS DIGITALES_COMPUERTAS LGICAS A

Practica VIII Multivibradores Objetivo: Conocer los distintos tipos de flip-flop como cajas negras y aplicarlos al diseo de circuitos contadores. Introduccin: Los circuitos multivibradores: hay tres tipos de multivibradores el monoestable, Biestable y Astable. El multivibrador monoestable: este tipo de multivibrador estado en alto en un periodo muy largo de tiempo lo que hace es pasar de un estado cero a un

El multivibrador biestable: este tipo de multivibrador lo que realiza es pasar de un estado cero a uno varias veces pero en tiempo son de diferente tiempos.

El multivibrador Astable o Estable: este tipo de multivibrador que no tiene un estado estable de operacin; oscila entre sus estados SET y RESET a una frecuencia fija. El multivibrador estable produce una seal de reloj para operar otras unidades digitales.

Trabajando con los Astables o Estables. Como bien se menciona en la definicin de los multivibradores Astables, esta versin la utilizaremos para el diseo de circuitos conocidos como reloj (clk), es por lo cual para poder trabajar con diseos posteriores disearemos un circuito de reloj con una frecuencia de un 1 hertz.

Hay dos formas de calcular la red RC: a) Recurrir a las graficas proporcionadas por el fabricante. b) Aplicar la ecuacin que rige la carga y descarga de un capacitor.

Utilizando los grficos:


El fabricante provee un grafico como el de la figura sig.

Pgina 2

I P N_UPIICSA_APLICACIONES DE SISTEMAS DIGITALES_COMPUERTAS LGICAS A

Conocida la frecuencia del oscilador, para calcular C1,R1 y R2 se adopta un valor de C1 y por medio de la curva, se extrae el valor de (R1 +2 R2) correspondiente. Luego, se adopta R1 segn algn criterio prefijado calculando posteriormente el valor de R2. Veamos un ejemplo para una frecuencia de oscilacin de 1000Hz. 1.- Adopto C1 =0,1 f. 2.- De la curva surge que: ( )

El criterio que elijo para la adopcin de R1 es que el tiempo de carga de C1 sea casi igual al tiempo de descarga; por lo tanto, R1 debe ser mucho menor que R2. Adopto entonces: R1=680 Despejando de la formula dada:

Luego: Pgina 3

I P N_UPIICSA_APLICACIONES DE SISTEMAS DIGITALES_COMPUERTAS LGICAS A

Como 7.160 no es un valor comercial para resistencias, se adopto R2 =6.800 k que es el valor comercial mas cercano ya sea mayor o menor al calculado (se cumple que R2 >>R1 ).

Utilizando frmulas de clculo:

Como se puede ver en la fig. Anterior tenemos un tiempo en alto T.A. el cual debe de ser el 50 % del periodo T, al igual que el tiempo en bajo T.B. que debe de ser el otro 50%, para poder tener un buen funcionamiento con los biestables. La frecuencia del circuito se puede determinar como sigue:

quedando

El diseo del circuito preestablecido queda de la siguiente manera.

Pgina 4

I P N_UPIICSA_APLICACIONES DE SISTEMAS DIGITALES_COMPUERTAS LGICAS A

Para calcular los tiempos de forma indibidual. Primero tiempo en alto: Ta = 0.7 (R1 + R2) C1 Despus tiempo en bajo Tb = 0.7 R2 C1

Se propone C1 Para calcular la R2

Para calcular despues la R1

por ejemplo: R1 = 100 k R2 = 1 M C1 = 0.001 f Ta = 0.7 (1000000+100000) 0.000000001f Ta =0.00077 segundos = 0.77 ms De la misma manera: Tb = 0.7 1000000 0.000000001f Tb =0.0007 segundos = 0.7 ms

Pgina 5

I P N_UPIICSA_APLICACIONES DE SISTEMAS DIGITALES_COMPUERTAS LGICAS A

Flip-flop Uno de los elementos bsicos de memoria son los llamado Flip Flops. El estado de un flip flop cambia por un cambio momentneo en sus entradas. Este cambio se denomina disparo (trigger). En los latch bsicos definidos al comienzo (SR con compuertas NAND o NOR) se necesitaba un disparo de entrada definido por un cambio de nivel. Este nivel debe regresar a su nivel inicial antes de aplicar otro disparo. Los FF con reloj eran disparados por pulsos. La realimentacin entre la circuiteria combinacional y el elemento de memoria puede producir inestabilidad, haciendo que el FF cambie varias veces durante la duracin de un pulso de reloj por lo que el intervalo de tiempo desde la aplicacin del pulso hasta que ocurre la transicin de la salida es un factor crtico. Una manera de resolver este problema es hacer que los FF sean sensitivos a la transicin del pulso ms que a la duracin. Hay dos maneras de hacerlo y que dan origen a dos tipos de flip flops: los flip flops maestro esclavo y los flip flops disparados por flanco.

Flip-Flop maestro-esclavo Un flip flop maestro-esclavo se construye con dos FF, uno sirve de maestro y otro de esclavo. Durante la subida del pulso de reloj se habilita el maestro y se deshabilita el esclavo. La informacin de entrada es transmitida hacia el FF maestro. Cuando el pulso baja nuevamente a cero se deshabilita el maestro lo cual evita que lo afecten las entradas externas y se habilita el esclavo. Entonces el esclavo pasa al el mismo estado del maestro. El comportamiento del flip-flop maestro-esclavo que acaba de describirse hace que los cambios de estado coincidan con la transicin del flanco negativo del pulso.

Flip-Flop disparado por flanco Otro tipo de FF que sincroniza el cambio de estado durante la transicin del pulso de reloj es el flip flop disparado por flanco. Cuando la entrada de reloj excede un nivel de umbral especifico ( threshold level), las entradas son aseguradas y el FF no se ve afectado por cambios adicionales en las entradas hasta tanto el pulso de reloj no llegue a cero y se presente otro pulso. FLIP-FLOP D disparado por flanco positivo Algunos FF cambian de estado en la subida del pulso de reloj, y otros en el flanco de bajada. Los primeros se denominaran Flip flop disparados por flanco positivo y los segundos Flip-flops disparados por flanco negativo. La distincin entre unos y otros se indicar con la presencia o ausencia de una negacin en la entrada de reloj como se muestra en las figuras siguientes.

El cambio de estado se realiza en el flanco de subida del pulso de reloj

Pgina 6

I P N_UPIICSA_APLICACIONES DE SISTEMAS DIGITALES_COMPUERTAS LGICAS A

El cambio de estado se realiza en el flanco de subida del pulso de reloj

Se tienen diferentes tipos de flip-flop empezaremos por el tipo S-R.

Como podemos ver en su representacin esquemtica, el flip-flop R-S es un bloque fundamental que consta de dos terminales de entrada, la terminal de "ajuste" S (set) y la terminal de "reajuste" R (reset); as como dos terminales de salida Q y Q', las cuales, como su notacin lo indica, son complementarias, son el inverso la una de la otra. La palabra combinada "flip-flop" viene del ingls empezando con la palabra flip que es utilizada cuando se usa un interruptor de encendido para prender algo como las luces de la sala de la casa, situacin en la que se acostumbra decir "flip the switch", mientras que la palabra flop, derivada de la palabra flip, tiene una intencin opuesta, usndose cuando se va a apagar algo a travs del mismo interruptor de encendido. Las caractersticas del flip-flop R-S son las siguientes, suponiendo que al empezar ambas entradas R y S estaban siendo alimentadas con un cero (0) lgico: (1) Cuando S=1 y R=0; o sea, al poner un 1 en la terminal de entrada S, el flip-flop R-S entra en el estado Q=1 independientemente del valor que Q haya tenido anteriormente. La salida Q' a su vez adquirir el valor Q' =0. (2) Cuando S=0 y R=1; o sea, al poner un 1 en la terminal de entrada R, el flip-flop R-S entra en el estado Q=0 independientemente del valor que Q haya tenido anteriormente. La salida Q' a su vez adquirir el valor Q' =0. En lenguaje vulgar, se dice que el flip-flop R-S es reseteado. Se tiene una condicin prohibida que es cuando se tiene en SET= RESET = 1.

Pgina 7

I P N_UPIICSA_APLICACIONES DE SISTEMAS DIGITALES_COMPUERTAS LGICAS A

S 0 0 1 1

R 0 1 0 1

Qn +1 Qn 0 1 ?

Flip-flop tipo D

La operacin de un FF tipo D es mucho ms simple. Solo posee una entrada adems de la del reloj. Se le denomina "data" y es muy til cuando queremos almacenar un dato de un bit (0 o 1). Si hay un 1 en la entrada D cuando se aplica el pulso de reloj la salida Q toma el valor de 1 (SET) y lo almacena. Si hay un 0 en la entrada D, cuando se aplica el pulso de reloj la salida toma el valor de 0 (RESET) y lo almacena. El cambio en la salida del FF se efecta en el flanco de bajada del reloj. La tabla caracterstica resume el comportamiento del FF tipo D disparado por flanco negativo.

D 0 1

Qn +1 0 1

Flip-flop tipo J-K

Pgina 8

I P N_UPIICSA_APLICACIONES DE SISTEMAS DIGITALES_COMPUERTAS LGICAS A

Las caractersticas del flip-flop J-K son las siguientes: (1) Cuando J=1 y K=1, al ir la entrada de la terminal de reloj C (clock) de 1 a 0 nada ocurre y el flip-flop J-K retiene el estado que posea anteriormente. (2) Cuando J=1 y K=0, al ir la entrada C de 1 a0 el flip-flop J-K tomar el estado Q=1 independientemente del estado en el que se encontraba anteriormente. (3) Cuando J=0 y K=1, al ir la entrada C de 1 a 0 el flip-flop J-K tomar el estado Q=0 independientemente del estado en el que se encontraba anteriormente. (4) Cuando J=0 y K=0, al ir la entrada C de 1 a 0 el flip-flop J-K tomar un estado opuesto a aqul en el cual se encontraba anteriormente. Esto quiere decir que si antes de la transicin en la terminal C de 1 a 0 el flipflop J-K se encontraba en el estado Q=1, entonces tomar el estado Q=0 despus de la transicin. Asimismo, si se encontraba en el estado Q=0 antes de la transicin, entonces tomar el estado Q=1 despus de la transicin. J K Qn +1 0 0 Qn 0 1 0 1 0 1 1 1

Flip-flop tipo T

Solo posee una entrada adems de la del reloj. Se le denomina "toggle". Si hay un 0 en la entrada T, cuando se aplica el pulso de reloj la salida mantiene el valor del estado presente. Si hay un 1 se complementa La tabla caracterstica resume el comportamiento del FF tipo T disparado por flanco negativo.

Pgina 9

I P N_UPIICSA_APLICACIONES DE SISTEMAS DIGITALES_COMPUERTAS LGICAS A

T 0 1

Qn +1

Se tienen dos tipos de tabla para trabajar con los flip-flop las cuales son tabla de excitacin y tabla de transicin, el cmo se utilizan, es de la siguiente manera, la de excitacin es referente, a que se tiene, en las entradas, que quieres conseguir en las salidas y la de transicin, es que quieres conseguir en las salidas, que necesitas a la entrada del flip-flop. A continuacin se colocaran las tablas de transicin, que ser con las que se trabajara, el siguiente tema que son contadores. Q : Es el estado presente. Qn : Es el estado siguiente. Despus se coloca la entrada del flip-flop con el que se est trabajando. Recordando que se lee de la siguiente manera del estado presente al estado siguiente que necesito en la entrada del flip-flop. Para el flip-flop tipo D Q 0 0 1 1 Para el flip-flop tipo J-K. Q 0 0 1 1 Para el flip-flop tipo T. Q 0 0 1 1 Qn 0 1 0 1 T 0 1 1 0 Qn 0 1 0 1 J 0 1 X X K X X 1 0 Qn 0 1 0 1 D 0 1 0 1

Como el flip-flop tiene una condicin imposible no puede ser utilizado para los circuitos contadores. Circuitos contadores En electrnica es bastante frecuente verse necesitado de contabilizar eventos y por tanto se requiere un contador, en nuestro caso se trata de un contador electrnico digital. Por otra parte, en nuestros das estamos rodeados de dispositivos que disponen de algn tipo de contador digital, incluso en la mayora de los electrodomsticos vienen equipados con uno. Por ejemplo, en los hornos de microondas para llevar el conteo regresivo, en las lavadoras para medir el tiempo de un ciclo de lavado, en un banco para indicarnos el numero de ficha que sigue, etc. Un contador digital, bsicamente consta de una entrada de impulsos que se encarga de conformar las seales, de manera que el conteo de los pulsos no sea alterado por seales no deseadas, las cuales pueden falsear el resultado final. Estos impulsos son acumulados en un contador propiamente dicho cuyo resultado, se presenta mediante un visor que puede estar constituido por una seria de sencillos dgitos de siete segmentos o en su caso mediante una sofisticada pantalla de plasma.

Pgina 10

I P N_UPIICSA_APLICACIONES DE SISTEMAS DIGITALES_COMPUERTAS LGICAS A

A continuacin se muestra como seria la representacin de un circuito contador.

Como se podr notar en la imagen anterior, no se tienen variables de entrada, solo tenemos de salida, las cuales son retroalimentadas al circuito contador, se tiene como condicin de arranque, cuando el contador est limpio, es decir cuando se encuentra en el estado cero, el cual se encuentra en la salida y a su vez en la entrada, de ah hasta que se presente un flanco que viene del clk, es cuando entra al circuito contador, se realiza la operacin y avanza al estado que le indica el diseo, ahora tenemos a la salida un estado distinto al inicial, el cual es retroalimentado a la entrada y avanzara al estado que se le indique cuando se presente el siguiente flanco y as continuara trabajando cada vez que se presente un flanco. A continuacin se mostraran los pasos a seguir para realizar un circuito contador. Ejemplo: Contador modulo-4 Ascendente Antes de empezar es necesario definir lo que es un modulo, ya que llega a pasar, que es confundido, con el valor que toma el estado por orden de peso.

peso 0 1 2 3

modulo Mod-1 Mod-2 Mod-3 Mod-4

A 0 0 1 1

B 0 1 0 1

Una vez que sabemos, cuntos estados sern necesarios para representar el mod-4, lo que sigue es plantearlo en un diagrama de estados, en el cual se le dar direccin al contador. Para poder darle direccin a las flechas, que nos indicaran la direccin del contador; Si es en sentido de las manecillas del reloj, es de forma ascendente y si es en contra de las manecillas, ser descendente, como lo muestra la figura siguiente.

Pgina 11

I P N_UPIICSA_APLICACIONES DE SISTEMAS DIGITALES_COMPUERTAS LGICAS A

Una vez establecido lo anterior, lo que sigue es plantear nuestro diagrama de estados, segn nuestro ejemplo. El cual quedara como lo muestra la figura siguiente.
00

11

01

10

Bueno ya que tenemos nuestro diagrama de estados, lo que sigue es llenar nuestra tabla de transicin. Estado presente A 0 0 1 1 B 0 1 0 1 Estado siguiente Que se necesita en la entrada del f-f. DA DB 0 1 1 0 1 1 0 0

A 0 1 1 0

B 1 0 1 0

La imagen anterior muestra como queda la tabla de transicin ya llenada la cual est basada en la tabla siguiente. Q 0 0 1 1 Qn 0 1 0 1 D 0 1 0 1

Para poder llenar la tabla de transicin es necesario comparar del estado presente, al estado siguiente bit a bit, esto quiere decir de variable a variable, que sera de esta forma, A del estado presente, con A del estado

Pgina 12

I P N_UPIICSA_APLICACIONES DE SISTEMAS DIGITALES_COMPUERTAS LGICAS A

siguiente, de la misma forma para la variable B. con la tabla que se obtiene es necesario determinar su expresin ya sea con mini trminos o mapas K. Con mini trminos quedara de la siguiente manera: DA = DA = DB = DB = DB = ( )

Para trabajarlo con el software OPAL lo aremos de la siguiente manera:

begin header contador mod-4 end header begin definition Device gal22v10; Inputs CLK=1; Feedback (reg) A=14, B=15; end definition begin equation A = A$B; B = /B; end equation abrimos el rea de ecuaciones para el caso de los contadores es necesario representar las variables de entrada igual que las de salida cerramos el rea de ecuaciones

nota: lo que est escrito en verde son comentarios, que no se ponen en el programa.

Lista de materiales para el proceso del programa Computadora personal. Software OPAL. Software Max Loader. Programador Top Max 2

Lista de materiales para armado. Fuente de alimentacin de 5V A 1Amp. Un protoboard. Un C.I. Gal22v10D UN Dip-Switch de 4 a 8 interruptores. 5 Resistencias de 330. Un C.I. 555

Pgina 13

I P N_UPIICSA_APLICACIONES DE SISTEMAS DIGITALES_COMPUERTAS LGICAS A

1 resistencia de 2.2k. 1 resistencia de 22k. 1 capacitor de 100 f a 16 volts. 1 capacitor de 0.01 f. Alambre de conexiones.

Desarrollo: 1.- Realizar el armado de un circuito generador de pulsos (reloj), de un hertz. 2.- Disear un circuito contador modulo-6 de forma asc, con flip-flop tipo D, con el mtodo de ecuaciones. - Basando en el ejemplo de diseo de contadores. 3.- Disear el decodificador para poder representar los nmeros en un display de 7 segmentos. Del diseo anterior. - Puede utilizar el mtodo de tabla.

Pgina 14

I P N_UPIICSA_APLICACIONES DE SISTEMAS DIGITALES_COMPUERTAS LGICAS A

Nombre del estudiante:_______________________________________________________________________________ Cuestionario: 1.- Disear un circuito contador modulo 5 descendente con flip-flop tipo D. Representar el diagrama de estados correspondiente. Determinar la tabla de transicin. Determinar las ecuaciones con el mtodo necesario. 2.- Del ejemplo anterior realice todos los pasos para el flip-flop tipo J-K y posterior mente para el tipo T 3.- Realizar los clculos necesarios para disear un circuito, que nos genere una frecuencia de 10 hertz.

Pgina 15

Вам также может понравиться