Вы находитесь на странице: 1из 9

2/19/13

ASIC-System on Chip-VLSI Design: Clock Definitions

ASIC-System on Chip-VLSI Design

Like

15

Send

Home DFT

Links

Verilog

Low Power VLSI

Static Timing Analysis

Physical Design

Synthesis

Formal Verification

Ads by Google

Clock Jitter

Clock Tree

Atomic Clock Sync

Time Clock

Clock Definitions
Rising and falling edge of the clock For a +ve edge triggered design +ve (or rising) edge is called leading edge whereas ve (or falling) edge is called trailing edge. For a -ve edge triggered design ve (or falling) edge is called leading edge whereas +ve (or rising) edge is called trailing edge.

To subscribe latest articles enter your email address:

Subscribe

SUBSCRIBE

Posts Comments

TOP POSTS

basic clock Minimum pulse width of the clock can be checked in PrimeTime by using commands given below: set_min_pulse_width -high 2.5 [all_clocks] set_min_pulse_width -low 2.0 [all_clocks] These checks are generally carried out for post layout timing analysis. Once these commands are set, PrimeTime checks for high and low pulse widths and reports any violations. Capture Clock Edge The edge of the clock for which data is detected is known as capture edge.

Process-VoltageTemperature (PVT) Variations and Static Timing Analysis The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high s... Backend (Physical Design) Interview Questions and Answers Below are the sequence of questions asked for a physical design engineer. In which field are you interested? Answer to this question... Clock Definitions lock Definitions: Rising and falling edge of the clock For a +ve edge triggered design +ve (or rising) edge is called ... Embedded System for Automatic Washing Machine using Microchip

Launch Clock Edge

asic-soc.blogspot.com/2009/01/clock-definitions.html

1/9

2/19/13

ASIC-System on Chip-VLSI Design: Clock Definitions

This is the edge of the clock wherein data is launched in previous flip flop and will be captured at this flip flop.

PIC18F Series Microcontroller The design uses the PIC18F series microcontroller. All the control functionalities of the system are built around this. Upgradeability is th... Clock Gating Clock tree consume more than 50 % of dynamic power. The components of this power are: 1) Power consumed by combinatorial log... Temperature controller using Microchip PIC16F877A Microcontroller In continuously monitoring the surrounding temperature of industrial applications Temperature Monitoring Control System play v... Power Planning There are two types of power planning and management. They are core cell power management and I/O cell power management . In former one VDD... Physical Design Questions and Answers I am getting several emails requesting answers to the questions posted in this blog. But it is very difficult to provide detailed answer ... Clock Tree Synthesis (CTS) The goal of CTS is to minimize skew and insertion delay. Clock is not propagated before CTS as shown in Figure (1). Figure (1) Ideal clock b... Transition Delay and Propagation Delay Transition Delay Transition delay or slew is defined as the time taken by signal to rise from 10 %( 20%) to the 90 %( 80%) of its maximum ...

launch clock and capture clock Skew Skew is the difference in arrival of clock at two consecutive pins of a sequential element is called skew. Clock skew is the variation at arrival time of clock at destination points in the clock network. The difference in the arrival of clock signal at the clock pin of different flops. Two types of skews are defined: Local skew and Global skew. Local skew Local skew is the difference in the arrival of clock signal at the clock pin of related flops. Global skew Global skew is the difference in the arrival of clock signal at the clock pin of non related flops. This also defined as the difference between shortest clock path delay and longest clock path delay reaching two sequential elements.

local and global skew Skew can be positive or negative. When data and clock are routed in same direction then it is Positive skew. When data and clock are routed in opposite direction then it is negative skew. Positive Skew If capture clock comes late than launch clock then it is called +ve skew. Clock and data both travel in same direction. When data and clock are routed in same direction then it is Positive skew. +ve skew can lead to hold violation. +ve skew improves setup time.

Search

READ MORE...

Verilog (28) Verilog HDL (26) verilog examples (26) verilog interview questions (26) verilog

There was an error in this gadget


BLOG ARCHIVE

2013 (1) 2012 (21) 2011 (7) 2010 (2) 2009 (14) September 2009 (1) August 2009 (1)

asic-soc.blogspot.com/2009/01/clock-definitions.html

2/9

2/19/13

ASIC-System on Chip-VLSI Design: Clock Definitions

tutorial for beginners (26) verilog tutorials (26) DSP (22) HDL (19) Low
Power Techniques (16) Verification (16) MATLAB (15) Timing Analysis (14) ASIC (13) Static Timing Analysis (STA) (11) DSP filters (10) FPGA (10) Physical Design (10) Digital design (9) Synthesis (9) CMOS (8)
Asynchronous FIFO (7) 3-D ICs (6) Basic gates using MUX (6) Digital filters (6) PIC Microcontroller (6) low power (6) PIC 16F877A (5) interview (5) Leakage Power (4) VLSI (4)
Design For TestDFT (3) Multi Vdd (3) Multi Vt (3) Power Planning (3) Reconfigurable Computing (3) Clock Tree Synthesis (CTS) (2) DVFS (2) Design For Test (DFT) (2) Floorplanning (2) Libraries (2) New Devices (2) Placement (2) SPICE (2) SRAM cell design (2) SoC Design (2) SoC Integration (2) Synopsys (2) System on Chip (2) Transition delay (2) layout 7 Segment (2)
Display (1) (1) AMBA (1) Basic (1) (1) (1) AHB (1) AMBA APB AMBA AXI (1) AMBA Bus (1) ASIC synthesis C MOS C lock Microelectronics Design Gating

June 2009 (2) May 2009 (3) April 2009 (2) February 2009 (1) January 2009 (4) PIC Microcontr ollers for Digital Filter Implement a... Digital Filter Implement ation Using MATLAB Digital Filters Clock Definitions 2008 (36) 2007 (109) There was an error in this gadget

positive skew negative skew

Negative Skew If capture clock comes early than launch clock it is called ve skew. Clock and data travel in opposite direction. When data and clock are routed in opposite then it is negative skew. -ve skew can lead to setup violation. -ve skew improves hold time. (Effects of skew on setup and hold will be discussed in detail in forthcoming articles) Uncertainty Clock uncertainty is the time difference between the arrivals of clock signals at registers in one clock domain or between domains. Pre-layout and Post-layout Uncertainty Pre CTS uncertainty is clock skew, clock Jitter and margin. After CTS skew is calculated from the actual propagated value of the clock. We can have some margin of skew + Jitter.

timing diagram depicting skew, latency, jitter

Clock latency Latency is the delay of the clock source and clock network delay. Clock source delay is the time taken to propagate from ideal waveform origin point to clock definition point. Clock network latency is the delay from clock definition point to register clock pin. Pre CTS Latency and Post CTS Latency

C lock definitions (1)

asic-soc.blogspot.com/2009/01/clock-definitions.html

3/9

2/19/13

ASIC-System on Chip-VLSI Design: Clock Definitions

Latency is the summation of the Source latency and the Network latency. Pre CTS estimated latency will be considered during the synthesis and after CTS propagated latency is considered. Source Delay or Source Latency It is known as source latency also. It is defined as "the delay from the clock origin point to the clock definition point in the design". Delay from clock source to beginning of clock tree (i.e. clock definition point). The time a clock signal takes to propagate from its ideal waveform origin point to the clock definition point in the design. Network Delay (latency) or Insertion Delay It is also known as Insertion delay or Network latency. It is defined as "the delay from the clock definition point to the clock pin of the register". The time clock signal (rise or fall) takes to propagate from the clock definition point to a register clock pin. Figure below shows example of latency for a design without PLL.

C ongestion DFT Deep Design Dynamic EDA (1) Systems Power (1) DTMF

(1) (1)

C oreC onnect Bus (1) Sub Micron For (1) (1)

Issues (1) Delays (1) Manufacture-DFM (1) Embedded

Embedded etc (1) FFT (1) FIR Filter (1) Gate Delay (1) History of VLSI (1) (1) Internal IC Power Fabrication (1) Intel (1) Intrinsic Delay (1) Lynx Design System (1) Magma Design 45nm (1) (1) (1) cell Memory Nangate (1)

Microprocessors

libraries (1) Net delay OpenSPARC processor (1) Others ..DSP (1) PVT vs STA (1) Power Gating (1) Propagation delay (1) Protocols (1) RTL (1) Routing (1) SDC (1) SRAM C hip (1) Short C ircuit (1) Array paths Voltage Sub (1) (1) Scaling (1) (1) jitter (1) (1) Power (1) Static memory design Threshold Timing VLSI (1) (1) free of hold (1) logic optical (1) skew fixing (1) (1) Leakage (1) Systolic

fabrication

Washing Machine (1) constraints download processor time (1) latency synhesis

latency for a design without PLL

lithography

The latency definitions for designs with PLL are slightly different. Figure below shows latency specifications of such kind of designs. Latency from the PLL output to the clock input of generated clock circuitry becomes source latency. From this point onwards till generated clock divides to flops is now known as network latency. Here we can observe that part of the network latency is clock to q delay of the flip flop (of divide by 2 circuit in the given example) is known value.

optimization (1) setup time (1) transition transition

violation

(1) uncerainty (1)

latency for a design with PLL

asic-soc.blogspot.com/2009/01/clock-definitions.html

4/9

2/19/13

ASIC-System on Chip-VLSI Design: Clock Definitions

Jitter Jitter is the short-term variations of a signal with respect to its ideal position in time. Jitter is the variation of the clock period from edge to edge. It can vary +/- jitter value. From cycle to cycle the period and duty cycle can change slightly due to the clock generation circuitry. Jitter can also be generated from PLL known as PLL jitter. Possible jitter values should be considered for proper PLL design. Jitter can be modeled by adding uncertainty regions around the rising and falling edges of the clock waveform. Sources of Jitter Common sources of jitter include: Internal circuitry of the phase-locked loop (PLL) Random thermal noise from a crystal Other resonating devices Random mechanical noise from crystal vibration Signal transmitters Traces and cables Connectors Receivers Click here to read more about jitter from Altera. Click here to read what wiki says about jitter. Multiple Clocks If more than one clock is used in a design, then they can be defined to have different waveforms and frequencies. These clocks are known as multiple clocks. The logics triggered by each individual clock are then known as clock domain.

If clocks have different frequencies there must be a base period over which all waveforms repeat. Base period is the least common multiple (LCM) of all clock periods

Asynchronous Clocks In multiple clock domains, if these clocks do not have a common base period then they are called as asynchronous clocks. Clocks generated from two different crystals, PLLs are asynchronous clocks. Different clocks having different frequencies generated from single crystal or PLL are not asynchronous clocks but they are synchronous clocks.

Gated clocks Clock signals that are passed through some gate other than buffer and inverters are called gated clocks. These clock signals will be under the control of gated logic. Clock gating is used to turn off clock to some sections of design to save power. Click here to read more about clock gating. Generated clocks Generated clocks are the clocks that are generated from other clocks by

asic-soc.blogspot.com/2009/01/clock-definitions.html

5/9

2/19/13

ASIC-System on Chip-VLSI Design: Clock Definitions

a circuit within the design such as divider/multiplier circuit.

Static timing analysis tools such as PrimeTime will automatically calculate the latency (delay) from the source clock to the generated clock if the source clock is propagated and you have not set source latency on the generated clock.

generated clock Clock is the master clock and new clock is generated from F1/Q output. Master clock is defined with the constraint create_clok. Unless and until new generated clock is defined as generated clock timing analysis tools wont consider it as generated clock. Hence to accomplish this requirement use create_generated_clock command. CLK pin of F1 is now treated as clock definition point for the new generated clock. Hence clock path delay till F1/CLK contributes source latency whereas delay from F1/CLK contributes network latency.

Virtual Clocks Virtual clock is the clock which is logically not connected to any port of the design and physically doesnt exist. A virtual clock is used when a block does not contain a port for the clock that an I/O signal is coming from or going to. Virtual clocks are used during optimization; they do not really exist in the circuit.

Virtual clocks are clocks that exist in memory but are not part of a design. Virtual clocks are used as a reference for specifying input and output delays relative to a clock. This means there is no actual clock source in the design. Assume the block to be synthesized is Block_A. The clock signal, VCLK, would be a virtual clock. The input delay and output delay would be specified relative to the virtual clock.

You might also like: What are the different types of delays in ASIC or VLSI design? Clock Gating Clock Tree Synthesis (CTS) Physical Design Questions and Answers
LinkWithin

Tags: Clock definitions, jitter, latency, skew, uncerainty

15 comments:
vlsi February 16, 2009 at 8:20 PM This comment has been removed by the author. Reply

Nirav April 20, 2009 at 7:01 AM

asic-soc.blogspot.com/2009/01/clock-definitions.html

6/9

2/19/13

ASIC-System on Chip-VLSI Design: Clock Definitions

I have a question about virtual clock. If virtual clock does not exists, how circuit is optimized by using virtual clock? Please give your answer by taking a small combinational circuit as a reference. For example, I have designed one 4-bit full adder (a combinational circuit). I am seeing different synthesis result with/without using virtual clock. I would like to why two reports are different. Reply

Anonymous July 28, 2010 at 3:03 PM This is best and simplified article with very good diagrams to understand whole clock scenario. Thanks for your effort. Reply

SIH September 24, 2010 at 8:53 AM This is a really good article with a lot of basic info. I had a question. In pre-cts the clock is ideal, what is the use of defining clock latency. In post cts, all clock pins are balanced, so the only thing that matters is the skew as the latency will be the same for launch and capture flops. In post cts is there any point in defining a source latency ? Reply

Anonymous February 24, 2011 at 12:21 PM Good article.... Reply

Anonymous August 25, 2011 at 6:29 PM Excellent article...clear description. Reply

Anonymous September 24, 2011 at 3:07 PM great article....appreciate the effort!!!! Reply

Vivek October 27, 2011 at 4:05 PM Excellent :)...Thanks Reply

Anonymous November 20, 2011 at 3:26 PM Good Article Reply

TEJ December 4, 2011 at 7:04 PM useful article, Thanks Reply

sandyleo26 June 6, 2012 at 1:46 PM great article, great blog. Reply

asic-soc.blogspot.com/2009/01/clock-definitions.html

7/9

2/19/13

ASIC-System on Chip-VLSI Design: Clock Definitions

nganinho July 2, 2012 at 7:48 PM Asynchronous Clocks In multiple clock domains, if these clocks do not have a common base period then they are called as asynchronous clocks. Clocks generated from two different crystals, PLLs are asynchronous clocks. Different clocks having different frequencies generated from single crystal or PLL are not asynchronous clocks but they are synchronous clocks. Last sentence seems to be confused to me. Assume that, we have 1 PLL and 1 output clock pin. Then, we generate 3 other clocks from that pin, so, even though those 3 clocks' freq are different, but they also synchronous with each other. Is this understanding correct ? Reply

Hiran August 10, 2012 at 2:23 PM This is a great blog.! Hats off to you man for the effort you are putting to create this.. :) Reply

Vasanth August 12, 2012 at 9:34 PM Nice article. Normally I have seen that the input clock and output clock of a PLL treated as asynchronous to each other in STA. Could anybody help me understand the reason for it ? Reply

Bui The Quynh November 14, 2012 at 8:45 AM Hello every body, Please tell me source of above document? Thanks you very much. Reply
Enter your comment...

Comment as: Google Account Publish Preview

Your Comments... (comments are moderated)

Links to this post


Create a Link Newer Post Home Older Post

Subscribe to: Post Comments (Atom)


SEARCH THIS BLOG

Search

COPYRIGHT/DISCLAIMER

LISTED IN

asic-soc.blogspot.com/2009/01/clock-definitions.html

8/9

2/19/13

ASIC-System on Chip-VLSI Design: Clock Definitions

This work is licensed under a Creative Commons Attribution-Share Alike 2.5 India License.

"Nahi Jnanena Sadrusham". Simple template. Powered by Blogger.

asic-soc.blogspot.com/2009/01/clock-definitions.html

9/9

Вам также может понравиться