Вы находитесь на странице: 1из 6

SHORT RESIDENCE TIME HIGH-DENSITY

INDUCTIVE PLASMA ETCHER FOR SiO2 AND LOW-K


H. HATTORI, Y. HIRANO, A.KELLY, A. KURODA, V. MENAGARISHVILI, Y.TAKAHASHI,
and G. VINOGRADOV

FOI Corporation, 6-38-28 Kamiasao, Asaoku, Kawasaki 215-002, JAPAN

Abstract
A novel compact narrow-gap flat ICP source (GROOVY) for advanced high-density
plasma etch of SiO2 and Low-k materials on 300-mm wafers has been developed and
successfully tested for a variety of materials and patterns. The groovy configuration
overcomes the main problem of uniformity control in the plasma sources with flat inductive
applicators.

1. Introduction
Plasma etching equipment utilizes the most advanced technologies known in semiconductor industry.
However, there is presently only one type of 300-mm plasma processing chambers for highly selective SiO2
etch, especially for high aspect ratio contact holes (HARC): parallel-plate capacitive discharges. Inductively
coupled plasma sources, usually referred to as ICP, realized in flat compact configurations do not provide
necessary level of radial process uniformity, because of the basic toroidal structure of inductively excited
plasmas. An increase of the discharge gap does improve the uniformity but decreases selectivity to
photoresist at the same time. This trade-off between the narrow-gap parallel-plate capacitive and wide-gap
inductive discharges was not overcome yet in favor of both plasma uniformity and process selectivity relied
upon the short gas residence time in the discharge zone.
FOI Corporation has developed and manufactured new inductively coupled plasma sources with
essentially flat narrow-gap geometry for advanced oxide etch and processing of a wide range of organic and
inorganic Low-k materials. We present here process results obtained with our 300-mm etchers in order to
show principal advantages and exclusive controllability of the new manufacturing equipment over traditional
capacitive etchers. Such results are yet unachievable for other inductive etchers.

2. Experimental
300-mm GROOVY plasma source incorporates three geometrically separate and independently
adjustable ring-shape inductive plasma sources designed as annular grooves in a flat roof made of
monocrystalline i-type silicon or ceramics depending on the etching application. Fig. 1 shows a cross-section
view of the groovy roof with three inductive coils and an electrostatic chuck in a process chamber. Every coil
has its own RF power supply. The coils and RF matching system are specially designed in order to avoid
power interference between annular discharges in the grooves thus achieving full controllability over the
radial sputtering profiles. Coils are not sensitive to each other for a wide range of RF power and operate as
independent ICP sources in the integrated flat plasma source.
The silicon or ceramic roof incorporating inductive coils is temperature stabilized by a top metal
plate attached to the roof and connected to a chiller/heater. High temperature condition favorable for carbon-
rich plasmas and effective in HARC silicon oxide etch are thus established. Silicon as a roof materials is
essentially good in terms of purity and low level of generated particles. The side wall of the process chamber
(inner ring) is temperature stabilized for the same purpose.
Every groove in the roof, or every plasma ring in other words, has its own gas supply system for
independent adjustment of chemicals in every ring discharge, and hence, the radial etch profile.
Consequently, GROOVY has two powerful and substantially independent means for
profile/uniformity adjustment: rate of physical sputtering and density of chemically active components. Thus,
the new plasma source allows independent distributions of physical and chemical plasma parameters without
compromising damage issues caused by attempts to compensate one non-uniform parameter by another.
Maximum inductive RF power in the roof is up to about 6 kW at the standard 13.56 MHz RF frequency.
Uniform process operation range for oxide and low-k etch is within about 5-60 mTorr gas pressure.
Moreover, the integrated plasma source is also capable of oxygen discharges up to 2 Torr gas pressure, which
is useful for free-radical dry chamber cleaning and in-situ ash.
The roof assembly is mounted with about 40-mm separation gap over the RF biased temperature
stabilized electrostatic chuck. The bias RF power is up to about 5 kW. The process chamber of about 50-cm
inner diameter has a temperature stabilized hot wall (inner ring) in order to supress polymer deposition and
increase mean time between maintenance. Wafer transfer platform is capable of 200- or 300-mm wafers.
Commercial Langmuir probe system was used for spatial estimation of plasma parameters at the
mid-plane of the discharge gap. The results were used only for inductive plasma uniformity evaluation, since
the probe does not work well under the high RF bias power condition in a narrrow discharge gap.
Etching processes are well characterized on a number of bare and patterned production wafers with
different materials: photoresists (KrF, ArF), silicon oxide (TEOS), organic and inorganic low-k materials
like SiLK, SiOC, polyimidazole derived, fluorinated polymers, and silicon.

3. Results and discussion.


a) Low-power discharge ignition;
One of the most valuable features of the GROOVY is its ability for extremely low power ignition
because of quasi-immerse nature of the induction coils. It is well known that large commercial etchers have
essentially high power ignition of about hundred watts. Moreover, the ignition in very low-pressure etchers is
usually performed at substantially higher gas pressure (50-100 mTorr) than the process level of a few
millitorrs.
Fig. 2 shows the dependence of minimum ignition power with a groovy roof made of ceramics on
the gas pressure for pure oxygen gas, which is known for rather difficult discharge ignition. A 100 W RF
power is enough for discharge ignition at 10-mTorr gas pressure in case of the roof made of silicon, which is
relatively higher than for ceramics but still very low absolutely. Therefore, it is not necessary to switch
pressure levels between the ignition and process regimes as in many commercial etchers. Low-power
ignition prevents production wafers from specific plasma damages typical for capacitive etchers.

b) Uniform plasma density;


Fig. 3 shows electron density distribution in the mid-plane of 40-mm discharge gap as measured with
a movable Langmuir probe. There is no RF bias in this case: only inductive discharge was excited in the
groovy roof. Plasma density is constant within the level of experimental errors over 300-mm wafer surface.
There are no bumps or valleys at the grooves or between them.

Excellent sputter uniformity;


Oxide etchers operate under the highest RF bias power among all etchers. Therefore, the uniformity
of sputter rate distribution over the wafer surface is vitally important for advanced processing. Fig. 4 shows
three-dimensional sputter patterns for the separate ring discharges in the grooves (Coil 1, Coil 2, or Coil 3).
Every individual sputter pattern demonstrates very good azimuthal uniformity and substantial axial
symmetry. The ring discharges excited altogether, make flat uniform sputter rate distribution over the whole
wafer. Typical example of normal three-coil discharge sputter distribution is shown in Fig. 5. The sputter rate
non-uniformity of the GROOVY is below about 5% over the widest range of process conditions. It is
certainly an excellent level of sputter uniformity taking into consideration that chemical factors in a real
oxide etch process improve uniformity. There is no problem with the edge non-uniformity typical for a
number of capacitive and ICP etchers
Independent controllability of radial plasma/sputter profiles is an essential feature of GROOVY.
Indeed, the process window of usual etchers is essentially limited with only a few external discharge
parameters being controlled: power, pressure, flow rate, gas mixture. No one of these parameters is usually
adjustable over the wafer radius. There is a narrow parameter range producing a flat etch profile: step up or
step down changes the etch ratio center/edge. GROOVY overcomes this principal limitation by
independent adjustment of the edge/center etch profile thus essentially expanding the process window.

c) Etch uniformity on bare wafers;


Etch rate distributions on bare wafers (no pattern) for different materials are quite uniform. Oxide
etch non-uniformity at about 5 mTorr pressure is within ±1.4% ([MAX-MIN]/[2xAVE]). Typical etch non-
uniformity for organic (SiLK) or inorganic low-k materials at the discharge pressure of about 30 mTorr are
within about ±2%. Even at 60 mTorr, the uniformity level is better than ±5%. It shows the widest process
window of GROOVY. Radial plasma density and process rate profile control relies upon independent RF
power and gas flow control in the grooves. The developed plasma source practically excludes any self-
redistribution of the RF power from one coil to another. Fig. 6 shows typical dependence of the RF current in
one coil fed with a constant RF power on the variable RF power in the adjacent coil. All three coils and
corresponding inductive ring discharges operate as virtually independent plasma sources. It guarantees
exceptionally wide process window in comparison with parallel-plate capacitive discharges, where radial
profiles cannot be optimized for a range of different process conditions without substantial modification of
hardware, for example, by gap control. Usual ICP etchers (wide-gap) of any configuration do not enter into
advanced oxide etch processing at all, because of long gas residence time.

d) High aspect ratio contact etch;


In order to characterize an advanced oxide etcher, HARC holes are usually etched. An example of
such processed pattern is shown in Fig. 7 and 8. Fig. 7 shows 80 nm hole pattern with aspect ratio 20. Oxide
to photoresist etch selectivity of about 119 (bulk) and about 7.1 (facet) is easily achievable as Fig. 8 shows
for partial deep oxide etch.
GROOVY plasma source demonstrates excellent performance in a wide range of process
conditions including a very low-pressure condition of about 5 mTorr. Process conditions can be varied from
“clean” etch mode to polymer deposition mode, which is preferable for highly selective etch in respect to the
polymer resist.

e) Etching of Low-k materials;


GROOVY outperforms capacitive etchers in etching of Low-k materials. Indeed, its basic physical
difference from capacitive discharges is the possibility to work under no-bias condition in principle
unachievable for capacitive discharges due to their very nature. Therefore, GROOVY can smoothly
operate in the widest range of RF bias conditions: from extremely high, typical for oxide etchers, down to
extremely low, typical for pure inductive discharges. This unique combination of so many different, and
incompatible in usually capacitive etchers, properties in one process chamber sets a new industrial standard
for a Low-k etcher. This unique combinations of process parameters allows excellent multi step multi
processing of newly developed structures with organic/inorganic low-k insulators and SiO2, SiC, or SiOC
hard masks, spacers, and stoppers in one chamber. Obviously, such processing brings about essential
improvement in overall quality, throughput, and reduces cost of ownership for end users.
Fig. 9 shows organic Low-k pattern (SiLK) with SiO2/SiC or SiOC hard masks processed in
GROOVY. It is seen, that there is no micro trenching in the low-k layer in a half-etched pattern, while the
taper angle in the completed sample is 89 degree.

f) Comparison
Comparing capacitively coupled, conventional inductive, and GROOVY plasma sources, one
inevitably concludes that GROOVY integrates the best features of capacitive and ICP sources. Indeed, it is a
narrow-gap low gas residence time similar to a capacitive oxide etcher plasma source. At the same time, it is
a wide pressure and power range ICP source. Moreover, it is an integrated plasma source with adjustable
radial distributions of both RF power and gas flow rate. It is well seen that GROOVY plasma source
represents a converging landmark of capacitive and inductive plasma technologies for advanced etching. The
best features of narrow-gap capacitive and wide-gap inductive plasma sources have been implemented in one
planar geometry.

4. Conclusion

A new integrated narrow-gap flat ICP plasma source has been developed and successfully implemented
in advanced 300-mm etching technology. It is shown, that the new etcher has substantially wider process window
in comparison with commonly used narrow-gap capacitive discharges. The new etcher demonstrates excellent
process results for deep silicon oxide and low-k etch.
RF RF RF
RF GROUND

Fig. 1.
GROOVY ICP
plasma source. gas lines

RF BIAS
Si Roof He
DC CHUCK

900 Watt 1.00E+12

Ion Density ( Ni/cm3)


9.00E+11
800
Coil 1 8.00E+11
700
7.00E+11
600 Coil 2
6.00E+11
500 Coil 3 5.00E+11
400 4.00E+11

300 3.00E+11

pure OXYGENE 2.00E+11


200
1.00E+11
100 0 15 30 45 60 75 90 105 120 135 150
mTorr
0 (Center) X-axis ( mm) (Edge)
0 10 20 30 40 50 60

Fig. 3.
Fig. 2.
Minimum ignition RF power Midplane radial distributions of plasma
for every separate coil density over 300-mm wafer for 1.6; 2.4;
(No. 1 is central). and 3.2 kW RF power: Ar, 10 mTorr.

COIL 1 COIL 2 COIL 3

Fig. 4.
Three-dimensional sputter profiles produced on the 300-mm wafer by the discharges
generated with separate induction coils. Left to right: center-to-edge.

Вам также может понравиться