Вы находитесь на странице: 1из 6

"But what ... is it good for?

"—
Engineer at the Advanced Computing Systems Division of IBM,
1968, commenting on the microchip.

RECENT TRENDS IN 300-MM PLASMA EQUIPMENT

Georgy K. Vinogradov
FOI Corporation
6-38-28, KAMI-ASAO, ASAO -KU, KAWASAKI, 215-0021 JAPAN
vinogradov@foi.co.jp

Introduction on existing equipment and well-integrated processes.


Semiconductor equipment manufacturing is a Therefore, there is typical conservatism: no major
multi-billion dollar business strongly connected to change in process technologies. Devices manufactures
the markets of semiconductor devices and consumer demand similar, if not “same”, processes and
electronics through the fastest feedback loops conditions for 300-mm tools. Therefore, the 300-mm
keeping them altogether. Since the manufacturers are are mainly just scaled-up versions of 200-mm tools. In
targeting exclusively their investors and customers, this sense, there is no trend between 200- and 300-mm.
“confidential” design and process characteristics are Different logic works for new materials, for example,
zealously hidden from competitors and the public. copper and a variety of Low-k. New processes must be
Industrial exhibitors screen visitors not to allow developed and delivered with new equipment. Such
competitors chances for a glance at demo machines model was practiced during the 200-mm span
or process data. Therefore, it is rather difficult to exhibiting remarkable change in the balance of process
obtain solid references reviewing modern 300-mm development loads between the device and equipment
equipment. manufacturers. Process development cooperation is to
A whole set of 300-mm equipment is available in be highly respected by all parties for mutual benefits.
the market and several production lines made Still, at even higher level of complexity, the
operational start in the last three-four years development moves mainly by a thorny path of trial
worldwide: Trecenti Technology (Hitachi), UMC, and errors. There are very few things among the basic
Infineon, SONY Semiconductor, Micron, TSMC, scientific ideas, which can be affected. In contrast,
Philips Semiconductor-STMicroelectronics, IBM, there are much more unexpected and unclear
Texas Instruments, Intel, Samsung and others [1]. material/design factors affecting process technology.
However, 300-mm machines shipped to R&D labs That is why we discuss here more finalized
operate conventionally with 200-mm wafers saving commercial solutions and less laboratory and patent
curiosities. electrically charged particles (positive ions) with
Plasma Etching Equipment predetermined kinetic energy and directionality onto
For the last three decades etching equipment is the the wafer. We consider anizotropic etchers.
arena driving advanced plasma technology A pitfall to be avoided is pure technical consideration
development [2]. Other equipment: PVD, CVD, of industrial equipment, which is basically highly
cleaning, ashing, etc. use plasma techniques usually competing commercial products, where economical
after etchers in terms of plasma sources. Chemical parameters are as important as technical merits.
aspects of numerous plasma technologies represent Recently, 300-mm equipment reveals several
special subjects. converging tendencies.
Every etcher accumulates substantial engineering Firstly, the price margins for different classes of
and process know-how rather than science. There is etchers are becoming narrower well before approaching
enough room for R&D art just because of a great any maturity under very tense economic conditions.
complexity of machines and processes and Secondly, the huge price gap between high-end
abundance of not yet tested combinations of well- etchers and, for example, advanced single-wafer ashers,
and hardly-known ideas. is shrinking.
There is basic similarity between different kinds of Thirdly, hardware platforms in terms of basic
plasma processing equipment considering process mandatory components are becoming similar: ashers
chambers. Any chamber has its plasma source are getting turbo pumps, some etchers –
converting electric power into the electric fields high-temperature platens, modular design leads to more
generating fast free electrons, which start ionization, customizable tools.
excitation and dissociation of gas particles, surface Fourthly, large companies, manufacturing wide range
bombardment, not saying about a number of of equipment, acquire small, one-sector players. It
secondary phenomena like modification and erosion changes not only competition but also affects hardware
of surfaces, deposition and material transfer, ageing, design, in particular cluster tools.
dust particles, arcing. The set of the basic processes is The tendencies are supported by unavoidable
determined by the regularities of plasma physics and maturity curve driving semiconductor industry into the
chemistry. Then what constitutes the main differences 21st century, where the number of equipment
of plasma sources and process chambers? manufacturers is decreasing.
There are two kind of plasma processes: isotropic
and anizotropic, and hence, two kinds of process 300-mm etchers
chambers applying isotropic and anizotropic active There are two approaches to classify etching tools:
media to wafers. The isotropic, is almost electrically considering plasma sources or principal applications.
neutral highly-dissociated gas or free radicals, which Since they are meaningful and interconnected, both
does not generate electric currents into the wafers. should be taken into account.
The second one, anizotropic, is electrically highly The majority of 300-mm machines available in the
active. It is aimed to supply not only neutrals but also market are scaled up from 200-mm with minor
modifications. Therefore, the parallel-plate capacitive Why capacitive is good?
systems from two major vendors: AMAT (Applied This long-liver has two principal advantages over
Materials, USA) and TEL (Tokyo Electron, Japan) other discharges. First: it is the anisotropic discharge
are still the main working horses well known to exited by very uniform (equipotential metal electrode)
device manufacturers. LAM Research Corporation electric field perpendicular to the wafer surface. The
(USA), known for pioneering pancake inductive anisotropic etch was discovered in this discharge.
plasma sources, manufactures RIE capacitive tools Second, the capacitive plasma generated by a uniform
for oxide/dielectric etch as well. Microwave etchers, flat electric capacitive sheath is perfectly conformal to
for example, Hitachi’s ECR, also entered into the the wafer. It is the only discharge mechanism, which
300-mm competition. It is in the minority and not does it so simply, precisely and cheaply. It is why
considered here. capacitive discharges were the first and main plasma
In spite of incredibly high R&D activity in the last processing tools from early seventies. This is the secret
two decades around flat inductive plasma sources, of their long life. This is a reason why other etchers
they failed yet to replace capacitive etchers. using a variety of discharges have so called RF-bias. In
Moreover, they practically did not enter into the most fact, it is never only a ‘bias’ for accelerating ions.
difficult market sector of oxide etch. Almost always it is a capacitive discharge near the
AMAT and LAM sell inductive etchers for wafer surface. It not only affects the kinetic energy of
polysilicon, metal, and some minor application. existing positive ions, it generates own sheath plasma.
AMAT, having the largest number of printed patent The mandatory RF-bias on the wafer substantially
pages and claims on inductive sources, is now decreases but does not eliminate the difference between
manufacturing tools with a dual-coil source mounted plasma sources. The intrinsic features of parallel-plate
above a flat or dome-shaped chamber roof. capacitive discharges, flatness and very narrow
There are several improvements in the process inter-electrode gap, could not be realized with inductive
chambers aimed to increase selectivity and suppress sources until very recently.
particles and contamination. Silicon roofs were
inserted in several etchers. Not only roofs but also Where is a limit?
silicon or SiC/SiOC walls of oxide etchers were Capacitive discharges have some drawbacks. First,
introduced in some cases. Hot wall of the process they are very sensitive to the gas pressure and power.
chambers and better temperature control is a must as This is because of a self-consistent nature of the double
well. However, such particular solutions do not touch electric sheath: it tunes itself depending on the gas
anyway basic structures of plasma processing units. pressure and the RF power and tends to shrink or
In order to reveal definite trends in the plasma spread along the surface instead of changing local
sources, we have to consider much longer parameters. Second, they are all non-uniform at the gap
development term and some basic principles. edge. Therefore, so-called guard rings are always used
in etchers but do not always mitigate the edge
non-uniformity. Third, capacitive discharges are
difficult to sustain belo w about 10-mTorr gas narrow-gap etchers except capacitive in the market yet.
pressure, where the recent process trend goes to. However, this status is about to change.
Conventional capacitive discharges used one and
then two RF frequencies for three decades. One, High-density plasma low-pressure etchers
higher frequency, which is usually about 13.56 MHz, Inductive coupled plasma (ICP) sources have a long
is applied to the top electrode (“anode”), while history and variety of applications such as powerful
another, lower frequency, about 0.1-4 MHz, is free-radical or ion generators and atmospheric plasma
applied to the bottom wafer electrode (“cathode”). torches. ICP sources easily generate high-density
The basic trends of capacitive discharges are as plasmas. The problem of their application to the wafer
follow. First add one more frequency in order to processing is their basic radial non-uniformity, which
separately excite main plasma discharge and the bias determines development trends.
sheath: done in seventies. Second, increase the higher About a decade ago, flat inductive plasma sources
frequency in order to maintain the discharge at lower occupied plasma laboratories []. It was accepted as a
and lower pressures. 300-mm capacitive etchers long-awaited revolution in plasma equipment. Flat roof
come to 27; 60; and even 100 MHz RF. This way inductive etchers have been built and tested. However,
capacitive etchers achieve the pressures limit down to they were large-gap plasma sources, because, of the
about 20 mTorr and higher plasma density. intrinsic radial non-uniformity. It appeared later that
The limit of this frequency trend is the wavelength large spiral inductive coils add substantial azimuthal
or transmission-line problem. A hundred megahertz non-uniformity caused by transmission-line and
RF field has about 0.7 m quarter wavelength in free capacitive coupling effects. No one of them entered the
space. Therefore, RF voltage along the radius of a “prohibited garden” of advanced oxide etch.
300-mm wafer surface is changing essentially. This is Very popular in laboratories single-turn ICP sources
a source of electrical non-uniformity, and hence, are not suitable. A narrow-gap single-coil inductive
wafer’s damage even without mentioning the edge discharge produces about a circular footprint on the
problems. Hence, it is hardly possible, that simple wafer. That is why there were several patents issued for
capacitive parallel plate structure continues beyond multi-coil sources. In a dual-coil source, the center
300 mm. smaller coil increases plasma density, which otherwise
is too low for a 300-mm wafer size. However, two coils
Oxide etch are not enough for a narrow-gap etcher.
Advanced silicon oxide etch is performed by A large gap is unavoidable with several separate
capacitive etchers since this process uses coils, because the coils do not strictly define the
fluorocarbon deposition mechanism accompanied by position of plasma generation in the flat-roof systems
intensive ion bombardment. Oxide etchers are and strongly interact. A multi-turn spiral coil does not
strong-bias narrow-gap machines with particularly generate a sheath-plasma like a capacitive electrode just
low gas residence time (down to a few milliseconds) because of different kind of symmetry of the electric
in order to achieve best quality. There were no new fields in these discharges.
Consequently, the main problem and development high plasma density. Inductive discharges have wider
trend of inductive plasma sources was toward process range required by a variety of Low-k materials
high-density uniform plasma in a narrower gap. from inorganic Si-containing films to organic polymers.
Major ICP sources (LAM, AMAT) have at least So far, there is nothing special in etching of organic
about 100 -mm discharge gap. However, the problem Low-k but essential problems of selectivity to resist and
TM
was solved in a GROOVY ICP plasma source difficult combination of hard mask and intermediate
developed by FOI (Future Oriented Instruments, inorganic layers: SiO2, SiOC, or SiC. Hence, Low-k
Japan) Corporation. processing tools must be capable for different
chemistries in the same chamb er. One of the options is
GROOVY ICP: narrow-gap inductive plasma hydrogen or ammonia plasma for etching and/or
source material stabilization. Sidewall damage is the main
GROOVY ICP source consists of two (200-mm) issue for the materials sensitive to free-radicals.
to three (300-mm) or four (450-mm) inductive coils Consequently, low-pressure, low-temperature, and
built into the outside grooves in the flat dielectric or short-time processing is the major trend.
silicon roof and surrounding inside groves enclosing
separate inductive plasma toroids. The separation of Wide -gap inductive etchers
plasma generation zones between the grooves allows Inductive (wide-gap) etchers for polysilicon and
one to independently control plasma toroids avoiding metal compete successfully with traditional capacitive
collapsing into one plasma generation area. The etchers. Etching of metals having non-volatile halides
collapse inevitably happens at the flat roofs of (FeRAM, MRAM applications) represents very special
conventional inductive sources. art, where the machine maintenance issues play
The flat grooved roof makes a discharge gap of substantially important role in evaluation, because of
about 40 mm, which is typical for advanced heavy deposition in the chambers. So far, there is no
capacitive oxide etchers. GROOVY generates market for 300-mm equipment, but is expected to
stable discharge in a wide pressure range of about emerge in the near future.
(0.5-1000) mTorr. This source is considered as the
only inductive candidate for below 100 nm deep Electrical damage issues
oxide etch. It shows an aspect ratio of about 20, Electrical damage to processing devices mainly
selectivity to resist of about 7, for 80 nm via etch come from process /discharge non-uniformities, which
with typical uniformity of about 3% (range) over are determined, in turn, by capacitive current/voltage
300-mm wafers. non-uniformities in the process chambers. Such
non-uniformities have several causes: edges of
Low-k materials capacitive platen/guard rings; non -uniformity of
The well-known merits of ICP sources over the conductive parts; asymmetric capacitive return
capacitively coupled are their ability to operate at currents; parasitic local discharges and arcing;
very low RF-bias voltage, very low gas pressure, and capacitive coupling from ICP coils; etc.. These
problems persisted from 200-mm prototypes but are along the wafer is becoming much longer than
even worsened because of increases size of pumping edge-to-baffle distance. New materials in the chambers
ports, windows, and shutters . bring about some new electrical effects as well.
Moreover, 300-mm equipment needs better Overall, there are a lot of particular discharge and
discharge uniformity than 200-mm for the following material improvements along the 200-300 transition to
reason. It is well known that a wafer provides a short improve process quality and drive the semiconductor
electric path between dielectric structures and industry to higher efficiency.
therefore, damage voltage applied to the devices
depends on the maximum voltage (potential) Conclusion
differences. At the same constant gradient of The main development trend of 300-mm plasma
electric potential along the wafer surface, the voltage equipment, particularly etchers, is further increasing
difference between two distant points may become competition between capacitive and inductive plasma
about 1.5 times higher for 300-mm wafers. Therefore, sources. Capacitive plasma sources increase excitation
200-mm damage sensors are not perfectly suitable for frequency in order to attain low-pressure high-density
300-mm damage tests. plasma conditions, while inductive sources are going to
One of the common trends mitigating discharge essentially shrink the discharge gap and cover the low
non-uniformities is dielectric or semiconductor gas residence time range previously occupied
insulation of the process chamber walls contacting exclusively by the capacitive counterpart. Both systems
active plasma. It is troublesome and difficult art seem to be converging at the very limit for advanced
affecting RF capacitive bias and discharge ignition. oxide etchers.
Process chambers have oxidized aluminum, alumina, Wide-gap inductive sources are successfully
SiC, Si, SiOC, or quartz liners of high purity. replacing capacitive systems in polysilicon and metal
The discharge ignition damages can be more etch. Microwave systems are yet in minority and will
severe in 300-mm equipment because of much higher hardly occupy a noticeable place in the 300-mm market
power of RF generators needed for sustaining in the near future.
discharge and ignition in substantially DC/RF There is yet plenty of work to do for mitigating
insulated chambers. This is a concern for both chamber and process non -uniformities along the way to
capacitive and inductive plasma sources. ICP etchers the “well-designed tools and well-designed processes ”.
use capacitive currents for discharge ignition as well.
The tradeoff between the discharge stability and
ignition is efficiently solved in capacitively balanced References:
transmission-line inductive plasma sources like [1] D. Vogler, Solid State Technology, Oct. 2002, 27.
Gamma-Dipole Resonator [3]. [2] J.W. Coburn, AVS 49th Symposium, Nov. 2002,
Process chambers, especially pumping baffles, Abstracts, PS-MoM1.
become more critical for discharge uniformity [3] G.K. Vinogradov, Plasma Sources Science and
because of large wafer size: center to edge distance Technology, 9, 400 (2000).

Вам также может понравиться