Вы находитесь на странице: 1из 13

ANLISIS DE SISTEMAS COMBINACIONALES

1. DEFINICIN DE CIRCUITO COMBINACIONAL Un circuito combinacional es aquel que est formado por funciones lgicas elementales ( AND, OR, NAND, NOR, etc. ), que tiene un determinado nmero de entradas y salidas, dependiendo los valores que toman las salidas exclusivamente de los que toman las entradas en ese instante. Ejemplo de este tipo de circuitos son : los codificadores, decodificadores, multiplexores, demultiplexores, comparadores, generadores-detectores de paridad, etc.

2. ANLISIS DE CIRCUITOS COMBINACIONALES En este punto se trata el anlisis de circuitos combinacionales a nivel de puertas lgicas. La estructura del circuito vendr dada por su diagrama lgico, cuyos constituyentes sern puertas lgicas cuyo comportamiento lo determina el smbolo que lo representa

figura 1. circuito combinacinal Un circuito combinacional se analiza determinando la salida de los elementos lgicos que lo constituyen ( normalmente puertas lgicas ), partiendo de las variables de entrada y avanzando en el sentido de la seal hacia la salida.

3. SNTESIS Y DISEO DE CIRCUITOS COMBINACIONALES A continuacin se intentan exponer las fases que se siguen en el proceso de sntesis y diseo de circuitos combinacionales :

Definicin de la funcin a realizar y especificacin de las entradas y salidas. Tabla de la verdad. Ecuaciones lgicas de las salidas. Simplificacin de las ecuaciones. Realizacin o implementacin de las ecuaciones simplificadas mediante puertas lgicas.

3.1.Implementacin con puertas AND,OR,NOT La implementacin con puertas AND, OR y NOT se realiza en el ltimo paso del apartado anterior, sustituyendo las operaciones bsicas que aparecen en la expresin lgica de mi circuito digital, de suma lgica, producto lgico y complementacin. Estas operaciones se sustituyen por su representacin simblica obteniendo la realizacin del circuito mediante puertas lgicas. Un ejemplo: Una vez realizado mi diseo he obtenido la siguiente funcin , siendo F la salida del circuito, y A,B,C las entradas.

figura 3. Implementacin con puertas AND, OR Y NOT Ahora solamente queda interconexionar los trminos anteriores con la entrada B, mediante sumas ( OR ).Luego el circuito completo queda :

figura 4. Implementacin total del circuito con puertas AND, OR Y NOT 3.2.Implementacin con puertas NAND y NOR Para implementar circuitos digitales solamente con puertas NAND o NOR debo conocer como implemento las operaciones bsicas AND, OR y NOT, mediante el uso exclusivo de NAND o NOR.

La puerta NOT se puede obtener o es equivalente mediante NAND o NOR ( se justifica con las tablas de verdad ), conectando ambas entradas : Sntesis con NAND :

Sntesis con NOR :

Si quisiramos implementar el circuito de la figura 1, por ejemplo, con puertas NOR/NAND sustituiramos cada puerta AND, OR y NOT por su equivalencia segn hemos visto anteriormente, eliminando posteriormente las situaciones redundantes.

4. CODIFICADORES Son sistemas combinacionales con m 2n entradas y n salidas que se encargan de transformar una serie de seales sin codificar en un conjunto que responda a un cdigo. 4.1. Codificadores sin prioridad Su cometido es la generacin de un nmero binario sobre sus n salidas que identifique cul de las entradas est activadas ( ver siguiente figura 7).

figura 7. codificador Como no hay nada que impida el que se activen simultneamente varias lneas de entrada de un codificador, los circuitos codificadores se disean normalmente para que respondan a una sola seal de entrada activa, dando lugar a los codificadores con prioridad. El codificador de la figura 7 corresponde a un codificador de octal a binario sin prioridad , cuya tabla de verdad es la siguiente:

1 0 0 0 0 0 0 0

0 1 0 0 0 0 0 0

0 0 1 0 0 0 0 0

0 0 0 1 0 0 0 0

0 0 0 0 1 0 0 0

0 0 0 0 0 1 0 0

0 0 0 0 0 0 1 0

0 0 0 0 0 0 0 1

0 0 0 0 1 1 1 1

0 0 1 1 0 0 1 1

0 1 0 1 0 1 0 1

Las funciones lgicas para las salidas, a partir de la tabla de verdad anterior y entrada ENABLE ( E ) para activar la entrada cuando vale 1 o inhibirla cuando vale 0, quedan: A2 = ( B4 +B5 + B6 + B7 ) E A1 = ( B2 +B3 + B6 + B7 ) E A0 = ( B1 +B3 + B5 + B7 ) E Siendo la funcin lgica : F = ( B0 + B1 + B2 +B3 + B4 + B5+ B6 + B7 ) E , una seal de salida especial que se activa cuando todas las seales de entrada son nulas y E=1.

4.2. Codificadores con prioridad Para obtener codificadores que respondan a una sola seal de entrada activa, se le asigna valores fijos de prioridad a las lneas de entrada, de forma que en cada instante slo se genera el cdigo de salida de la entrada activa que tenga la mxima prioridad.

figura 8 . smbolo de un codificador 74148 con prioridad de 8 lneas a 3 lneas

figura 9 . TABLA DE VERDAD del codificador con prioridad Por tanto, en la salida del codificador aparecer el mayor nmero i, tal que Bi est activada ( si hemos impuesto en el diseo el criterio de prioridad a la entrada ms alta ).

La EI (negada) es la seal ENABLE, las Ii (negadas) entradas, Ai (negadas) salidas, EO (negada) seal de salida especial que se activa cuando todas las seales de entrada son nulas y GS (negada) seal de grupo que se activa cuando EI (negada ) = L y hay peticin de servicio. El codificador 74148 se trata de un codificador con prioridad cuyas entradas y salidas son activas a nivel bajo. Esto significa que las entradas se activan con ceros lgicos y las salidas aparecen complementadas. Una entrada o salida activa a nivel bajo se simboliza en los diagramas lgicos ( figura 8 ), en lgica positiva, insertando un pequeo circulo . Tambin se suele indicar que la lnea es activa a nivel bajo poniendo la correspondiente negada ( ver siguiente figura 11 ).

figura 11. Ejemplo de indicacin de lneas a nivel bajo

5. DECODIFICADORES Un decodificador es un circuito combinacional con n entradas y m 2n salidas. Para cada una de las 2n posibles configuraciones binarias que se pueden presentarse en su entrada, el sistema pone a 1 una y slo una de las salidas, de acuerdo con la siguiente regla: si la configuracin binaria presente en las entradas forma el nmero binario i, entonces se activa la salida i-sima.

Segn esto el funcionamiento de un decodificador es el opuesto al de un codificador. 5.1. Decodificadores BCD-DECIMAL y Excitador BCD-7 segmentos En el decodificador BCD-DECIMAL, n=4 y m=10 ( ver figura 12 siguiente)

Obteniendo las expresiones lgicas de la tabla de verdad anterior, podremos realizar la sntesis del circuito combinacional mediante puertas lgicas ( ver figura 13 ):

figura 13 . sntesis mediante puertas del decodificador BCD-DECIMAL CD4028BC En el Excitador BCD-7 segmentos es un tipo de decodificador que me da la posibilidad de conectarlo a un display numrico digital de 7 segmentos a, b, c, d, e, f y g ( figura 14 ), y obtener la visualizacin del cdigo binario BCD:

figura 13 . display numrico digital de 7 segmentos

Todas las posibilidades de salida por el display son las siguientes :

figura 14 . salidas posibles del display numrico digital de 7 segmentos Si identificamos cada segmento cuando debe estar activo o no podemos obtener la tabla de verdad :

figura 15 .tabla de verdad del Excitador BCD-7 segmentos

Nota : para interpretar la tabla anterior no tener en cuenta las entradas LE, BI y LT (negadas) cuya funcin es otra y no aporta nada a lo que estamos viendo ahora. Ahora obtendra la expresiones lgicas mediante minterms/maxterms y las implementara con puertas lgicas. 5.2. Implemetacin de funciones lgicas con decodificadores Un decodificador genera en sus salidas, todos los minterms de un nmero de variables igual al nmero de lneas de entrada. Por tanto, con un decodificador de n entradas-2n salidas y puertas OR, se pueden implementar funciones lgicas de n variables ( tambin podra tomar los ceros de la funcin y utilizara puertas NOR ). Veamos un ejemplo :

figura 16 . implementacin de funcin lgica con decodificador

Si el decodificador tuviera sus salidas activas a nivel bajo, se generan los minterms negados luego en vez de puertas OR debo usar NAND.

6. MULTIPLEXORES Y DEMULTIPLEXORES 6.1. Multiplexores Un multiplexor es un sistema digital que consta de varias entradas y una salida, y mediante un mecanismo de seleccin, una determinada entrada se transfiere a la salida.

Figura 17. Multiplexor y su tabla de verdad Una definicin ms formal de multiplexor sera la de un circuito combinacional con n entradas de seleccin o control (a, b,.. ), 2n entradas de datos (K1 , K2 , ... ) y una salida Z. Los datos de la se rutan desde la entrada de datos cuyo nmero de orden coincide con el nmero binario puesto en la entrada de seleccin hacia la salida ( ver figura 18 )

Figura 18. Seleccin de la entrada de datos del multiplexor La sntesis con puertas lgicas se realiza obteniendo la expresin lgica de la salida Z, obteniendo :

Es importante resear que algunos multiplexores presentan entradas ENABLE o STROBE para dar permiso de funcionamiento o no al multiplexor. 6.2. Demultiplexores El funcionamiento es el contrario al del multiplexor, encauzando los datos desde una fuente comn de entrada hacia uno de 2n destinos de salida. Por tanto, un demultiplexor es un circuito combinacional con una entrada J, n entradas de seleccin y 2n salidas. Es importante comentar que los demultiplexores pueden trabajar como decodificadores. Vamos a explicar como se consigue esto con un ejemplo: supongamos que tenemos un DEMUX 1:4 ( una J entrada a cuatro salidas ), si hago la entrada J=1 siempre activa, transformo el funcionamiento a un DECODIFICADOR 2:4, actuando ahora las seales de seleccin (a, b,... ) como entrada de cdigo a decodificar y las salidas como salidas del cdigo decodificado.

Figura 20. Demux actuando como decodificador La sntesis con puertas sera, partiendo de su tabla de verdad :

Figura 21. Sntesis con puertas de un demultipexor/decodificador 6.3. Implementacin de funciones lgicas con multiplexores Viendo un ejemplo, podremos ver como se realiza la sntesis, como voy a utilizar un MUX 4:1 tengo 2 entradas de seleccin. Por tanto, elijo de la funcin lgica a implementar 2 de las variables como seales de seleccin y determino cual debe ser las entradas del multiplexor con la que me queda.

Figura 22 .Implementacin de funciones lgicas con multiplexores Si tuviera ms de 3 variables lgicas para este caso de MUX 4:1 necesitara usar ms de un multiplexor. Es importante decir que la eleccin de las variables que van a actuar de seales de seleccin es indiferente y muchas se toman unas u otras simplemente por motivo de diseo.

Вам также может понравиться

  • Firmware
    Firmware
    Документ1 страница
    Firmware
    abba_5_1
    Оценок пока нет
  • Arduino Xbee Primeros Pasos
    Arduino Xbee Primeros Pasos
    Документ9 страниц
    Arduino Xbee Primeros Pasos
    cs70
    Оценок пока нет
  • Info
    Info
    Документ1 страница
    Info
    abba_5_1
    Оценок пока нет
  • CPM51
    CPM51
    Документ1 страница
    CPM51
    abba_5_1
    Оценок пока нет
  • Papá Noel
    Papá Noel
    Документ7 страниц
    Papá Noel
    abba_5_1
    Оценок пока нет
  • Untitled
    Untitled
    Документ1 страница
    Untitled
    abba_5_1
    Оценок пока нет
  • Unidad 1 PDF
    Unidad 1 PDF
    Документ17 страниц
    Unidad 1 PDF
    abba_5_1
    Оценок пока нет
  • Una Breve Explicación de Los Mapas de Karnauth
    Una Breve Explicación de Los Mapas de Karnauth
    Документ3 страницы
    Una Breve Explicación de Los Mapas de Karnauth
    abba_5_1
    Оценок пока нет
  • Leyes Del To
    Leyes Del To
    Документ1 страница
    Leyes Del To
    abba_5_1
    Оценок пока нет
  • Presentación 1
    Presentación 1
    Документ1 страница
    Presentación 1
    abba_5_1
    Оценок пока нет