Вы находитесь на странице: 1из 2

11/10/13

Generic Memory Compiler

English | | | SOLVNET SEARCH SYNOPSYS

HOME

COMMUNITY

UNIVERSITY PROGRAM

GENERIC MEMORY COMPILER

Generic Memory Compiler


Synopsys Generic Memory Compiler
The Synopsys Generic Memory Compiler is available for Synopsys University Program members to use when custom tailoring memory circuits for specific design needs. The Generic Memory Compiler contains software for the automatic generation of static memory circuits (SRAMs) based on parameters set by the user. It has the ability to generate a range of SRAMs with different output data formats for integrating memory into a design. The Generic Memory Compiler supports both the Synopsys 32/28nm and 90nm Generic Libraries. It is designed for educational and training purposes only and not recommended for fabrication.

FACEBOOK FAN PAGE


Visit the new Synopsys University Program page and 'Like' us today!

SUBSCRIBE NOW!
Subscribe to receive the monthly Synopsys University Program newsletter.

Generic Memory Compiler Basics


Software for memory circuit/layout generation Outputs multiple design views Optimized for use with Synopsys' Digital Design Flow Requires Synopsys University EULA

SYNOPSYS JOINS PACE GLOBAL PARTNERSHIP


Synopsys' Saber product line will be provided to all 57 PACE institutions worldwide.

SaberRD DEMO/STUDENT
Free download for academic and personal use!

Generic Memory Compiler Overview


2 different user interfaces are supported: Command line GUI 4 types of memories are supported: Dual port SRAMs Single port SRAMs Low power dual port SRAMs (32/28nm only) Low power single port SRAMs (32/28nm only) Content: GDSII layout SPICE netlist Schematic and layout views Verilog model VHDL model LEF/FRAM views Parasitic extraction Physical verification The Generic Memory Compiler is available in Members Only for Synopsys University Program members to download. You must have a valid SolvNet ID and password to access. To request support for the Generic Memory Compiler, contact us.

Contact us Members Only Log in


Access curricula and resource downloads (SolvNet ID and password required)

Articles
Suppression of gate-induced drain leakage by optimization of junction profiles in 22 nm and 32 nm SOI nFETs Fault Tolerant Design for Low Power Hierarchical Search Motion Estimation Algorithms A CNFET-based Characterization Framework for Digital Circuits A two-dimensional logarithmic number system (2DLNS)-based

Testimonial
"Using the Synopsys Generic Memory Compiler in our complex processor for DSP application was a great time-saving tool. It helped the students generate the SRAM they wanted in a snap, saving them critical time to concentrate on the rest of the complex design." Dr. Maged Ghoneima, Assistant Professor of Electrical Engineering, American University in Cairo

Finite Impulse Response (FIR) filter design A Directional Gamma Ray Detector Using a Single Chip Computational Sensor Improving Transition Delay Test Using a Hybrid Method A Physics-Based ThreeDimensional Analytical Model for RDF-Induced Threshold Voltage Variations More

Blogs Presentations Events Videos Newsletters Forums

SOLVNET SNUG

www.synopsys.com/COMMUNITY/UNIVERSITYPROGRAM/Pages/generic-memory-compiler.aspx

1/2

11/10/13

Generic Memory Compiler


SNUG CONVERSATION CENTRAL BLOGS EVENTS

2 0 1 3 S yn o p sy s, I n c . A l l Ri g h t s Re se rve d .

Co n t a c t u s | L o c a t i o n s |

P ri va cy | L e g a l

www.synopsys.com/COMMUNITY/UNIVERSITYPROGRAM/Pages/generic-memory-compiler.aspx

2/2

Вам также может понравиться