Вы находитесь на странице: 1из 18

Plataforma FPGA para el Diseo y Evaluacin de Sistemas de Control

Felipe Santiago Espinosa Instituto de Electrnica y Computacin (953) 53 202 14 Ext. 200 fsantiag@mixteco.utm.mx Resumen Este trabajo est relacionado con el desarrollo de mdulos, para la evaluacin de algoritmos de control, en una tarjeta Spartan 3E Starter Board. Dicha tarjeta contiene un FPGA Spartan 3E de Xilinx y, adems, incluye un DAC, un ADC, LCD y diversos perifricos de entrada/salida. Sin embargo, el DAC y el ADC utilizan una interfaz SPI (Serial Port Interfaz), lo que implica que para obtener o generar una seal analgica se requiere de un mdulo secuencial que maneje esta interfaz. Se desarrollaron, en VHDL, los mdulos necesarios para el manejo del LCD y de los distintos perifricos de entrada/salida empleados, as como de los algoritmos de control evaluados. Se presentan resultados experimentales de las pruebas realizadas con la plataforma desarrollada en conjunto con un sistema de control de temperatura. Palabras clave: FPGA, SPI, Picoblaze, VHDL, Sistemas de Control. 1. Introduccin El uso de FPGA's para la implementacin de sistemas electrnicos cada vez es ms demandante, por su velocidad de procesamiento y flexibilidad. En este sentido, en el mercado se ofertan diferentes tarjetas de evaluacin y desarrollo, las cuales incluyen una diversidad de perifricos para aumentar su versatilidad. Un ejemplo es la tarjeta Spartan 3E Starter Board, manufacturada y distribuida por Digilent Inc [2], en la figura 1 se muestra la vista superior de esta tarjeta.

Fig. 1 Vista superior de la tarjeta Spartan 3E Starter Board.

Esta tarjeta es una alternativa de bajo costo ($149.00 USD) para el diseo y evaluacin de sistemas de control, principalmente por la inclusin del DAC y ADC. Sus caractersticas principales son [3]: FPGA XC3S500E, familia Spartan-3E de Xilinx. Memoria Flash de 4 Mbit para configuracin. CPLD XC2C64A, familia CoolRunner. DDR SDRAM de 64 MByte (512 Mbit), interfaz x16, 100+ MHz. Memoria Flash 16 MByte (128 Mbit) para aplicaciones. Memoria Flash 16 Mbits acceso serial, via SPI. Pantalla LCD de 16 caracteres por 2-lneas. Puerto PS/2 y Puerto VGA. Capa fsica Ethernet 10/100. Dos puertos RS-232 de 9 terminales. Interfaz USB para descarga y depuracin Oscilador de 50 MHz. Convertidor Digital a Analgico SPI de cuatro salidas (DAC), con resolucin de 12 bits. Convertidor Analgico a Digital SPI de dos entradas (ADC) con resolucin de 14 bits y pre - amplificador con ganancia programable. Botn rotatorio.

Motivados por el inters prctico de desarrollar sistemas empotrados que permitan la implementacin de algoritmos de control para sistemas fsicos reales a bajo costo, en ste trabajo se presenta el desarrollo de los mdulos necesarios en la tarjeta anteriormente descrita. Este documento est organizado como sigue: en la Seccin II se muestra la organizacin de la plataforma desarrollada; en la Seccin III se presenta el desarrollo de la interfaz SPI que permite el manejo de los convertidores ADC y DAC; en la Seccin IV se presenta la interfaz de usuario; la Seccin V est dedicada al desarrollo del mdulo para el algoritmo de control a evaluar; la Seccin VI muestra la plataforma experimental que se integr para la realizacin de pruebas; la seccin VII presenta los resultados experimentales obtenidos y finalmente en la seccin VIII se dan algunas conclusiones. 2. Organizacin de la Plataforma La plataforma se dise con base en la metodologa Top-Down [4], en el nivel de mayor jerarqua se tienen los mdulos mostrados en la figura 2.

Plataforma FPGA

Interfaz_SPI

Interfaz_Usario

Algoritmo_Control

Fig. 2 Mdulos principales en la plataforma

El mdulo Interfaz_SPI establece una interfaz para manipular a 3 dispositivos de la tarjeta, el DAC, el ADC y el pre-amplificador con ganancia programable. El protocolo SPI establece un esquema Maestro-Esclavos manejado por 3 seales: MOSI (Master Output, Slave Input), MISO (Master Input, Slave Output) y SCK (Reloj del Sistema). En este caso el maestro es el FPGA y los esclavos son: El DAC LTC2624, el ADC LTC1407A-1 y el pre-amplificador LTC6912-1, todos manufacturados por Linear Technology. Puesto que los esclavos comparten el bus SPI, adems de estas tres seales cuentan con seales propias para su habilitacin, de manera que no existan conflictos para un dispositivo mientras otro se est utilizando. En la figura 3 se ilustran las conexiones que se establecen entre el mdulo y los esclavos. Slo se maneja una salida analgica, su valor digital se coloca en D_OUT, y una entrada analgica, su valor digital es obtenido en D_IN.

Interfaz_SPI MISO MOSI AMP_DOUT SCK DAC_CS DAC_CLR DAC_CS DAC_CLR ADC_CONV
12

DAC LTC 2624 SDI SDO SCK Vout A CS/LD Vout B CLR Vout C Vout D

AMP LTC 6912-1 DIN SCK VinA VinB CS/LD SHDN DOUT A B

ADC LTC 1407 A1 SCK VinA VinB CONV SDO

D_OUT

D_IN

14

Fig. 3 Dispositivos manejados por el mdulo Interfaz_SPI

El mdulo Interfaz_Usuario tiene por objetivo manipular la pantalla LCD para mostrar 3 datos importantes en cualquier sistema de control: La referencia, el valor actual de la planta y el error. Con este mdulo tambin se maneja al botn rotatorio e interruptores, necesarios para definir la referencia y los LEDs, nicamente para mostrar el signo del error. El LCD se emplea utilizando una interfaz de 4 bits. En la figura 4 se muestran sus entradas y salidas, relacionadas con los perifricos correspondientes.

Interfaz_Usuario LCD
8

switch_Port

LCD_Port

(16 x 2)

rotatory_Port LED_Port

12

Act_Port

Err_Port

12

Fig. 4 Perifricos manejados por el mdulo Interfaz_Usuario

El mdulo Algoritmo_Control recibe como entradas la magnitud (12 bits) y signo del error para que, con base en el algoritmo programado genere la seal que actuar sobre la planta (12 bits). El error proviene del mdulo Interfaz_Usuario y la salida que acta sobre la planta lo hace a travs del mdulo Interfaz_SPI. En la figura 5 se muestra la relacin existente entre los tres mdulos que conforman la plataforma desarrollada en el FPGA.
Interfaz_Usuario Act_Port Err_Port Algoritmo_Control Error Planta Interfaz_SPI D_OUT D_IN

Fig. 5 Relacin entre mdulos dentro del FPGA

3. Mdulo Interfaz_SPI La interfaz SPI basa su operacin en registros de desplazamiento. El maestro determina la velocidad y marca el inicio de la transferencia. Los datos recibidos por el esclavo son retornados para verificacin. Esto se ilustra en la figura 6, donde ss es para seleccionar un esclavo en particular, dado que pueden ser varios los que comparten la interfaz.
mdulo SPI maestro mdulo SPI esclavo unidad de control SPI

memoria procesador etc.

generador de baudios

SCK

unidad de control SPI

n ... 3 2

1 0

MOSI MISO SS

n ... 3 2

1 0

memoria procesador etc.

registro de desplazamiento

registro de desplazamiento

Fig. 6 Comunicacin va SPI

Este mdulo se plante con base en registros de desplazamiento y un control basado en una mquina de estados, en [5] se encuentran notas de aplicacin en donde se manipula a los dispositivos SPI empleando al Picoblaze. Se prefiri desarrollar un mdulo propio para aprovechar la frecuencia de 50 MHz generada por el oscilador incluido en la tarjeta, frecuencia soportada por el ADC y el DAC. Puesto que son 3 los dispositivos a manejar y el nmero de bits difiere entre ellos, para cada uno se requiri de un registro de desplazamiento con sus bits de carga y desplaza, luego, un mdulo de control se encarga de habilitarlos e ir encausando la informacin a la terminal de salida (MOSI). En la figura 7 se observa la organizacin del mdulo Interfaz_SPI. Todos los componentes se desarrollaron en VHDL.
U1 clk
clk load shift DOut

MOSI

AMP_shift U2 D_Out(11:0)
Din(11:0) clk inc load rst shift fin3 fin3 reset DAC_CS capture cnta load rst_cnt fin2 fin2 DAC_CLR Dout clk fin1 fin1 AMP_CS

U5 U4
clock ADC_CONV

AD_CONV AMP_CS DAC_CLR DAC_CS

rst U3 MISO

DAC_shift

contador

sck sel_sal Dat_par(13:0) shift

SCK AMP_SHDN GND

MISO capture clk shift

control U7
invertido(13:0) normal(13:0)

ADC_shift

D_In(13:0)

recorre

Fig. 7 Organizacin del mdulo Intarfaz_SPI

El contador indica al control cuando se han realizado los desplazamientos requeridos por cada uno de los dispositivos. El control es quien ir generando las tramas SPI e ir activando a los dispositivos para que solo trabaje uno a la vez. En la figura 8 se muestra la trama empleada para el DAC. Para conocer el estado de las seales y el nmero de bits de cada componente, es necesario revisar sus hojas de especificaciones [6, 7, 8].

Fig. 8 Trama utilizada para el manejo del DAC

El control se basa en una mquina de estados, bsicamente se tienen 4 estados jerrquicos, stos se muestran en la figura 9. En el estado inicio el control coloca seales inofensivas en espera que los dispositivos se estabilicen tras un reinicio en la alimentacin. Luego se tiene al estado AMP, el cual incluye la secuencia para configurar al pre-amplificador con una ganancia unitaria. Posterior-mente se tiene una secuencia infinita entre el estado ADC y el estado DAC, con lo que se consigue que ambos convertidores trabajen bajo un esquema de carrera libre.
INICIO AMP

DAC

ADC

Fig. 9 Comportamiento del control

El estado jerrquico ADC requiere de 18 estados simples, de los cuales 5 se ejecutan 14 veces, por lo tanto, requiere de 83 ciclos de reloj. El dato digital se captura cuando han concluido las conversiones. El estado DAC nicamente emplea 7 estados, pero 2 de ellos se ejecutan 23 veces. Por lo tanto, son necesarios 51 ciclos de reloj, el dato a convertir queda atrapado durante el proceso de conversin. Esto significa que cada iteracin en el lazo infinito va a emplear 134 ciclos de reloj. Puesto que el FPGA trabaja a una frecuencia de 50 MHz, las conversiones se estn realizando a una frecuencia de 373.134 KHz. 4. Mdulo Interfaz_Usuario Este mdulo basa su funcionamiento en el procesador Picoblaze. El cual es un procesador de 8 bits que puede implementarse en dispositivos Spartan-3, Virtex-II o dispositivos ms

recientes. Tambin es conocido como KCPSM3, es de distribucin libre y fue desarrollado por Ken Chapman; ingeniero de desarrollo de Xilinx, Inc. Aunque puede ser usado para procesamiento de datos, generalmente es empleado para aplicaciones que requieren una compleja mquina de estados. Un factor importante es su tamao, ocupa solo el 3% de los recursos en un dispositivo XC3S500 [1]. Requiere de un nico bloque de RAM para formar una ROM en donde es posible almacenar un programa de hasta 1024 instrucciones. Los programas con requerimientos mayores pueden ser redireccionados de manera que utilicen mltiples procesadores, cada uno con su respectivo bloque de memoria, para distribuir tareas en diversos sistemas. Su desempeo es de aproximadamente 43 a 66 millones de instrucciones por segundo (MIPS, Millions Instruction Per Second ) y el ncleo puede acondicionarse para su sntesis en VHDL o Verilog. Su repertorio de instrucciones contiene los comandos suficientes para procesamiento de datos de 8 bits y est formado por 42 instrucciones con que puede ejecutar instrucciones lgicas, aritmticas y de transferencia. Incluye memoria de datos, 16 registros de propsito general, banderas, unidad aritmtica lgica (ALU, Aritmethic Logic Unity), una interrupcin externa, la posibilidad de manejar hasta 256 puertos de entrada y 256 puertos de salida [1]. En la figura 10 se muestra al procesador Picoblaze con su correspondiente memoria de cdigo. Pueden observarse las seales disponibles para acondicionar puertos. Los puertos son necesarios para el manejo del LCD, botn rotatorio, interruptores y botones, conocer el estado actual de la planta (desde el ADC, 14 bits) y proporcionar el valor del error (12 bits).

Fig. 10 El ncleo Picoblaze y su memoria de cdigo

Los puertos se agregan codificndolos en VHDL y en total se requiere de 9, 5 puertos de entrada y 4 de salida. El estado actual de la planta y el error se maneja en 2 puertos, dado que los puertos son de 8 bits. En la tabla 1 se listan todos los puertos.

Las direcciones de los puertos de entrada no tienen bits en comn con las direcciones de los puertos de salida, por lo tanto, el acondicionamiento de los 5 puertos de entrada bsicamente se consigue con un multiplexor, como se muestra en la figura 11. La seleccin de la entrada se determina con los 3 bits menos significativos de Port_ID.
Tabla 1. Puertos requeridos Puerto switch_port rotary_port LCD_input_port Act_port_LOW Act_port_HIGH LCD_output_port Err_port_LOW Err_port_HIGH LED_port Dir. 00 01 02 03 04 10 20 40 80 E/S E E E E E S S S S Uso 4 botones y 4 interruptores Codificador Rotatorio Datos del mdulo LCD Estado actual (byte bajo) Estado actual (byte alto) Datos y Control del LCD Error (byte bajo) Error (byte alto) Manejo de los LEDs

Figura 11. Puertos de Entrada

Fig. 12 Puertos de salida

Para los puertos de salida, el nibble ms significativo cambia 1 bit para diferenciar a cada uno de los puertos, por lo tanto, la escritura en un registro queda determinada por el habilitador de escritura y el bit que distingue al puerto. Esto se muestra en la figura 12. En relacin con el LCD, el programa que comande al Picoblaze podr disponer de dos direcciones, una para un puerto de salida y la otra para un puerto de entrada. Sin embargo, realmente el LCD [9] es conectado con el FPGA a travs de un bus bi-direccional de datos, adems de las seales de control, por lo tanto, debe hacerse el acondicionamiento mostrado en la figura 13.

Figura 13. Puertos bidireccional para el LCD

Con la seal lcd_rw se determina si se escribir o leer en el LCD, con 0 se escribe y 1 se lee. Se agrega la seal interna lcd_drive, para que el LCD solo trabaje cuando es habilitado. El cdigo VHDL correspondiente es:
lcd_rw <= lcd_rw_control and lcd_drive; lcd_d <= lcd_output_data when lcd_rw_control='0' and lcd_drive='1') else "ZZZZ";

Para el botn rotatorio tambin debe hacerse un acondicionamiento de hardware [10], esto para que los giros se detecten inmediatamente por interrupcin, y por el estado de los botones se conozca la direccin del giro. El eje no est centrado, con ello hace que dos botones sean presionados en diferente orden, esto se muestra en la figura 14.

Fig. 14 Apariencia del botn rotatorio

En la figura 15 se observa como por la forma de las seales puede determinarse la direccin del movimiento.

Fig. 15 Resultado de los giros del botn rotatorio

La forma inmediata para detectar la direccin de rotacin es: Si B va a un nivel Alto mientras A est en Bajo, la rotacin es a la Izquierda, y si A va a un nivel Alto mientras B est en Bajo, la rotacin es a la Derecha. Sin embargo, la misma irregularidad del eje hace que se inserten cambios inesperados, por lo que debe establecerse un filtro, de manera que una vez que se detecte un cambio en una seal, la actividad subsecuente en ella sea ignorada hasta que ocurra un cambio en la otra seal. El filtro modifica dos seales internas (q1 y q2), que posteriormente son tiles para indicar si hubo algn movimiento y su direccin. En la figura 16 se observa como en q1 se aplica una XNOR, mientras que en q2 una XOR. Anulando los rebotes de los botones.

Fig. 16 Un filtro elimina rebotes y modifica seales internas

En la figura 17 se muestra la aplicacin del filtro en diferentes movimientos, puede notarse que un flanco de subida en q1 indica que se realiz un movimiento y el nivel de q2 indica si el movimiento fue a la izquierda.

Fig. 17 Seales intermedias

Estas seales son las que se utilizan para detectar los movimientos y su direccin, acondicionando el hardware mostrado en la figura 18.

Fig. 18 Las seales intermedias interrumpen al Picoblaze

Dentro de la plataforma, se utiliza al botn rotatorio para aumentar o reducir la referencia, en un factor determinado por los interruptores. Esto se hace en la rutina que atiende a la interrupcin, en la figura 19 se muestra esta evaluacin.
Inicio

Si

Izquierda?

No

Referencia = Referencia - swicth_port

Referencia = Referencia + swicth_port

Fin

Fig. 19 Rutina que da servicio a la interrupcin

El programa que ejecuta el Picoblaze en el mdulo Interfaz_Usuario sigue el diagrama de flujo ilustrado en la figura 20. Los datos dentro del programa se manejan como nmeros

binarios de 12 bits, sin embargo, al momento de hacer la impresin en el LCD, se normalizan a decimal.
Inicio

Inicializa al LCD Referencia = 50 % Despliega Ttulos Habilita Interrupcin

Actual = Act_port Imprime Actual

Recupera Referencia Imprime Referencia

No

Referencia > Actual

Si

Error = Actual - Referencia Signo = 1

Error = Referencia - Ac tual Signo = 0

Imprime Signo Imprime Error Err_port = Error LED_port = Signo

Fig. 20 Programa principal en el Picoblaze

5. Mdulo Algoritmo_Control Bsicamente se implement un algoritmo de control proporcional, para despus probarse en un sistema de control de temperatura. La planta incluye un calefactor y un sensor. Sin embargo, al actuador no se le pueden suministrar voltajes negativos, por lo que este mdulo bsicamente realiza el algoritmo mostrado en la figura 21.

Inicio

No

Signo = 0

Si

Salida = 0

Salida = Kp * Error

Fin

Fig. 21 Flujo del mdulo Algoritmo_Control

6. Prueba de la Plataforma La plataforma con el algoritmo de control proporcional se prob sobre el sistema LTR701, el cual es un sistema de control de temperatura desarrollado por Amira [11]. En la figura 22 se observa la planta a controlar.

Fig. 22 Planta empleada para evaluar la plataforma

El actuador de la planta trabaja en un rango entre 0 y 10 V, y la salida del DAC integrado en la tarjeta est en un rango entre 0 y 3.3 V, por lo tanto, fue necesario realizar un amplificador con ganancia de 3, cuyo diagrama electrnico se muestra en la figura 23.

Fig. 23 Circuito de acondicionamiento para el actuador

El sensor de la planta entrega 0.1 volts por grado centgrado. Puesto que el valor mximo para la temperatura es de 100 C, el voltaje proporcionado por el sensor est entre 0 y 10 V, en contraste con el voltaje que puede recibir el ADC, el cual est en un rango entre 0.4 y 2.9 V, por lo tanto tambin se requiri de un circuito de acondicionamiento, consistente en un reductor de voltaje por un factor de 4 seguido por un sumador para que el valor mnimo sea de 0.4, el diagrama de este circuito se muestra en la figura 24.

Fig. 24 Circuito de acondicionamiento para el sensor

En la figura 25 se muestra el montaje de la plataforma desarrollada con sus circuitos de acondicionamiento y su conexin con la planta.

Fig. 25 Conexin de la plataforma con la planta, utilizando los circuitos de acondicionamiento

Los circuitos de acondicionamiento se basan en Amplificadores Operacionales, por lo tanto, se requiri de una fuente de alimentacin simtrica (+/- 12 V), as como la fuente de 5 V para la tarjeta de desarrollo. El programa del FPGA se grab en su memoria Flash, con ello, no se pierde su configuracin an en ausencia de alimentacin.

7. Resultados Se prob la plataforma utilizando una constante y Kp = 1 en el mdulo Algoritmo_Control, la plataforma funcion correctamente, ante una referencia de 50 C partiendo de la temperatura ambiente, tiende a minimizar el error, aunque no lo nulifica, dado que solamente se utiliz la contribucin proporcional. En la figura 26 se muestra la grafica con el comportamiento de la temperatura que puede visualizarse en la misma planta.

Fig. 26 Resultados observados en la planta

En la figura 27 se muestra la informacin que se presenta en la plataforma de desarrollo, la cual coincide con la informacin que entrega la planta.

Fig. 27 Resultados en la pantalla LDC de la plataforma

Una vez que se verific el funcionamiento de la plataforma, se conect una tarjeta de adquisicin NI-6024E de National Instruments, manipulada con el RTWT de Matlab/Simulink y se hicieron pruebas con diferentes valores para Kp, en las figuras 28 a la 30 se muestran los resultados experimentales obtenidos para los algoritmos de control

proporcional evaluados. Mostrando el estado actual de la planta, proporcionado por el sensor y la seal de control, generada por la plataforma.

Fig. 28 Comportamiento del sistema con Kp = 1

Fig. 29 Comportamiento del sistema con Kp = 2

Fig. 30 Comportamiento del sistema con Kp = 8

En la figura 28 puede verse que aunque el sistema se estabiliza, presenta un error en estado estable. En la figura 30 se observan demasiado sobretiro y oscilaciones alrededor de la temperatura deseada, esto concuerda con la teora [12], dado que un control proporcional no es suficiente para alcanzar un error cero sin evitar que el sistema oscile.

8. Conclusiones La aplicacin de FPGAs en el rea de control automtico proporciona una herramienta flexible, rpida, de bajo costo y con alta capacidad de procesamiento, sin embargo, es necesario el desarrollo de una suite de mdulos que faciliten el diseo de sistemas. Los mdulos desarrollados son transportables a FPGAs Xilinx de diferentes densidades y con excepcin del Picoblaze, la transportabilidad se puede dar con FPGAs de diferentes fabricantes, esto por el uso de VHDL, el cual es un lenguaje estandarizado. Aunque el Picoblaze est elaborado en VHDL, su arquitectura utiliza una definicin de bajo nivel, que explota las caractersticas nicamente en FPGAs de Xilinx. La densidad en los FPGAs actuales proporciona un medio adecuado para desarrollar sistemas empotrados, en la tabla 2 se muestran los principales recursos empleados por la plataforma, se observa que an hay muchos elementos disponibles para implementar algoritmos de control con mayor complejidad.
Tabla 2. Recursos empleados por la plataforma Recurso Slices Ent/Sal Bloques RAM GCLKs Usado 196 39 1 1 Disponible 4, 656 232 20 24 Uso 4% 16 % 5% 4%

El algoritmo de control empleado como prueba es demasiado simple, pero este no es el aspecto relevante en el presente trabajo, lo relevante es que la plataforma queda disponible y con ella en un futuro inmediato se plantea comparar el comportamiento de un controlador PID digital con un controlador difuso. O bien, aplicar la plataforma en otras plantas que se tienen disponibles en el laboratorio de control de la Universidad Tecnolgica de la Mixteca. Para el diseo de un sistema secuencial dentro de un FPGA se tienen dos alternativas: Organizacin modular bajo una metodologa Top-Down o bien, el uso de un procesador empotrado, como el Picoblaze. La eleccin de una u otra est en funcin de los requerimientos. Para el mdulo Interfaz_SPI se prefiri el desarrollo de mdulos propios para que los convertidores trabajen a su frecuencia ms alta. Para la Interfaz_Usuario se opt por el uso del Picoblaze, porque en caso contrario se requeriran mquinas de estado muy complejas para permitir todos los comandos del LCD. Adems, la informacin se ha normalizado para presentarla de manera decimal (y no en binario, como internamente se maneja). Esto requiri un algoritmo para la divisin aritmtica dentro de la funcin que imprime en el LCD.

9. Referencias [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] Chapman, Ken: PicoBlaze KCPSM3 8 bit Microcontroller; Xilinx, Inc; June, 2005. Digilent Inc., Digital Design Engineers Source < http://www.digilentinc.com/ > Spartan-3E Starter Kit Board User Guide, Xilinx, Inc., version 1.0a, March 9, 2006 ROMERO, Ren: Sistemas Digitales con VHDL; Legaria Ediciones Xilinx: Prototyping and Development Boards; Spartan-3e Starter Kit Design Examples, http://www.xilinx.com/products/boards/s3estarter/reference_designs.htm LTC2624 Quad DAC Data Sheet http://cds.linear.com/docs/Datasheet/2604fd.pdf LTC6912 Dual Programmable Gain Amplifiers with Serial Digital Interface http://cds.linear.com/docs/Datasheet/6912fa.pdf LTC1407A-1 Serial 14-bit Simultaneous Sampling ADCs with Shutdown http://cds.linear.com/docs/Datasheet/14071fa.pdf Chapman, Ken: Initial Design for Spartan-3E Starter Kit (LCD Display Control), Xilinx Inc., February 2006 Chapman, Ken: Rotary Encoder Interface for Spartan-3E Starter Kit, Xilinx Inc., February 2006 Manual de usuario del sistema LTR701. Katsuhiko, Ogata: Ingeniera de control utilizando Matlab, Prentice Hall, Espaa, 1998.

Вам также может понравиться