Вы находитесь на странице: 1из 11

Paso 1.

INTEGRADO 555 (Figura 1)

El circuito entrega a su salida un solo pulso de un ancho establecido. Para calcular el tiempo en el que la salida est en nivel alto es: T= In(3)*R*C [SEGUNDOS] T 1,1*R*C [SEGUNDOS] COMPUERTAS LGICAS

7404

7408

7432

Paso 2. FLIP-FLOP JK (Figura 2)

Tiene tres entradas sncronas (J, K y CLK). Las entradas J y K son entradas de datos, y la entrada de reloj transfiere el dato de las entradas a las salidas.

TABLA DE LA VERDAD

Observamos los modos de operacin en la parte izquierda y la tabla de la verdad hacia la derecha. La lnea 1 muestra la condicin de "mantenimiento", o inhabilitacin. La condicin de "reset" del flip-flop se muestra en la lnea 2 de la tabla de verdad. Cuando J=0 y K=1 y llega un pulso de reloj a la entrada CLK, el flip-flop cambia a 0(Q=0).

La lnea 3 muestra la condicin de "set" del flip-flop JK. Cuando J=1 y K=0 y se presenta un pulso de reloj, la salida Q cambia a 1. La lnea 4 muestra una condicin muy difcil para el flip-flop JK que se denomina de conmutacin.

Paso 3.

PUENTE H (Figura 3)

El puente H se usa para invertir el giro de un motor, pero tambin puede usarse para frenarlo (de manera brusca), al hacer un corto entre las bornas del motor, o incluso puede usarse para permitir que el motor frene bajo su propia inercia, cuando desconectamos el motor de la fuente que lo alimenta. En el siguiente cuadro se resumen las diferentes acciones.}

Paso 4.

Integrado L293D (Figura4)

El integrado L293D incluye cuatro circuitos para manejar cargas de potencia media, en especial pequeos motores y cargas inductivas, con la capacidad de controlar corriente hasta 600 mA en cada circuito y una tensin entre 4,5 V a 36 V.

Desarrollo y simulacin

LISTADO DE MATERIALES

Batera 9V 1 Regulador 7805 2 Resistencias de 18,2k y 1 de 10k 2 Capacitores de 10uf, 1 de 100uf y 1 de 150uf 2 Integrados 555 1 Flip fop jk 4027 1 Puente h L239D 2 Switches NO 2 Compuertas or 7432 4 Compuerta and 7408 2 Compuerta not 7404 2 motores Ruedas Cable

DIAGRAMA DE FLUJO

DIAGRAMAS DE BLOQUES

library ieee; use ieee. std_logic_1164.ALL; use ieee. numeric_std.ALL; librery work; use work. ProgramablelogicDevice3_pkg.ALL; entity ProgrammablelogicDevice3 is port ( SW1 : out std_logic; Sw2 : out std_logic; IO1 : out std_logic; IO2 : out std_logic; LED1 : out std_logic; LED2 : out std_logic; OT1 : out std_logic; OT2 : out std_logic; sys_clk_pin : in std_lOgic ); end ProgrammableLogicDevice3; architecture behavioral of ProgrammableLogicDevice3 is component AUTO_IBUF port ( I : in std_logic; O : out std_logic; ); end component;

component AUTO_OBUF port ( I : in std_logic; O : out std_logic; ); end component; component logic port ( IO3 : out std_logic; IO4 : out std_logic; OT3 : out std_logic; OT4 : out std_logic; OT5 : out std_logic; OT6 : out std_logic );

end component; component controller port ( IO5 : out std_logic; IO6 : out std_logic; IO7 : out std_logic; IO8 : out std_logic; OT7 : in std_logic; OT8 : out std_logic; OT9 : in std_logic; OT10 : out std_logic; ); end component; end behavioral;

CIRCUITO LGICO

TABLA LGICA

A B G H 0 0 0 0 0 0 0 0 0 1 0 1 0 1 0 1 1 0 1 0 1 0 1 0 1 1 1 1 1 1 1 1

C I 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1

D E=(A*B) F=(C*D) S1=(E+F) ~S1 J K=(G*H) L=(I*J) S2=(K+L) ~S2 0 0 0 0 1 1 0 0 0 1 0 0 0 0 1 1 0 1 1 0 0 0 0 0 1 1 0 0 0 1 0 0 0 0 1 1 0 1 1 0 0 0 0 0 1 1 0 0 0 1 0 0 0 0 1 1 0 1 1 0 0 1 0 1 0 1 1 0 1 0 0 1 0 1 0 1 1 1 1 0

KARNAUGH

AB \ CD \IJ GH 00 01 10 11

00 01 10 11 1 1 1 1 1 1 1 1 1

SIMULACIN (SW1 Y SW2 ABIERTOS)

SIMULACIN (SW1 CERRADO Y SW2 ABIERTO)

SIMULACIN (SW1 ABIERTO Y SW2 CERRADO)

CIRCUITO OPCION 2

R1
10k

R2
20k 6 TH

U1
TR 2

GND

CV 7 3 DC
VCC

RL1
G2RL-24B-DC5

NE555

C1
50u

R3
10

R4
10

C2
40u

C3 B1 SW1
SW-SPST-MOM 24V 1n

RL2
G2RL-24B-DC5

R6
20k 6
1

R7 U2
TR 2 10

R8
10

R5
10k

TH

GND

CV 7 3 DC
VCC

C6 C5
40u 1n

NE555

C4
50u

Вам также может понравиться