Вы находитесь на странице: 1из 15

CURSO DE SI STEMAS DI GI TALES SECUENCI ALES.

TRABAJ O COLABORATI VO 1



PRESENTADO POR:
J UAN SEBASTIN PREZ GMEZ
C.C. 1075273431
WALTER ANDRS ARGOTE
MANOSALVA ARTEAGA J OHANA PATRI CIA
C.C 1.085.246.556
NEYED J AVI ER ALARCON



PRESENTADO A:
CARLOS EMEL RUI Z





UNI VERSI DAD NACI ONAL ABI ERTA Y A DI STANCI A
ESCUELA DE CI ENCIAS BSI CAS TECNOLOG A E I NGENI ER A
PROGRAMA DE TECNOLOG A EN ELECTRNI CA
AO 2013



1

I NTRODUCCI ON

El curso acadmico nos dar a conocer aspectos de mucha particularidad en la Ingeniera
y sus diferentes predominios, en este curso encontraremos conceptos bsicos sobre el tema
y nos dar a conocer cmo utilizar estos en momentos necesarios de nuestra vida cotidiana.
El modulo est orientado a la autogestin estudiantil de los conocimientos tericos para la
comprensin de la estructura y funcionamiento los Sistemas Digitales Secuenciales.
Este trabajo nos brinda la oportunidad de ampliar los conocimientos sobre la primera
unidad del curso y conocer a los compaeros del grupo con el fin de debatir los temas
relacionados con sistemas digitales secuenciales. Adems, nos brinda las herramientas
necesarias para realizar aplicaciones de alto nivel como lo son los robots autnomos o
aplicaciones particulares en Ingeniera electrnica.
En este trabajo aplicamos los conocimientos de circuitera combinacional y circuitos
secuenciales de la primera unidad, para desarrollar un robot que al encontrar un obstculo,
retroceda y cambie de direccin. Los sensores son los directamente encargados de que el
robot pueda comunicarse con su entorno. El diseo y posterior desarrollo del robot nos
ayuda a comprender el funciona miento bsico de los sistemas digitales y su amplio mundo.
















2

OBJ ETI VOS

Identificar y conocer nociones, conceptos, tendencias y terminologa bsicos que
configuran el campo general de la Ing. Electrnica mediante la profundizacin en los
diferentes campos de esta materia.

Fortalecer y afianzar los conocimientos de circuitos secuenciales estudiados en la
primera unidad.

Interactuar con los compaeros de grupo y generar aportes que sean pertinentes con la
solucin del diseo solicitado.

Utilizar el Circuito Integrado 555 y disear un temporizador en modo monoestable, para
controlar el tiempo de reversa del robot.

Disear un pequeo Robot utilizando la circuitera combinacional vista en la unidad 1
que se mueva continuamente hacia adelante, de manera que al encontrar un obstculo,
retroceda y cambie de direccin.

Construir el diagrama de bloques en VHDL que debera seguir un programa para
cumplir con las funciones del circuito diseado.

Disear el circuito e implementarlo usando un software de simulacin, para verificar su
funcionamiento.










3

Desarrollo de la actividad

Descripcin del problema.
Usando circuitera combinacional y los circuitos secuenciales estudiados en la primera
unidad, disearemos un pequeo robot, impulsado por dos motores DC, uno en cada rueda
trasera del vehculo. El carro contar con dos microswiches en su parte frontal que le
servirn para detectar el impacto con un obstculo.
El diseo debe ser tal, que el vehculo inicie su marcha hacia adelante. Una vez el vehculo
impacte, debe recordar este choque con el fin de que pueda retroceder girando en un sentido
diferente luego de cada impacto.
Una vez que uno de los microswiches hayan detectado el impacto del vehculo, ste deber
retroceder con un tiempo de reversa de 3 segundos, este tiempo debe ser controlado usando
un temporizador 555 en modo monoestable, una vez transcurrido este perodo de tiempo, el
vehculo deber iniciar nuevamente su marcha hacia adelante.
El carro deber tener la propiedad de desplazarse en cualquier direccin es de derecha o
izquierda y ser alimentado con una batera de 5 voltios para tener una alimentacin de
corriente continua al circuito.

Diagrama de bloques.




A = 10 10 ADELANTE = 11
B = 01 10 IZQUIERDA ATRS = 01
C = 10 01 DERECHA ATRS = 10
Temporizador
0 = flanco de bajada
1 = flanco de subida

DEFINICION
DEL
PROBLEMA
ETAPA
DE
DISEO
ETAPA
LOGIC
A
ETAPA DE
MEMORIA
CIRCUITO
SECUENCIAL
SINCRONIZADO
CIRCUITO



11
00/A
11/B
01/A
10/C
00/A
01
10


4




















Lgica Combinacional
Elemento
de
memoria
Salida
Entrada
Memorice
el registro
Inicio
Vehculo
arranca hacia
Cambia de giro
hacia atrs los
motores
sensores
Tempori
za 3
segundo
s
Registra
un
impacto
No
Si
Si
Cambia de giro
No
Memoric
e el
registro
Si
No


5
Variables lgicas de entrada y de salida del sistema.

VARIABLES DE ENTRADA
La seal de entrada estar gobernada por los dos microswiches o sensores quienes
entregarn un estado 1 o 0 al pin 2 entrada de cada integrado 555 en monoestable.
VARIABLES DE SALIDA
La seal de salida se ver reflejada en el movimiento de los motores quienes estn
controlados por la parte de potencia que para este diseo se emple el integrado puente H
L298, que a su vez genera el movimiento general del robot, de la siguiente manera, si hay
deteccin del obstculo:
1. El robot retrocede
2. Encamina su movimiento hacia atrs por un tiempo de 3 segundos
3. Cambia su direccin hacia la derecha o hacia la izquierda.
4. Va hacia adelante nuevamente.
5. Si no hay deteccin del obstculo, el robot continua su movimiento hacia adelante.

ESTRUCTURA EN VHDL

LIBRARY ieee;
USE ieee.std_logic_1164.all; ENTITY proyecto1
IS PORT(switche1, switche2: IN BIT; C: OUT BIT;
MotorDC1: OUT BIT_VECTOR (1 DOWNTO 0);
MotorDC2: OUT BIT_VECTOR (1 DOWNTO 0));
END proyecto1;
ARCHITECTURE Robot_SAVAGERY OF proyecto1 IS BEGIN

TABLA DE VERDAD QUE MUESTRA LA RELACI N ENTRE LAS VARI ABLES
DE ENTRADA Y SALIDA DEL SI STEMA
La relacin de entrada y salida se muestra en la siguiente tabla, en donde el 1 representa el
interruptor conectado a 5 voltios y 0 a tierra.




6


Tabla de verdad del Flip Flop D (Circuito secuencial)

A la salida podremos mirar que el robot realiza los movimientos que se ven en la siguiente
tabla:

MOTOR 1 MOTOR 2
COMENTARI O
0 1 0 1 Adelante
1 0 1 0 Atrs

MOTOR 1 MOTOR 2
COMENTARI O
0 1 1 0 Derecha
1 0 0 1 Izquierda















7

DI SEO Y SIMULACION DEL CI RCUI TO MEDI ANTE EL SOFTWARE PROTEUS.











8
















































9
9. MONTAJ E EN LABORATORI O


Etapa de control





Fuente reguladora 5v








10

Motores para cada rueda





Montaje del circuito y motores al vehculo












11
Sensores microswiches






Montaje rueda loca parte frontal del vehculo









12
Montaje final del vehculo






Link del video.
http://www.youtube.com/watch?v=sXkxus1Y3HE
























13



CONCLUSI ONES


Se dio a entender nociones, conceptos, tendencias y terminologa bsicos que
configuran el campo general de la Ingeniera Electrnica mediante la
profundizacin en los diferentes campos de esta materia.

Se logr afianzar los conocimientos de circuitos secuenciales estudiados en la
primera unidad.

Mediante una utilizacin adecuada del Circuito Integrado 555 se dise un
temporizador en modo monoestable, para controlar el tiempo de reversa del robot.

Se Dise un pequeo Robot utilizando la circuitera combinacional vista en la
unidad 1, obteniendo un movimiento continuamente hacia adelante, de manera que
al encontrar un obstculo, retroceda y cambie de direccin.


Mediante el software Proteus se logro disear el circuito e implementarlo, para
verificar su funcionamiento.























14



REFERENCI AS BI BLIOGRFI CAS


RUIZ HIGUERA, Carlos Emel (2013). Gua Trabajo Colaborativo 1.
Curso Sistemas digitales Secuenciales. Recuperado de:
http://www.unad.learnmate.co/file.php/388/90178II/Guia_de_Actividades_y
_Rubrica_de_Evaluacion_Actividad_6.pdf

ACEVEDO GONZALES, Georffrey, Bogot D.C., (2008).
Modulo del curso Sistemas digitales Secuenciales. Recuperado de:
http://www.unad.learnmate.co/mod/resource/view.php?inpopup=true&id=1
8729

Вам также может понравиться