Вы находитесь на странице: 1из 18

Nacional Mayor de San Marcos

Facultad de Ingeniera de Sistemas e Informtica


E.A.P. de Ingeniera de Sistemas

INFORME DEL LABORATORIO N 1

TEMA : Biestables asncronos y sncronos

ALUMNO : Valle Rojas Cesar Manuel

CDIGO : 09200117

CURSO : Sistemas Digitales

PROFESOR : Ing. Utrilla Salazar Dario


2013



INTRODUCCIN

En el presente laboratorio, se desarrollara el anlisis funcional de los biestables asncronos
(Latchs) y Sncronos (Flip Flops); los cuales representan los dispositivos fundamentales para el
diseo de registros, Contadores, Maquinas de estados, memorias y todo circuito secuencial.

OBJETIVOS

1. OBJETIVOS GENERALES

Implementar los circuitos biestables asncronos (Latch) y sncronos (Flip Flop),
utilizando puertas lgicas.
La visualizacin del funcionamiento de cada una de los biestables (Latchs y Flip Flops.)
utilizando leds en las salidas.
Implementar circuitos bsicos con biestables.
Adquirir destreza para el montaje y cableado de circuitos digitales en el prothoboard.
Que el estudiante aprenda utilizar los principios bsicos para el anlisis de circuitos
digitales secuenciales mediante simuladores y que tenga la capacidad de realizar la
deteccin de fallos, corregirlos y comprobar su buen funcionamiento.

2. OBJETIVOS ESPECIFICOS

Para cada funcin lgica implementar con circuitos integrados de tecnologa TTL (Serie
74). Buscar las referencias correspondientes en los manuales adecuados.
Se implementar como entradas lgicas DIPSWITCHs y como salidas lgicas LEDs.
(Ver en el marco terico del presente documento sus circuitos elctricos).
Implementar cada circuito en prothoboard, analizar su funcionamiento y luego construya
las tablas de verdad de los circuitos.
PARA EL INFORME PREVIO

Pregunta N 1: Describir el concepto de Biestable asncrono, analice su funcionamiento y
mencione los tipos de Latches.
Un biestable, tambin llamado bscula (flip-flop en ingls), es un multivibrador capaz de
permanecer en un estado determinado o en el contrario durante un tiempo indefinido. Esta
caracterstica es ampliamente utilizada en electrnica digital para memorizar informacin. El
paso de un estado a otro se realiza variando sus entradas.
Los biestables asncronos slo tienen entradas de control. El ms empleado es el biestable RS.
Los tipos de Latches son SR y D.



Pregunta N 2: Describa el concepto de Biestable sncrono, analice su funcionamiento y
describa los tipos de flip flops convencionales.
Los biestables adems de las entradas de control posee una entrada de sincronismo o de reloj.
Si las entradas de control dependen de la de sincronismo se denominan sncronas y en caso
contrario asncronas. Por lo general, las entradas de control asncronas prevalecen sobre las
sncronas.
A su vez los biestables sncronos pueden ser:
1. Disparados por nivel. Los cambios son efectivos cuando la entrada de disparo del
biestable est a un nivel activo, durante todo el tiempo que dura el dicho nivel.
(RS, JK y D).
2. Disparados por flanco. Los cambios se producen slo en los momentos de cambios
del reloj (flancos, transiciones). (RS, JK, D y T).
3. Maestro / Esclavo (Master / Slave). (RS, JK, D y T).

Pregunta N 3: De los manuales tcnicos obtener los IC TTL y CMOS, que realizan la funcin
de latch y flip flops, analice su tabla de verdad y funcionamiento.
Tecnologa TTL
TTL es la sigla en ingls de transistor-transistor logic, es decir, "lgica transistor a transistor".
Es una familia lgica o lo que es lo mismo, una tecnologa de construccin de circuitos
electrnicos digitales. En los componentes fabricados con tecnologa TTL los elementos de
entrada y salida del dispositivo son transistores bipolares.
Caractersticas
Su tensin de alimentacin caracterstica se halla comprendida entre los 4,75v y los
5,25V (como se ve, un rango muy estrecho). Normalmente TTL trabaja con 5V.
Los niveles lgicos vienen definidos por el rango de tensin comprendida entre 0,0V y
0,8V para el estado L (bajo) y los 2,4V y Vcc para el estado H (alto).
La velocidad de transmisin entre los estados lgicos es su mejor base, si bien esta
caracterstica le hace aumentar su consumo siendo su mayor enemigo. Motivo por el
cual han aparecido diferentes versiones de TTL como FAST, LS, S, etc y ltimamente
los CMOS: HC, HCT y HCTLS. En algunos casos puede alcanzar poco ms de los 250
MHz.
Las seales de salida TTL se degradan rpidamente si no se transmiten a travs de
circuitos adicionales de transmisin (no pueden viajar ms de 2 m por cable sin graves
prdidas).

Resea Histrica
Aunque la tecnologa TTL tiene su origen en los estudios de Sylvania, fue Signetics la
compaa que la populariz por su mayor velocidad e inmunidad al ruido que su predecesora
DTL, ofrecida por Fairchild Semiconductor y Texas Instruments, principalmente Texas
Instruments inmediatamente pas a fabricar TTL, con su familia 74xx que se convertira en un
estndar de la industria.
Familias TTL
Los circuitos de tecnologa TTL se prefijan normalmente con el nmero 74 (54 en las series
militares e industriales). A continuacin un cdigo de una o varias cifras que representa la
familia y posteriormente uno de 2 a 4 con el modelo del circuito.
Con respecto a las familias cabe distinguir:
TTL: Serie estndar.
TTL-L (low power): Serie de bajo consumo.
TTL-S (schottky): Serie rpida (usa diodos Schottky).
TTL-AS (advanced schottky): Versin mejorada de la serie anterior.
TTL-LS (low power schottky): Combinacin de las tecnologas L y S (es la familia ms
extendida).
TTL-ALS (advanced low power schottky): Versin mejorada de la serie LSS .
TTL-F (FAST: fairchild advanced schottky).
TTL-AF (advanced FAST): Versin mejorada de la serie F.
TTL-HCT (high speed C-MOS): Serie HC dotada de niveles lgicos compatibles con
TTL.
TTL-G (GHz C-MOS): GHz ( From lbkj).

Biestable D (Data o Delay)

Smbolos normalizados: Biestables D a) activo por nivel alto y b) activo por flanco de subida.
El flip-flop D resulta muy til cuando se necesita almacenar un nico bit de datos (1 o 0). Si se
aade un inversor a un flip-flop S-R obtenemos un flip-flop D bsico. El funcionamiento de un
dispositivo activado por el flanco negativo es, por supuesto, idntico, excepto que el disparo
tiene lugar en el flanco de bajada del impulso del reloj. Recuerde que Q sigue a D en cada
flanco del impulso de reloj.
Para ello, el dispositivo de almacenamiento temporal es de dos estados (alto y bajo), cuya
salida adquiere el valor de la entrada D cuando se activa la entrada de sincronismo, C. En
funcin del modo de activacin de dicha entrada de sincronismo, existen dos tipos:
Activo por nivel (alto o bajo), tambin denominado registro o cerrojo (latch en ingls).
Activo por flanco (de subida o de bajada).
La ecuacin caracterstica del biestable D que describe su comportamiento es:

Y su tabla de verdad:
D Q Q
siguiente

0 X 0
1 X 1
X=no importa
Esta bscula puede verse como una primitiva lnea de retardo o una retencin de orden cero
(zero order hold en ingls), ya que los datos que se introducen, se obtienen en la salida un ciclo
de reloj despus. Esta caracterstica es aprovechada para sintetizar funciones de
procesamiento digital de seales (DSP en ingls) mediante la transformada Z.
Ejemplo: 74LS74

Biestable T (Toggle)

Smbolo normalizado: Biestable T activo por flanco de subida.
Dispositivo de almacenamiento temporal de 2 estados (alto y bajo). El biestable T cambia de
estado ("toggle" en ingls) cada vez que la entrada de sincronismo o de reloj se dispara
mientras la entrada T est a nivel alto. Si la entrada T est a nivel bajo, el biestable retiene el
nivel previo. Puede obtenerse al unir las entradas de control de un biestable JK, unin que se
corresponde a la entrada T. No estn disponibles comercialmente.
La ecuacin caracterstica del biestable T que describe su comportamiento es:

y la tabla de verdad:
T Q Q
siguiente

0 0 0
0 1 1
1 0 1
1 1 0

Biestable J K
Es verstil y es uno de los tipos de flip-flop ms usados. Su funcionamiento es idntico al del
flip-flop S-R en las condiciones SET, RESET y de permanencia de estado. La diferencia est
en que el flip-flop J-K no tiene condiciones no vlidas como ocurre en el S-R.
Este dispositivo de almacenamiento es temporal que se encuentra dos estados (alto y bajo),
cuyas entradas principales, J y K, a las que debe el nombre, permiten al ser activadas:
J: El grabado (set en ingls), puesta a 1 nivel alto de la salida.
K: El borrado (reset en ingls), puesta a 0 nivel bajo de la salida.
Si no se activa ninguna de las entradas, el biestable permanece en el estado que posea tras la
ltima operacin de borrado o grabado. A diferencia del biestable RS, en el caso de activarse
ambas entradas a la vez, la salida adquirir el estado contrario al que tena.
La ecuacin caracterstica del biestable JK que describe su comportamiento es:

Y su tabla de verdad es:
J K Q Q
siguiente

0 0 0 0
0 0 1 1
0 1 X 0
1 0 X 1
1 1 0 1
1 1 1 0
X=no importa
Una forma ms compacta de la tabla de verdad es (Q representa el estado siguiente de la
salida en el prximo flanco de reloj y q el estado actual):
J K Q
0 0 q
0 1 0
1 0 1
1 1

El biestable se denomina as por Jack Kilby, el inventor de los circuitos integrados en 1958, por
lo cual se le concedi el Premio Nobel en fsica de 2000.




Pregunta N 4: Cul es la diferencia entre un latch y un flip flop?
Un latch es un circuito electrnico usado para almacenar informacin en sistemas lgicos
asncronos. Un latch puede almacenar un bit de informacin. Los latches se pueden agrupar,
algunos de estos grupos tienen nombres especiales, como por ejemplo el 'latch quad ' (que
puede almacenar cuatro bits) y el 'latch octal' (ocho bits). Los latches pueden ser dispositivos
biestables asncronos que no tienen entrada de reloj y cambian el estado de salida solo en
respuesta a datos de entrada, o bien biestables sncronos por nivel, que cuando tienen datos
de entrada, cambian el estado de salida slo si lo permite una entrada de reloj.
Los latches a diferencia de los conectores no necesitan una seal de reloj para su
funcionamiento.

RS latch
El latch lgico ms simple es el RS, donde R y S permanecen en estado 'reset' y 'set'. El latch
es construido mediante la interconexin retroalimentada de puertas lgicas NOR (negativo OR),
o bien de puertas lgicas NAND (aunque en este caso la tabla de verdad tiene salida en lgica
negativa para evitar la incongruencia de los datos). El bit almacenado est presente en la salida
marcada como Q.
Se pueden dar las siguientes combinaciones de entrada: set a 1 y reset a 0 (estado 'set'), en
cuyo caso la salida Q pasa a valer 1; set a 0 y reset a 0 (estado 'hold'), que mantiene la salida
que tuviera anteriormente el sistema; set a 0 y reset a 1 (estado 'reset'), en cuyo caso la salida
Q pasa a valer 0; y finalmente set a 1 y reset a 1, que es un estado indeseado en los
biestables de tipo RS, pues provoca oscilaciones que hacen imposible determinar el estado de
salida Q.
Esta situacin indeseada se soluciona con los biestables tipo JK, donde se aade un nivel
ms de retroalimentacin al circuito, logrando que dicha entrada haga conmutar a las salidas,
denominndose estado de 'toggle'.



Latches y Flip-flops
Los dos tipos de memoria comnmente utilizados en los circuitos de conmutacin son los
latches y los flip-flops.
Un latch es un elemento de memoria cuyas seales de entrada de excitacin controlan el
estado del dispositivo.
Un flip-flop difiere de un latch por el hecho de que tiene una seal de control llamada reloj.
La seal de reloj emite una instruccin al flip-flop permitindole cambiar de estado de
acuerdo con las seales de entrada de excitacin. En los latches y los flip-flops, el siguiente
estado queda determinado por las entradas de excitacin.
Un latch cambia de estado de inmediato, segn sus seales de excitacin de entrada,
mientras que un flip-flop espera la seal de su reloj antes de cambiar de estado.
Pregunta N 5: Analice el funcionamiento del flip flop Maestro Esclavo e investigar sus
ventajas.
Un flip flop maestro-esclavo se construye con dos FF, uno sirve de maestro y otro de esclavo.
Durante la subida del pulso de reloj se habilita el maestro y se deshabilita el esclavo. La
informacin de entrada es transmitida hacia el FF maestro. Cuando el pulso baja nuevamente a
cero se deshabilita el maestro lo cual evita que lo afecten las entradas externas y se habilita el
esclavo. Entonces el esclavo pasa al el mismo estado del maestro. El comportamiento del flip-
flop maestro-esclavo que acaba de describirse hace que los cambios de estado coincidan con
la transicin del flanco negativo del pulso.





Biestable JK Maestro-Esclavo

Smbolos normalizados: Biestable JK Maestro-Esclavo a) activo por nivel alto y b) activo por
nivel bajo
Aunque an puede encontrarse en algunos equipos, este tipo de biestable, denominado en
ingls J-K Flip-Flop Master-Slave, ha quedado obsoleto ya que ha sido reemplazado por el tipo
anterior.
Su funcionamiento es similar al JK activo por flanco: en el nivel alto (o bajo) se toman los
valores de las entradas J y K y en el flanco de bajada (o de subida) se refleja en la salida.


Otra forma de expresar la tabla de verdad del biestable JK es mediante la denominada tabla de
excitacin:
q Q J K

0 0 0 X
0 1 1 X
1 0 X 1
1 1 X 0

Siendo q el estado presente y Q el estado siguiente.
La ecuacin caracterstica del flip flop JK es: Q(t+1)=JQ+KQ la cual se obtiene de la tabla
caracterstica del flip flop.
Pregunta N 6: Describir las caractersticas de disparo de flip flops por pulso y por flanco.
El disparo por flanco significa que el cambio de estado a la salida del flip flop se producir por
una transicin de 1 a 0 (o 0 a 1) de la entrada, o sea no se dispara con un nivel constante de
entrada sino con transiciones de un nivel a otro. El flip flop debe decir si se dispara por flanco
negativo (transicin 1 a 0) o flanco positivo (transicin 0 a 1). El 0 generalmente es nivel de
lnea a tierra y el 1 de fuente de alimentacin.

Pregunta N 7: Utilizando Flip flop J-K, desarrollar los circuitos para convertir a:
a) Flip Flop J-K a Flip Flop R-S
S y R sern las entradas externas a J y K. Como se muestra en el diagrama lgico a
continuacin, J y K sern las salidas del circuito combinatorio. Por lo tanto, los valores de J y K
tienen que ser obtenidos en trminos de S, R y Qp. El diagrama lgico se muestra a
continuacin.
Una tabla de conversin debe ser escrita usando S, R, Qp, Qp + 1, J y K. Durante dos
entradas, S y R, ocho combinaciones se hacen. Para cada combinacin, las correspondientes
salidas de Qp 1 se encuentran ut. Las salidas para las combinaciones de S = 1 y R = 1 no
estn permitidos para un flop SR flip. As, las salidas se consideran no vlidas y los valores de
J y K se toman como "no le importa".

b) Flip Flop J-K to Flip Flop T
J y K son las entradas reales del Flip Flop y T se toma como la entrada externa para la
conversin. Cuatro combinaciones se producen con T y Qp. J y K se expresan en trminos de
T y Qp. La tabla de conversin,
K-mapas, y el diagrama lgico se dan a continuacin.

c) Flip Flop J-K to Flip Flop D
D es la entrada externa y J y K son las entradas reales del flip-flop. D y Qp hacer cuatro
combinaciones. J y K se expresan en trminos de D y Qp. La tabla de combinacin de cuatro
de conversin, el K-mapas para J y K en trminos de D y Qp, y el diagrama lgico que muestra
la conversin de JK a D se dan a continuacin.








IMPLEMENTACION


1. Implementar el circuito mostrado en la Figura 1. Analice su funcionamiento y desarrolle
su Tabla de Verdad.



Tabla de Verdad
S R Q Q
0 0 1 1
0 1 0 1
1 0 1 0
1 1 1 0
1 1 0 1

2. Implementar el circuito mostrado en la Figura, analizar su funcionamiento y construir su
tabla de verdad.








CLK CON FRANCO NEGATIVO CLK CON FRANCO POSITIVO


P C R S Q Q
0 0 0 0 X X
0 0 0 1 X X
0 0 1 0 X X
0 0 1 1 X X
0 1 0 0 0 1
0 1 0 1 0 1
0 1 1 0 0 1
0 1 1 1 0 1
1 0 0 0 1 0
1 0 0 1 1 0
1 0 1 0 1 0
1 0 1 1 1 0
1 1 0 0 1 1
1 1 0 1 1 1
1 1 1 0 1 1
1 1 1 1 1 1



3. Implementar el Circuito de la Figura 3, analice su funcionamiento y construir su
Diagrama de tiempo.






P C R S Q Q
0 0 0 0 X X
0 0 0 1 X X
0 0 1 0 X X
0 0 1 1 X X
0 1 0 0 0 1
0 1 0 1 0 1
0 1 1 0 0 1
0 1 1 1 0 1
1 0 0 0 1 0
1 0 0 1 1 0
1 0 1 0 1 0
1 0 1 1 1 0
1 1 0 0 1 1
1 1 0 1 1 1
1 1 1 0 1 1
1 1 1 1 1 1
Diagrama de tiempo




4. Utilizando el Flip Flop D, disear un circuito que el permita convertir a Flip Flop JK.
Implementar el circuito y verifique su tabla de verdad.





5. Utilizando el Flip Flop D, disear un circuito que el permita convertir a Flip Flop T,
implementar el circuito y verifique su tabla de verdad.


T input outputs D input
Q
p
Q
p+1

0 0 0 0
0 1 1 1
1 0 1 1
1 1 0 0

D




6. Implementar el circuito de la Figura 4, analice su funcionamiento y desarrolle su tabla de
verdad.






T \ Q
p
0 1
0 0 1
1 1 0
Tabla de Verdad

J K Q Q
0 0 1 0
0 1 X 0
1 0 1 0
1 1 X 0


CARACTERSTICAS TCNICAS DE LOS LATCH Y FLIP FLOPS COMERCIALES


FLIP FLOP D 74LS74

Caractersticas Tcnicas:
IC, DUAL, D FLIP-FLOP, 14DIP Flip-Flop Type: D Propagation Delay: 13ns Frequency: 33MHz
Supply Voltage Range: 4.75V to 5.25V Logic Case Style: DIP No. of Pins: 14 Output Current:
16mA Trigger Type: Positive Edge Operating Temperature Range: 0C to +70C Device
Marking: 74LS74 IC Generic Number: 74LS74 IC Temperature Range: Commercial Logic
Function Number: 7474 Logic IC Base Number: 7474 Logic IC Family: LS Logic IC Function:
Dual D-Type Flip-Flop with Set and Reset Positive-Edge Trigger Operating Temperature Max:
70C Operating Temperature Min: 0C Output Current Max: 8mA Package / Case: DIP Supply
Voltage: 5.25V Supply Voltage Max: 5.25V Supply Voltage Min: 4.75V.

FLIP FLOP JK 74LS76

Caractersticas Tcnicas:
Dual J-K Flip Flop
Supply Voltage Range: 4.75V to 5.25V
Operating Temperature Range: 0C to +70C
Logic IC Base Number: 7476
Logic IC Family: LS Logic IC Function: Flip Flop
Supply Voltage Max: 5.25V Supply Voltage Min: 4.75V
LATCH 74LS279

Caractersticas Tcnicas:
Voltaje de alimentacin: 7 V
Voltaje de entrada: 7 V
Rango temperatura de operacin: 0 C a +70 C
Temperatura de almacenamiento: -65 C a +150 C





LATCH 74LS279

Caractersticas Tcnicas:


CONCLUSIONES

El latch est diseado para trabajar con niveles o estados mientras que Flip Flop para
trabajar con flancos o cambios de estado.
La lgica secuencial requiere de elementos de memoria es decir biestables para
almacenar estados.
Es importante conocer el funcionamiento de Latch y Flip Flop para poder disear
registros, contadores, mquinas de estado, memorias y todo circuito secuencial.
Este laboratorio ha servido para aumentar la destreza en la construccin de circuitos.


BIBLIOGRAFA

1. Enrique Mandado. Sistemas Electrnicos Digitales. 7 Edicin. Biblioteca UDB.
2. Morris Mano. Diseo Digital. 1 Edicin. Editorial Prentice Hall. Biblioteca UDB.
3. John F. Wakerly. Diseo Digital, principios y prcticas. Editorial Prentice Hall.
4. Louis Nashelsky. Fundamentos de tecnologa digital. 1 Edicin. Noriega Editores,Limusa.
5. Ronald J. Tocci. Sistemas digitales, principios y aplicaciones. Biblioteca UDB.

Вам также может понравиться