Вы находитесь на странице: 1из 57

Open-Silicon Confidential Open-Silicon Confidential

Static Timing Analysis


January 05
222 Open-Silicon Confidential Open-Silicon Confidential
Goals for today

Introduction to STA and the basic idea behind


the process.

STA inputs and outputs.

Defining and applying constraints.

Analyze the results of STA.

Saple STA run and reports


!!! Open-Silicon Confidential Open-Silicon Confidential
Synchronous Design

In Synchronous Design Cloc" Controls the


Dataflo#$$$

On %&ery Cloc" Tic" data o&es fro one


State to Another

'ogic Delay bet#een t#o state has to be


'ess then cloc" (eriod

Alost all ASICs are Synchronous Designs


))) Open-Silicon Confidential Open-Silicon Confidential
Synchronous Design: Example

Out * +,b+ if -A./01-C.D0 else +,b2


A
B

D
l!
"ut
Add
Add
omp#
333 Open-Silicon Confidential Open-Silicon Confidential
Timing Analysis

'ogic delay bet#een any t#o 4lops


need to be saller then Cloc" (eriod

There are T#o #ays to &erify


5
Dynaic Tiing analysis
5
Static Tiing analysis
666 Open-Silicon Confidential Open-Silicon Confidential
Dynamic Timing analysis

Tiing siulation #ith Series of


4unctional &ectors

Siulator #ill ta"e in netlist7 8ate


delays

Chec" if there is any Tiing 9iolations


::: Open-Silicon Confidential Open-Silicon Confidential
Dynamic Timing analysis

;ea"ness of DTA
5
It is difficult to generate &ectors #hich
co&er all cobinations of logic
5
<e=uires huge C(> and ?eory
5
Tie consuing
@@@ Open-Silicon Confidential Open-Silicon Confidential
Static Timing Analysis

Design is bro"en do#n in sets of tiing


paths

Delay of each path is calculated

Copare path delay to constraints


chec" if they ha&e been et

;hy is it called STA$


AAA Open-Silicon Confidential Open-Silicon Confidential
Static timing analysis: Ad$antages

Do not re=uire any &ectors

<uns &ery fast

?ore efficient in C(> and ?eory


usage
+2 +2 +2 Open-Silicon Confidential Open-Silicon Confidential
%hat STA chec!s

If design Can #or" at gi&en ?aB 4re=uency

If Design can #or" in different operating


-(9T0 conditions

If Design Satisfies %lectrical constraints


-Trans7 cap7 fanout etc0

Signal Integrity of routing


++ ++ ++ Open-Silicon Confidential Open-Silicon Confidential
Timing &aths

Design is bro"en do#n in sets of signal


paths

%ach path has startpoint and %ndpoint

Startpoint is (riary Input or Cloc"


pins of <egister

%ndpoint is (riary output or D pins of


registers

<egister is a cell #ith setupCDold tie


re=uireent e.g 4lop7 ?eory etc.
+2 +2 +2 Open-Silicon Confidential Open-Silicon Confidential
Timing path Types
+! +! +! Open-Silicon Confidential Open-Silicon Confidential
Timing path Types cont'

&rimary input to register


5
Start (rom )nput &in* Ends at +egister
5
Example )n, to ((,
5
+e-uires Setting input delay at input
pin relati$e to cloc!
+) +) +) Open-Silicon Confidential Open-Silicon Confidential
Timing path Types cont'

+egister to register
5
Start from loc! pin of +egister
5
Ends at D pin of +egister
5
Example: &ath .et/een ((, to ((0
5
onstrained .y specification of the
cloc!
+3 +3 +3 Open-Silicon Confidential Open-Silicon Confidential
Timing path Types cont'

+egister to output
5
Start from loc! pin of +egister
5
Ends at "utput &in
5
Example: &ath .et/een ((0 to "ut,
5
onstrained .y specification "utput
delay on output port
+6 +6 +6 Open-Silicon Confidential Open-Silicon Confidential
Timing path Types cont'

)nput to output
5
Start from )nput &in
5
Ends at "utput &in
5
Example: &ath .et/een )n0 to "ut0
5
onstrained .y specification "utput
delay on output port and input delay
on input port
+: +: +: Open-Silicon Confidential Open-Silicon Confidential
&ath Groups

(aths are grouped according to cloc"s


controlling their endpoints

Set of paths associated #ith a cloc" is


called as path group

All paths #ith no particular cloc" goes


to default path group
+@ +@ +@ Open-Silicon Confidential Open-Silicon Confidential
Timing hec!s

Typical Tiing chec"s done are


5
Setup and Dold
5
<eco&ery and <eo&al
5
?iniu pulse #idth
5
8litch detection -cloc" gating0
+A +A +A Open-Silicon Confidential Open-Silicon Confidential
Setup and 1old

?iniu tie that a data input pin of


a Se=uential cell ust be stable Before
Cloc" Transition.

?iniu tie that a data input pin of


a Se=uential cell ust be stable After
Cloc" Transition.
22 22 22 Open-Silicon Confidential Open-Silicon Confidential
2+ 2+ 2+ Open-Silicon Confidential Open-Silicon Confidential
+eco$ery and +emo$al

<eco&eryE ?iniu tie


that an asynchronous
control input pin ust be
stable after deserted and
before neBt cloc" edge

<eo&alE ?iniu tie


that an asynchronous
input pin ust be stable
before being deserted and
after the pre&ious cloc"
edge
Reset
Reset
Clock
Clock
Recovery
Removal
22 22 22 Open-Silicon Confidential Open-Silicon Confidential
2inimum loc! &ulse %idth

?iniu Aount of tie bet#een t#o


consecuti&e <ise and fall edge of the cloc"
Minimum High
Pulse width
Minimum Low
Pulse width
2! 2! 2! Open-Silicon Confidential Open-Silicon Confidential
Glitch Detection -Cloc" getting chec"0
+
Flip Flop
CLK
En
Clk
Clk
En
FF.Clk
2) 2) 2) Open-Silicon Confidential Open-Silicon Confidential
onstraints

Constraints are Designer,s goals

<estriction put by technology library


also =ualify as constraints

STA easures if these goals are et.

Typical constraints are cloc"7 inputCout


put delays7 design specific tiing
re=uireents
23 23 23 Open-Silicon Confidential Open-Silicon Confidential
Types "f onstraints
26 26 26 Open-Silicon Confidential Open-Silicon Confidential
Defining cloc!

Defines #hich are the cloc" ports and the


cloc" attributes

createFcloc" Gperiod +2n G#a&efor H2 3I G


nae cl"
;a&efor starts at 2 #ith 32J duty cycle
2: 2: 2: Open-Silicon Confidential Open-Silicon Confidential
Generated3Deri$ed cloc!

Internal cloc" is di&ide by )

createFgeneratedFcloc"
2@ 2@ 2@ Open-Silicon Confidential Open-Silicon Confidential
4irtual cloc!

This path is constrained by a cloc" -cl"0


outside of chip
2A 2A 2A Open-Silicon Confidential Open-Silicon Confidential
loc! Distri.ution
!2 !2 !2 Open-Silicon Confidential Open-Silicon Confidential
loc! &arameters

Cloc" s"e#

Cloc" uncertainty

Cloc" insertion delay

Cloc" Kitter

Ideal and propagated cloc"

Do# this paraeters affects


!+ !+ !+ Open-Silicon Confidential Open-Silicon Confidential
loc! s!e/
!2 !2 !2 Open-Silicon Confidential Open-Silicon Confidential
loc! 5itter
!! !! !! Open-Silicon Confidential Open-Silicon Confidential
loc! latency3delay
!) !) !) Open-Silicon Confidential Open-Silicon Confidential
)deal and computed loc!

Off chip cloc" effects are fiBed throughout


a. Source latency
b. Litter

On chip routing is estiated for ideal cloc"


a. /udgeted net#or" latency
b. /udgeted s"e#

On chip routing is coputed after CTS


a. Actual insertion delay
b. Actual s"e#
!3 !3 !3 Open-Silicon Confidential Open-Silicon Confidential
Setting )nput Delay

Input signal arri&es at 2.3ns after rising


edge of CM

SetFinputFdelay
!6 !6 !6 Open-Silicon Confidential Open-Silicon Confidential
Setting "utput Delay

Output signal needs to arri&e tie at O after


By ns

SetFoutputFdelay
!: !: !: Open-Silicon Confidential Open-Silicon Confidential
)nput Dri$e strength

Along #ith tiing constraints one needs to


specify design en&ironent

SetFdri&ingFcell
!@ !@ !@ Open-Silicon Confidential Open-Silicon Confidential
"utput load

Setting output load

SetFdri&ingFcell
!A !A !A Open-Silicon Confidential Open-Silicon Confidential
hec! 6 hec!7 hec!

Chec"Ftiing #ill chec" if e&ery thing


is constrained
)2 )2 )2 Open-Silicon Confidential Open-Silicon Confidential
Timing onstraints Tutorial

Deri&e top 'e&el SDC fro 8i&en


Data
)+ )+ )+ Open-Silicon Confidential Open-Silicon Confidential
(alse &ath
A false path is a tiing path that cannot
propagate a signal.

A path ay eBist in the circuit but ne&er


be used

A functional path ay eBist but the


tiing is &ery slo# or irrele&ant

A path ay eBist in the circuit but no


cobination of input &ectors ay e&er
eBercise it
)2 )2 )2 Open-Silicon Confidential Open-Silicon Confidential
(alse path Example
)! )! )! Open-Silicon Confidential Open-Silicon Confidential
(alse path Example:
&aths Bet/een T/o cloc!s
)) )) )) Open-Silicon Confidential Open-Silicon Confidential
2ultiycle &ath

A ulticycle path is a tiing path that is not


eBpected to propagate a signal in one cycle.

Norally7 all paths are constrained for


single-cycle tiing.

?ulticycle paths are eBceptions to the


default single-cycle tiing..

?ulticycle paths are defined by coand


setFulticycleFpath.
)3 )3 )3 Open-Silicon Confidential Open-Silicon Confidential
2ultiycle &aths
)6 )6 )6 Open-Silicon Confidential Open-Silicon Confidential
(unctional 2odes

CopleB Designs can ha&e ultiple Tie


paths that are dependent on the enabled
functional odes

%.g Noral 4unctional ode and Debug


?ode

Case analysis pro&ides a eans of setting


constant paths that you #ant the tool to
ignore during tiing analysis
): ): ): Open-Silicon Confidential Open-Silicon Confidential
"perating onditions

The operating conditions of a design usually


include the process7 &oltage7 and
teperature ranges a design encounters.

Operating conditions are defined in a


technology library in an operatingFconditions
group.

Oou can choose operation condition by


setFoperatingFcondition
)@ )@ )@ Open-Silicon Confidential Open-Silicon Confidential
Specify Design data
and libraries
Specify
Interconnect
Specify Clocks
Specify
Input/Output
Delays
Specify
Timing
Exceptions
ASIC
Netlist
ASIC
Liarary
SP!"
#ire Load
Models
$ack%
annotated
STA 2ethodology
)A )A )A Open-Silicon Confidential Open-Silicon Confidential
STA 2ethodology
Ceck Timing
!pdate Timing
"enerate
#eports
Constraints
&iolators
Path
'iming
$ottleneck
Re(orts
32 32 32 Open-Silicon Confidential Open-Silicon Confidential
Delays

Total Delay * Cell Delay . Interconnect


Delay

Cell Delay depend upon Input


transition and output cap.

Interconnect Delay depends upon


capacitance and resistance

>ser need to pro&ide Interconnect <7 C


3+ 3+ 3+ Open-Silicon Confidential Open-Silicon Confidential
Specify )nterconnect

Standard parasitic %Bchange forat


-S(%40 file
5
%Btracted < and C

Standard Delay 4orat -SD40


5
Accurate tiing inforation fro Delay
calculation tool

;ireload ?odels
5
(re-layout net delay estiates
32 32 32 Open-Silicon Confidential Open-Silicon Confidential
&ath Delay

Actual path delay is su of net and


cell delays along tiing the path
3! 3! 3! Open-Silicon Confidential Open-Silicon Confidential
8et Delays

Is the total tie need to charge or


discharge all the parasites of a Net

Net parasites depends up on


5
Net 'ength
5
Net <outing
5
Net 4anout
3) 3) 3) Open-Silicon Confidential Open-Silicon Confidential
"n7hip $ariation

Intra die &ariation and intra #afer process


&ariations.

Teperature and &oltage &ariation on the


die.

Deration of the appropriate path for the


analysis so that additional argin is
obtained.
33 33 33 Open-Silicon Confidential Open-Silicon Confidential
S) effects

%ffect of signal propagation on one net7


affecting another adKacent net.

Causes delay push out and glitch in the


&icti net.

(T-SI analysis
36 36 36 Open-Silicon Confidential Open-Silicon Confidential
STA /al! through
3: 3: 3: Open-Silicon Confidential Open-Silicon Confidential
Goals for today

Introduction to STA and the basic idea behind


the process.

STA inputs and outputs.

Defining and applying constraints.

Analyze the results of STA.

Saple STA run and reports

Вам также может понравиться