Вы находитесь на странице: 1из 59

Circuitos Combinacionales

Hemos estado estudiando anteriormente las caractersticas generales


de los circuitos digitales y hemos presentado un protocolo de
actuacin para su diseo basndonos en unas determinadas
condiciones de funcionamiento, a partir de la definicin del problema
lgico, su tabla de verdad, su funcin cannica, su simplificacin por
mtodos algebraicos o por los diagramas de Karnaugh, y su
implementacin por medio de cualquier tipo de puertas o bien por
medio de puertas universales por aplicacin de los teoremas de
DeMorgan.
Estos circuitos que hemos estado aprendiendo a construir se llaman
combinacionales porque el estado se sus salidas depende nica y
exclusivamente de la combinacin que toman sus variables de
entrada, sin que importen los estados anteriores de las variables ni
el tiempo.
Existen una serie de circuitos combinacionales que son muy comunes y aparecen o
bien aisladamente o formando parte de otros circuitos ms complejos de aplicacin
general, que se repiten un nmero de veces tan considerable que se hace aconsejable su
fabricacin en serie, que vamos a estudiar en este tema y que son:
1. Codificadores.
2. Decodificadores.
3. Convertidores de cdigo.
4. Multiplexores.
5. Demultiplexores.
6. Comparadores.
7. Sumadores.
8. Restadores.
9. Detectores/generadores de paridad.
10. Otros circuitos lgicos: ALU

1. Codificadores
Un codificador es un circuito combinacional integrado que tiene hasta 2
n
entradas
y n salidas y la funcin que desempea es mostrar en la salida la combinacin
correspondiente al cdigo binario de la entrada activada.

Imagen 01. Elaboracin propia
Se entender mejor con un ejemplo: En una calculadoracuando pulsamos cualquiera de
las diez teclas numricas de una calculadora estamos marcando un nmero decimal,
pero la calculador opera con nmero en binario. Para expresar en binario del 1 al 10,
necesitamos al menos cuatro bits, ya que con tres solamente podramos establecer
2
3
=8 combinaciones posibles (es decir del 0 al 7) y no podramos codificar los diez
dgitos necesarios (faltaran el 8 y el 9).
Por tanto emplearemos 4 salidas. Como con 4 salidas (4 bits) tenemos 16
combinaciones y empleamos 10 (del 0 al 9), o bien dejaremos seis combinaciones sin
emplear, o las utilizaremos para codificar cualquier otra funcin representada en
alguna de las teclas de la calculadora (el +, el -, el , el , el = y la ; por ejemplo)
La tabla de verdad del codificador ser:

A partir de la tabla se deduce que la salida S
1
ser 1 si lo es la entrada A
9
, la A
7
, la
A
5
, la A
3
, la A
1
, de ah que la ecuacin lgica que corresponde a esta salida sea la
suma de las entradas 1, 3, 5, 7 y 9. Si seguimos analizando la tabla obtendremos, de
forma anloga, las ecuaciones que tienen que cumplir las salidas S
2
, S
3
y S
4
.
En el caso de se activasen ms de una entrada estaramos ante el dilema de qu
entrada debera codificarse?, o se producira una seal de error en la salida, por ello los
codificadores pueden ser sin prioridad, (no suelen emplearse), y los codificadores con
prioridad, generalmente a la entrada ms significativa, en este caso la tabla de verdad
sera:

Es decir si por cualquier circunstancia se activase ms de una entrada simultneamente,
el codificador presentar en la salida la correspondiente al cdigo de la entrada que
tenga asignado un mayor peso, es decir la ms significativa, resultando indiferente los
valores que tomasen las otras entradas menos significativas.
En la figura adjunta se muestra el circuito integrado combinacional correspondiente a
un codificador con prioridad de 9 entradas y cuatro salidas.

Imagen 02. texas instruments.
Como ya explicamos en el tema anterior las puertas lgicas y los circuitos que
explicaremos en este tema se comercializan en circuitos integrados (CI), que son como una
"pastilla de plstico" de la que salen unas patillas de conexin llamadas "pines", cada uno
de los cuales corresponde a una entrada o salida de datos, alimentacin o tierra; y cuya
identificacin se hace a partir de una pequea muesca en la cpsula que marca el nmero de
patilla. En las llamadas datasheet de los fabricantes de chips, dibujos como el siguiente
indican la y correspondencia entre los pins de CI y las entradas y salidas del circuito, en
este caso el codificador.

Imagen 03. Elaboracin propia


Imagen 04. Elaboracin propia
Este tipo de codificadores se emplean en la codificacin de los teclados
convencionales, as mismo en los circuitos conversores analgico-digital, y para
controlar posibles perturbaciones en los ordenadores.
Aunque la aplicacin ms significativa de este tipo de circuitos integrados es en la
construccin de multiplexadores, que son unos circuitos combinacionales que
estudiaremos posteriormente.

Implementar con puertas lgicas de cualquier tipo, de dos entradas, un codificador de 4
lneas a cdigo Binario exceso 3 con prioridad a la entrada de menor peso.
2. Decodificadores
Son circuitos combinacionales integrados que disponen
de n entradas y un nmero de salidas igual o menor a 2
n
, actan de
modo que segn cual sea la combinacin de las variables de entrada
se activa una nica salida, permaneciendo el resto de ellas
desactivada.
Suelen disponer de una entrada adicional denominada de inhibicin o
strobe de modo que cuando esta entrada se encuentra activada,
pone todas las salidas a 0.
Por ejemplo un decodificador de 2 entradas y 2
2
=4 salidas, tendra la
siguiente tabla de verdad:

Sus ecuaciones lgicas seran:




Que una vez implementado con puertas NOT y AND, quedara:


Imagen 05. Elaboracin propia
Un ejemplo tpico es el decodificador BCD a decimal, cuya tabla de verdad ser:


Los decodificadores pueden ser de dos tipos:
No Excitadores. Se denominan as a un tipo de decodificadores
cuyas salidas solo pueden acoplarse a otros circuitos digitales de la
misma familia integrada, ya que dan una corriente muy pequea en
dichas salidas, incapaz de activar ningn otro componente.
Decodificadores Excitadores. Son aquellos cuyas salidas dan
suficiente corriente como para atacar, no solo a otros circuitos
integrados de la misma familia, sino tambin a otros dispositivos,
tales como displays, lmparas, rels, transductores,...
Un decodificador muy comn es el de siete segmentos, este circuito combinacional
activa simultneamente varias salidas, decodifica la informacin de entrada en BCD a
un cdigo de siete segmentos adecuado para que se muestre en un display de siete
segmentos, es el procedimiento empleado en todas las calculadoras, los relojes
digitales,...

Imagen 06. Elaboracin propia
Su tabla de verdad sera:



En las imgenes siguientes puedes ver, qu segmentos estn
encendidos en dos nmeros, el 6 y el 7. En el 7, como indica la tabla
de verdad, estn encendidos el a, el b y el c (encendido quiere decir
en este caso valor lgico a 1)

Imagen 07. Elaboracin propia
En el 6, estn apagados el a y el b (apagado quiere decir valor lgico
a cero)
Aplicacion de los decodificadores: Implementacin de
funciones lgicas con decodificadores
Una de las principales aplicaciones de los decodificadores es que
permite implementar ecuaciones correspondientes al funcionamiento
de una funcin lgica.
Ejemplo. A partir de la tabla de la verdad siguiente:


La funcin cannica ser

Para implementar la funcin lgica empleando un decodificador,
actuaremos del siguiente modo:
En primer lugar se debe utilizar un decodificador que tenga igual o
mayor nmero de lneas de entrada que el nmero de variables de la
funcin lgica. En nuestro ejemplo un decodificador de cuatro a diez
lneas, con salidas activas a nivel bajo y conectando a masa la
entrada de mayor peso
Luego, miramos las salidas del decodificador que hacen que la salida
de la funcin sea 1, segn la tabla de verdad, corresponde a las
salidas:
S
1
=001, S
3
=011, S
4
=100 y S
7
=111
Ahora debemos sumar estos trminos para conseguir la funcin
lgica deseada, para lo que emplearemos el tipo de puertas
adecuado que podrn ser:
Puertas OR, si hemos utilizado decodificadores con salidas activas en
nivel alto, ya que la funcin se debe activar cuando sea 1, uno o
varios de los trminos que constituyen la funcin.
Puertas NAND, si hemos utilizado decodificadores con salidas activas
en nivel bajo, ya que la funcin se debe activar cuando sea 0 uno o
varios de los trminos que constituyen la funcin.
En caso de que alguna combinacin de la tabla de verdad que
provocan 1 en la salida de la funcin no tuviera correspondencia con
las salidas del decodificador, se confeccionarn mediante puertas
lgicas la combinacin correspondiente, llevndose la salida de esta
combinacin junto a la del circuito, a una puerta OR final.

Te proponemos dos ejemplos para intentar mostrar el uso de
decodificadores como dispositivos para impelmentar funciones
lgicas.
Ejemplo 1
Implementar utilizando un decodificador el circuito correspondiente a una funcin
lgica F(A,B,C), que debe cumplir que ser cero cuando las tres variables de entrada
estn a nivel bajo, o cuando la variable B se encuentre en estado alto si A no lo est. En
los dems casos la funcin dar un 1 en la salida.


Ejemplo 2
Se desea implementar empleando un decodificador, un circuito
correspondiente a una funcin lgica en la que mediante tres
sensores (A,B,C) controlen el estado de tres avisadores (X,Y,Z), de
modo que se cumplan las siguientes premisas.
cuando se active nicamente el sensor A, no habr indicacin
alguna.
cuando se active nicamente el sensor B, actuara el indicador Z.
cuando se active nicamente el sensor C, actuaran los indicadores X
e Y.
cuando se activen nicamente A y B, actuara X.
cuando se activen nicamente B y C, actuaran X y Z.
cuando se activen nicamente A y C, actuara Y.
cuando se activen simultneamente A, B y C, los tres actuadores
estarn a 0.
En caso de inactividad de los sensores, la indicacin ser nula.




Un ltimo ejemplo: Control de lmparas de un semforo
Con 2 elementos para transmitir rdenes, se pueden conseguir 4
(2
2
) instrucciones distintas, a esto es a lo que llamamos, informacin
codificada en binario.
Un semforo debe tener tres salidas que sern cada una de las tres
lmparas, (se podra aadir una cuarta salida que indicase avera),
este lo podemos conseguir con un decodificador de dos entradas y
cuatro salidas, con lo que en cada instante solamente puede estar
activada una nica lmpara, y el circuito de control semafrico que
diseemos solamente deber disponer de dos salidas.

Imagen 08. Elaboracin propia

Si el sistema de control enva el nmero 0 codificado, se activar la luz roja, que est
asociada a esa combinacin de las variables de entrada, y as sucesivamente.
3. Convertidores de cdigo
Son circuitos combinacionales cuya funcin es cambiar los datos de un cdigo binario
a otro, esto es as porque para determinadas operaciones de transmisin y
procesamiento de informacin son ms eficaces unos cdigos que otros. Se suelen
implementar mediante dispositivos lgicos programables.
Vamos a ver un ejemplo de un cambiador de cdigo de BCD (8421)
a binario exceso 3.
La tabla de verdad ser:

Las funciones cannicas seran:




Ahora deberamos simplificar e implementar la funcin, pero en este caso es un
proceso largo que no vamos a hacer aqu y que la razn por la que estos circuitos se
implementan en circuitos combinacionales integrados
4. Multiplexores
El multiplexor es el circuito lgico combinacional equivalente a un
interruptor mecnico giratorio de varias posiciones, tal como el
componente que sirve para seleccionar las bandas de un receptor de
radio.
Permite dirigir la informacin binaria procedente de diversas fuentes
a una nica lnea de salida, para ser transmitida a travs de ella, a
un destino comn.
Disponen de: hasta 2
n
lneas de entrada de datos, una nica de
salida y n entradas de seleccin; que habilitan y ponen en contacto
uno de los terminales de entrada de datos con el de salida.
El circuito combinacional integrado multiplexor, suele tener: 8 entradas de datos (bits),
3 entradas de seleccin (address) y una nica salida e datos.
Por ejemplo cuando en las entradas de seleccin est activa la combinacin 010
Equivalente a la entrada de informacin nmero 2, en la salida aparecer el bit que en
ese momento haya en la entrada 2 es decir un 1, ya que esta es la entrada que hemos
seleccionado para comunicarla con la salida.

Imagen 09. Elaboracin propia Imagen 10. Elaboracin propia

Multimedia 01. Elaboracin propia

Se puede observar el conexionado en la figura siguiente , obtenida de
su data sheet, del multiplexor 74151, con las tres entradas de
seleccin (S
2
, S
1
y S
0
), las ocho entradas de datos (I
7
, I
6,
I
5
, I
4
, I
3
, I
2
,
I
1
e I
0
), Una entrada de inhibicin ( ) una salida ( ) y otra salida ms
que es la negada de la anterior ( )

Imagen 11. datasheetcatalogue.
El encapsulado de este circuito, es decir la forma comercial del
circuito integrado que lo aloja, es la de la imagen siguiente:

Imagen 12. datasheetcatalogue.

El multiplexor ms sencillo es el biplexer, utilizado en la transmisin-recepcin por
radar, para conectar alternativamente una nica antena al circuito emisor de ondas y al
receptor de ondas reflejadas, como se muestra en la figura.

Imagen 13. Elaboracin propia


Son muy utilizados los multiplexores en los displays de calculadoras y
relojes electrnicos, ya que consumen mucha menos potencia,
logrndose disminuir el consumo de corriente y reducindose el nmero
de pins que deben llegar hasta el circuito activador.

Imagen 14. toxikcore. Creative commons

Aplicaciones de los multiplexores: Implementacin de funciones lgicas con
multiplexores
Una de las principales aplicaciones de los multiplexores es que permite implementar
ecuaciones correspondientes al funcionamiento de una funcin lgica, reemplazando
con un solo chip gran cantidad de cableado y de circuitos integrados.
Para lo que se debe seguir el siguiente protocolo:
Se conectan a las entradas de seleccin las variables de entrada del problema.
Se conectan las entradas de dato a 1 o a 0, segn convenga a la configuracin escogida
con la entrada de seleccin.
Cuando no disponemos de suficientes entradas de seleccin en un multiplexor para
conectar con las entradas del problema, podemos continuar emplendolos,
estableciendo en las entradas de dato los valores adecuados correspondientes con
ayuda de lgica adicional y en funcin de la variable que no conectamos a la entrada
de seleccin.
Ejemplo: Empleo de multiplexores de igual nmero de entradas de control que de
variables a implementar.
Supongamos, por ejemplo, que queremos implementar la siguiente funcin:



La funcin tiene cuatro variables de entradas, A, B, C, D, con lo que existen
combinadas, dan lugar a 16 combinaciones posibles. Empleando un multiplexor de 4
entradas de control, se dispondrn de 16 canales de datos, por lo tanto uno por cada
posible combinacin de las variables de entrada de la funcin lgica.
Cada trmino que constituye la funcin corresponde a las de cada combinacin de las
variables de entrada que hacen 1 dicha funcin, por lo que si aplicamos las variables de
la funcin a las entradas de seleccin y conectamos a 1 los canales de entrada que se
corresponden con las combinaciones que intervienen en la funcin, poniendo a 0 el
resto de los canales, tendremos la funcin implementada.
Ejemplo: Empleo de multiplexores en funciones con un nmero de entradas de control
inferior en una unidad al de variables de la funcin a implementar.
Es posible implementar funciones lgicas de n variables con multiplexores de n-1
entradas de control, lo que producir el consiguiente ahorro econmico.
Con el ejemplo del apartado anterior, confeccionamos la siguiente tabla, donde se
agrupan por columnas todas las posibles combinaciones de tres de las variables de
entrada B, C y D, dejando en las filas las posibilidades de la variable que resta A.

Por tanto, la implementacin del circuito se consigue aplicando las variables b, c y d a
las tres entradas de seleccin del multiplexor y conectando las entradas de los canales
de la siguiente forma:
Canales 0 y 2 conectado a 0.
Canales 1, 4 y 6 conectado a 1.
Canales 3, 5 y 7 a travs de un inversor a la variable a, ya que su
valor es siempre el contrario del de dicha variable.

Abre los siguientes enlaces, en los que podrs ver cuatro ejercicios
resueltos para acabar de explicar la implementacin de funciones
lgicas con multiplexores.
Multiplexores. Ejercicio resuelto 1

Multiplexores. Ejercicio resuelto 2

Multiplexores. Ejercicio resuelto 3

Multiplexores. Ejercicio resuelto 4

5. Demultiplexores
Son circuitos integrados combinacionales que realizan la funcin opuesta a un
multiplexor. Es decir tiene una nica entrada de datos, n entradas de seleccin y
un nmeros de salidas <2
n
, de modo que segn introduzcamos una combinacin u
otra por las entradas de seleccin, as conseguimos comunicar la entrada de datos
con la salida seleccionada.

Imagen 15. Elaboracin propia
Los demultiplexores se pueden utilizar como decodificadores, de binario a
decimal o a hexadecimal. Si se coloca en las entradas de seleccin (address) un
nmero binario, se obtiene en la salida seleccionada el estado correspondiente que
tengamos en la entrada de datos
En el siguiente vdeo, se muestra el funcionamiento del
demultiplexor.
Funcionamiento del demultiplexor
Multimedia 02. Elaboracin propia

Sabas qu ...?
Los demultiplexores junto con los multiplexores se emplean para
poder llevar varias conversaciones telefnicas simultaneas por
una misma lnea, por lo que se reducen significativamente los
costes, y se simplifican muy sensiblemente el cableado de
conexiones.
Cada persona escucha nicamente una fraccin de lo que le dice
la otra, pero debido a caractersticas fisiolgicas del odo
humano, se consigue tener la sensacin de que la comunicacin
es continua cuando se hace rotar el equipo multiplexor-
demultiplexor con una cadencia adecuada por encima de los 20
ciclos por segundo

Imagen 16. Elaboracin propia




Multiplexor y demultiplexor son circuitos, que operando juntos
pueden siplificar la transmisin de datos (como te hemos
mostrado en la "curiosidad" anterior, respecto a las lneas
telefnicas)
En el siguiente vdeo vamos a ver cmo "unir" el multiplexor y
demultiplexor que hemos explicado en anteriores vdeos.

Multiplexor y demultiplexor conectados
Multimedia 03. Elaboracin propia
6. Comparadores
Son circuitos integrados combinacionales con uno o ms pares de
entradas que tienen como funcin comparar dos magnitudes binarias
para determinar su relacin.
El comparador ms bsico, que determina si dos nmeros son
iguales, se consigue mediante una puerta XOR (or exclusiva), ya que
su salida es 1 si los dos bits de entrada son diferentes y 0 si son
iguales.
Muchos comparadores poseen adems de la salida de igualdad, dos salidas ms que
indican cual de los nmeros colocados a la entrada es mayor (M) que el otro, o bien es
menor (m) que el otro.
Vamos a implementar un circuito comparador de dos bits empleando
puertas elementales, para lo que, en primer lugar escribiremos su
tabla de verdad.

Entradas
A B
Salidas
I M m
0 0 1 0 0
0 1 0 0 1
1 0 0 1 0
1 1 1 0 0
Con lo que las funciones cannicas sern:



O bien como I=1 solo cuando M=m=0

Que equivale a leer los 0 de la tabla de verdad de I.
Con lo que al implementar la funcin lgica quedar el siguiente
circuito:


Imagen 17. Elaboracin propia
Generalmente estos circuitos combinacionales no suelen cablearse, vienen en circuitos
integrados como por ejemplo el CI 7485, que es un comparador de 4 bits. Posee 3
entradas en cascada que permiten utilizar varios comparadores para comparar nmeros
binarios de ms de 4 bits:


Imagen 18. Elaboracin propia Imagen 19. mercado libre.
Se usa un comparador para los 4 bits menos significativos de los 2
nmeros y se aplica su salida a la entrada en cascada del siguiente que
compara los bits de mayor significacin, proporcionando el resultado
final.

7. Sumadores
Un sumador es un circuito que realiza la suma de dos palabras
binarias. Es distinta de la operacin OR, con la que no nos debemos
confundir. La operacin suma de nmeros binarios tiene la misma
mecnica que la de nmeros decimales.
Por lo que en la suma de nmeros binarios con dos o ms bits,
puede ocurrir el mismo caso que podemos encontrar en la suma de
nmeros decimales con varias cifras: cuando al sumar los dos
primeros dgitos se obtiene una cantidad mayor de 9, se da como
resultado el dgito de menor peso y me llevo" el anterior a la
siguiente columna, para sumarlo all.
En la suma binaria de los dgitos 1 + 1, el resultado es 0 y me llevo
1, que debo sumar en la columna siguiente y pudindose escribir 10,
solamente cuando sea la ltima columna a sumar. A este bit ms
significativo de la operacin de sumar, se le conoce en ingls como
carry (acarreo), equivalente al me llevo una de la suma decimal.
Semisumador. Es un dispositivo capaz de sumar dos bits y dar como resultado la suma
de ambos y el acarreo. La tabla de verdad correspondiente a esta operacin sera:
Entradas Salidas
A B C S
0 0 0 0
0 1 0 1
1 0 0 1
1 1 1 0
Con lo que sus funciones cannicas sern:




Que una vez implementado con puertas lgicas, un semisumador
tendra el circuito:

Imagen 20. Elaboracin propia
Sumador completo. Presenta tres entradas, dos correspondientes
a los dos bits que se van a sumar y una tercera con el acarreo de la
suma anterior. Y tiene dos salidas, el resultado de la suma y el
acarreo producido. Su tabla de verdad ser:

Entradas Salidas
A B C
-1
C S
0 0 0 0 0
0 0 1 0 1
0 1 0 0 1
0 1 1 1 0
1 0 0 0 1
1 0 1 1 0
1 1 0 1 0
1 1 1 1 0
Sus funciones cannicas sern:


Que una vez simplificadas quedaran:


O bien:

Una vez implementado con puertas lgicas el sumador presentara cualquiera de los
siguientes circuitos:

Imagen 21. Elaboracin propia Imagen 22. Elaboracin propia


Aunque, como ya hemos dicho en otros casos, en realidad estos circuitos no se cablean
con puertas lgicas, si no que forman parte de circuitos integrados como el CI 7483,
que es un sumador de cuatro bits.

Imagen 23. Elaboracin propia
El esquema

Imagen 24. Elaboracin propia

El esquema mostrado en la figura es el conexionado interno que presenta dicho
sumador de 4 bits, configurado dentro del CI 7483.

Imagen 25. alldatasheet.

Caractersticas del sumador de 4 bits 7483:
Es un sumador completo que ejecuta la suma de dos nmeros
binarios de cuatro bits. Hay salida de suma por cada bit y el acarreo
resultante (C4), se obtiene del cuarto bit.
Est diseado para velocidades medias-altas de funcionamiento, con
bits mltiples de suma en paralelo y acarreo en serie.
Tensin de alimentacin.......................4,5V a 5,25V.
Temperatura de funcionamiento.............0 a 70C.
Cargabilidad de salida normalizada C4......5 U.L.
Cargabilidad de las salidas de suma.........10 U.L.
Tensin de entrada alta mnima..............2V.
Tensin de entrada de alta mxima.........0,8V.

Para sumar nmeros de ms de un bit, tambin se recurre al conexionado de sumadores
binarios en paralelo, donde el acarreo de la suma de dos dgitos ser una entrada a
sumar en el paso siguiente. En este caso se precisan tantos semisumadores como bits
tengamos que sumar. El montaje de la figura posterior tiene un funcionamiento
idntico al del CI 7483, aunque presenta incompatibilidades a nivel de pines.

Imagen 26. Elaboracin propia
8. Restadores
De modo similar a lo comentado con el sumador, podramos
construir un semi-restador en el que las entradas sern M =
minuendo, S = sustraendo, y las salidas D = diferencia, P = cifra
prestada. Debe cumplir la siguiente tabla de verdad:
Entradas Salidas
M S D P
0 0 0 0
0 1 1 1
1 0 1 0
1 1 0 0
Con lo que sus funciones cannicas sern:


Cuya posible implementacin se muestra en la figura:

Imagen 27. Elaboracin propia
En realidad este circuito no existe ya que para realizar restas se emplean sumadores,
puesto que una resta de dos nmeros es igual a la suma de uno con el negativo del otro.
Para lo que se utiliza el mtodo de complemento a uno (invertir todos los bits uno a
uno, es decir cambiando 1 por 0 y 0 por 1), o bien el mtodo de complemento a dos,
aadindole un bit de signo. Pero no vamos a explicar este mtodo de operar


Ni restadores, ni multiplicadores, ni divisores... con sumadores hago
de todo!!
Como ya hemos comentado antes, es lo mismo restar, que sumar
nmero de signo opuesto, por lo que no hace falta un restador para
la operacin resta. Pero, y para multiplicar y dividir?
Lo vemos en un ejemplo muy sencillo,



Es decir, con nmeros Reales, podemos sumar, restar, multiplicar y
dividir con una sola operacin, la suma. Por tanto en cuanto tenemos
un sumador, podemos hacer cualquier otra operacin sin problemas.
9. Detectores/generadores de paridad
Los circuitos electrnicos digitales se basan en la transmisin y el
procesamiento de informacin, lo que hace necesario verificar que la
informacin recibida es igual a la emitida; no suelen producirse
errores, por lo que cuando ocurren en la mayora de los casos el
error en la transmisin se produce en un nico bit.
El mtodo ms sencillo y eficaz de comprobacin de la transmisin
de datos consiste en aadir a la informacin transmitida un bit ms,
con la misin de que el nmero de 1 transmitidos en total sea par
(paridad par), o impar (paridad impar).
Detectores/generadores de paridad
Los generadores de paridad par son aquellos circuitos que generan un 0 cuando el
nmero de 1 en la entrada es par y un 1 cuando es impar, en el caso de dos bit, sera
como se muestra en la tabla de verdad:
Entradas Salidas
A B P I
0 0 0 1
0 1 1 0
1 0 1 0
1 1 0 1
P = paridad par, es decir un nmero de 1 par.
I = paridad impar, es decir un nmero de 1 impar.
Las funciones cannicas sern:


Cuya posible implementacin se muestra en la figura:

Imagen 28. Elaboracin propia
Como venimos comentando a lo largo de todo el tema estos circuitos no se suelen
cablear, sino que se presentan como circuitos integrados, un ejemplo de generadores de
paridad sera el CI 74180.

10. Otros circuitos lgicos: ALU
ALU son las siglas de Aritmethic Logic Unit, es decir, Unidad Lgico
Aritmtica.
Se trata de un circuito integrado con la capacidad de realizar
diferentes operaciones aritmticas y lgicas (es decir, del lgebra de
Boole), con dos palabras de n bits Se pueden encontrar como
circuitos independientes, y tambin como bloque funcional dentro de
los microprocesadores y microcontroladores.
En general, las operaciones matemticas estn codificadas en binario
natural y en complemento a 2 para las restas, pero se pueden
codificar en otros cdigos, como por ejemplo BCD natural.
El ms conocido es 74LS181, que es una ALU de 4 bits, que puede
realizar hasta 32 funciones diferentes (16 lgicas y 16 aritmticas),
trabaja con nmeros binarios de 4 bits, aunque se pueden conectar
en cascada para aumentar el nmero de bits. Este circuito integrado
tiene como entradas:
Los cuatro bits del operando A.
Los cuatro bits del operando B.
Entradas de seleccin (para seleccionar la operacin a realizar, entre
16).
Entrada de acarreo, por si viene de un integrado con el resultado de
menor peso.
Entrada de control, para seleccionar si la operacin a realizar deber
ser aritmtica o lgica.
Como salidas tiene los 4 bits del resultado, ms una salida
comparador (A = B) y salidas de acarreo.
Como curiosidad decir que este circuito integrado trabaja con lgica
inversa en las entradas de datos y en las salidas, es decir, que para
estos pines se invierte el significado de los 1 y los 0. Aunque es
posible hacerlo trabajar con lgica directa.
Configuracin de pines del CI 74LS181

Imagen 29. Elaboracin propia

Tabla con las funciones que pueden realizarse con el 74LS181



Ejercicios Resueltos
A lo largo del tema hemos ido dando algunos ejemplos de cada uno
de los circuitos que bamos estudiando.
Para acabar el tema y con el objetivo, por un lado de afianzar
conceptos y por otro de ver que cada circuito no es un
"compartimento estanco", ms bien todo lo contrario, por lo que se
utilizan en combinacin con otros para conseguir un objetivo
(objetivo que es la funcin o funciones correspondientes a
implementar), te proponemos practiques con los ejercicios resueltos
que te proponemos a continuacin.
ProbResueltosT3


2. DECODIFICADORES Y CODIFICAD
ORES
Los sistemas digitales contienen datos o informacin que est en
alguna forma de cdigo binario, los cuales se operan de alguna manera.
En esta parte se examinan circuitos combinatorios, cuyas aplicaciones
incluyen:
1. Cambio de datos de una forma a otra.
2. Tomar datos y enrutarlos a uno de varios destinos.
3. Decodificacin de datos para despliegues visuales.
Muchos de los circuitos lgicos que cumplen estas funciones estn
ahora como circuitos integrados en la categora de Mediana Escala
de Integracin (MSI - Medium ScaleIntegration). Por esta razn, no nos
concentraremos en el diseo de estos circuitos, sino que investigaremos
cmo se usan solos o en combinacin, para cumplir varias operaciones
sobre datos digitales. Las operaciones que se discuten
son: Decodificacin y codificacin. Al final se
presentan EJERCICIOS.

2.1 DECODIFICADORES
Un decodificador es
un circuito lgico
combinacional, que
convierte un cdigo de
entrada binario
de N bits en M lneas
de salida (N puede ser
cualquier entero y M es
un entero menor o
igual a 2
N
), tales
que cada lnea de
salida
ser activada para una
sola de
las combinaciones po
sibles de entrada. La Figura 1, muestra el diagrama general de
un decodificador de Nentradas y M salidas. Puesto que cada una de las
entradas puede ser 1 o 0, hay 2
N
combinaciones o cdigos de entrada.
Para cada una de estas combinaciones de entrada slo una de
la M salidas estar activada 1, para lgica positiva; todas las otras
salidas estarn en 0. Muchos decodificadores se disean para producir
salidas 0 activas, lgica negativa, donde la salida seleccionada
es 0 mientras que las otras son 1. Esto ltimo se indica siempre por la
presencia de pequeos crculos en las lneas de salida del diagrama del
decodificador.
Algunos decodificadores no usan todos los 2
N
cdigos posibles de
entrada, sino slo algunos de ellos. Por ejemplo,
undecodificador BCD a DECIMAL, tiene un cdigo de entrada de 4 bits,
el cual slo usa diez grupos codificados BCD, 0000hasta 1001. Algunos
de estos decodificadores se disean de tal manera, que si cualquiera
de los cdigos no usados se aplican a la entrada, ninguna de las salidas
se activar.
La Figura 2, muestra la
circuitera para un
decodificador
con 3entradas
y 2
3
=8 salidas. Como
slo usan
compuertas Y, las
salidas activadas
son 1. Para tener
salidas activadas 0,
deberan usarse
compuertas NO Y.
Puede hacerse
referencia a este
decodificador de distintas maneras, todas ellas vlidas y usuales. Pude
llamarse undecodificador de 3 lneas a 8 lneas (3x8), porque
tiene treslneas de entrada y ocho de salida. Tambin recibe el nombre
deconvertidor o decodificador de binario a octal, porque toma un
cdigo de entrada binario de tres entradas y produce un 1 en una de
las ocho (octal) salidas correspondientes a ese cdigo. A veces se hace
referencia al circuito como como un decodificador1 de 8, porque una de
las 8 salidas se activa a la vez.
A continuacin se muestra la tabla funcional para
estedecodificador (74138).
DEC A B C O
0
O
1
O
2
O
3
O
4
O
5
O
6
O
7

0
1
2
3
4
5
6
7
0
0
0
0
1
1
1
1
0
0
1
1
0
0
1
1
0
1
0
1
0
1
0
1
1
0
0
0
0
0
0
0
0
1
0
0
0
0
0
0
0
0
1
0
0
0
0
0
0
0
0
1
0
0
0
0
0
0
0
0
1
0
0
0
0
0
0
0
0
1
0
0
0
0
0
0
0
0
1
0
0
0
0
0
0
0
0
1
El logigrama correspondiente es:

Si se tiene una funcin reducida, deber primero obtenerse su funcin
cannica para poderla realizar con un decodificador.
La mayora de estos circuitos tienen slo dos niveles de conmutacin.
La tabla funcional queda en funcin de minitrminos por utilizarse
lgica positiva.

EJEMPLO
1. Disear
un decodificador BCD
a DECIMAL.
SOLUCIN
Un decodificador q
ue toma un
cdigo BCD de 4
bits en la entrada y
produce 10 salidas
correspondientes a los
dgitos decimales, se
denomina un decodificador (o convertidor) BCD a decimal. La Figura
3, muestra el arreglo lgico bsico que usa compuertas Y. Cada salida se
hace 1 cuando ocurre su grupo codificado BCD correspondiente. Por
ejemplo, O
5
es1 slo cuando cuando 0101 (5 en BCD) ocurra en las
entradas ABCD, respectivamente. Este decodificador se llama tambin
un decodificador de 4 por 10 lneas (4x10) o un decodificador 1 de 10.

A continuacin se presenta la tabla funcional correspondiente:
DEC A B C D O
0
O
1
O
2
O
3
O
4
O
5
O
6
O
7
O
8
O
9

0
1
2
3
4
5
6
7
8
9
0
0
0
0
0
0
0
0
1
1
0
0
0
0
1
1
1
1
0
0
0
0
1
1
0
0
1
1
0
0
0
1
0
1
0
1
0
1
0
1
1
0
0
0
0
0
0
0
0
0
0
1
0
0
0
0
0
0
0
0
0
0
1
0
0
0
0
0
0
0
0
0
0
1
0
0
0
0
0
0
0
0
0
0
1
0
0
0
0
0
0
0
0
0
0
1
0
0
0
0
0
0
0
0
0
0
1
0
0
0
0
0
0
0
0
0
0
1
0
0
0
0
0
0
0
0
0
0
1
0
0
0
0
0
0
0
0
0
0
1
10
11
12
13
14
15
1
1
1
1
1
1
0
0
1
1
1
1
1
1
0
0
1
1
0
1
0
1
0
1
todas las salidas = 0
Este decodificador es un ejemplo de uno que no usa todas las
combinaciones de entrada. Los grupos codificados 1010 hasta 1111 son
invlidos para BCD y no producen ninguna salida activa. En la
familia TTL, el circuito integrado 7442 (mediana escala de integracin) es
un decodificador BCD a decimal con salidas activas 0.
Sin embargo, hemos visto que cuando se tienen cdigos de entrada
que no se presentan, como es el caso, se pueden usar dichos cdigos
como trminos indiferentes. Por tanto, de la tabla se obtienen
las funciones de conmutacin siguientes:
D
0
= 3
m
(0) + 3
x
(10-15) ; D
5
= 3
m
(5) + 3
x
(10-15)
D
1
= 3
m
(1) + 3
x
(10-15) ; D
6
= 3
m
(6) + 3
x
(10-15)
D
2
= 3
m
(2) + 3
x
(10-15) ; D
7
= 3
m
(7) + 3
x
(10-15)
D
3
= 3
m
(3) + 3
x
(10-15) ; D
8
= 3
m
(8) + 3
x
(10-15)
D
4
= 3
m
(4) + 3
x
(10-15) ; D
9
= 3
m
(9) + 3
x
(10-15)
Las funciones de conmutacin, se reducen utilizando un solo mapa
de Karnaugh, en el cual se colocan las funciones D
0
a D
9
en lugar
del minitrmino correspondiente. Losenlaces se realizan considerando
cada una de las funciones con los trminos indiferentes. El mapa se
presenta en la siguientes figura:

Del mapa se obtienen las funciones reducidas siguientes:
D
0
(A,B,C,D) = A'B'C'D' D
5
(A,B,C,D) = BC'D
D
0
(A,B,C,D) = A'B'C'D' D
5
(A,B,C,D) = BC'D
D
1
(A,B,C,D) = A'B'C'D D
6
(A,B,C,D) = BCD'
D
2
(A,B,C,D) = B'CD' D
7
(A,B,C,D) = BCD
D
3
(A,B,C,D) = B'CD D
8
(A,B,C,D) = AD'
D
4
(A,B,C,D) = BC'D' D
8
(A,B,C,D) = AD
El logigrama se
presenta en la figura
adjunta.
Puede observarse de
ambos logigramas que
se reducen el nmero
de entradas en
algunas de la
compuertas Y. Esto es
vlido siempre y
cuando no ocurran los
cdigos de entrada
de 10 al 15.






EJEMPLO 2. Disear un sumador completo de 2 bits, con
un decodificador y compuertas externas.
SOLUCIN
Las expresiones para la suma y el acarreo para el sumador
completo de 2 bits, son:
S(A, B, C) = 3
m
(1,2,4,7)
C
0
(A, B, C) = 3
m
(3,5,6,7)
El logigrama correspo
ndiente se presenta en
la figura anexa. Puede
observarse que las
funciones S y C
0
se
generan utilizando una
compuerta NO-Y para
cada una de ellas,
debido a que
el decodificador entre
ga maxitrminos (lgi
canegativa).
El circuito
integrado 7420,
contiene dos compuertas NO- Y con cuatro entradas cada una.





EJEMPLO 3. Disear un decodificador BCD a 7 segmentos.
SOLUCIN
Algunos despliegues
numricos usan una
configuracin
de 7 segmentos, Figur
a 4a, para producir los
caracteres
decimales 0-9. Cada
segmento puede ser
un diodo emisor de
luz (LED -
Light Emisor Diode).
La Figura 4b, muestra los patrones de los segmentos que se usan para
desplegar los diferentes dgitos. Por ejemplo, para desplegar el
nmero 6, los segmentos c, d, e, f y g se activan mientras los
segmentos a y b no lo estn.
Un decodificador/manejador BCD a 7 segmentos, se usa para tomar
una entrada BCD de 4 bits y desplegar el dgito decimal, despus de
pasar corriente por los segmentos apropiados. La lgica para
este decodificador es ms complicada que aquellas examinadas
previamente, porque cada salida se activa para ms de una combinacin
de entrada. Por ejemplo, el segmento e debe activarse para cualesquiera
de los dgitos 0, 2, 6 y 8, lo que ocurre en cualesquiera de los
cdigos 0000, 0010, 0110 o 1000. La siguiente tabla funcional, presenta
la relacin de entrada en BCD y la activacin de los segmentos
desplegados.
DEC
CDIGO BCD EXHIBIDOR DE 7 SEGMENTOS
A B C D a b c d e f g
0
1
2
3
4
5
6
7
8
9
0
0
0
0
0
0
0
0
1
1
0
0
0
0
1
1
1
1
0
0
0
0
1
1
0
0
1
1
0
0
0
1
0
1
0
1
0
1
0
1
1
0
1
1
0
1
0
1
1
1
1
1
1
1
1
0
0
1
1
1
1
1
0
1
1
1
1
1
1
1
1
0
1
1
0
1
1
0
1
0
1
0
1
0
0
0
1
0
1
0
1
0
0
0
1
1
1
0
1
1
0
0
1
1
1
1
1
0
1
1
10
15
x
x
x
x
x
x
x
x
x
x
x
x
x
x
Una vez establecida la tabla funcional, se obtienen las expresiones
booleanas para cada salida y luego se simplifican e implementan usando
las tcnicas vistas anteriormente. Este procedimiento se deja como
ejercicio.
La Figura 5, muestra
un decodificador BCD
a 7 segmentos
(TTL 7446 o 7447) que
se usa para manejar
una lectura condiodos
emisores de
luz de 7 segmentos.
Cada segmento
consiste de uno o dos
diodos emisores de
luz. Los nodos de
los diodos estn todos
conectados a V
cc
(+5
volts). Los ctodos de
los mismos estn conectados a travs de resistencias limitadoras de
corriente a las salidas apropiadas del decodificador. Este ltimo tiene
salidas activas 0 (bajas), las cuales son transistores de manejo
con colector abierto, que pueden absorber corrientes bastante altas.
Esto es porque las lecturas con diodos emisores de luz pueden requerir
entre 10 y 40 mA por segmento, dependiendo del tipo y tamao.
Para ilustrar la operacin de este circuito, supngase que la
entrada BCD es A=0, B=1, C=0 y D=1, que es 5 en BCD. Con estas
entradas las salidas del decodificador a', f', g', c' y d' sern conducidas
a 0 (conectadas a tierra), permitiendo que la corriente fluya a travs de
los segmentos a, f, g, c y d desplegando por consiguiente el numeral 5.
Las salidas b' y e'estarn en 1 (abiertas), as que los segmentos del
diodo b y e no pueden conducir.

EJEMPLO
4. Decodificador de 2
a 4 lneas con entrada
de
habilitacin (enable),
como se muestra en el
diagrama a bloques
adjunto.
SOLUCIN
La tabla funcional para este decodificador es:
E A B D
0
D
1
D
2
D
3

1
0
0
0
0
x
0
0
1
1
x
0
1
0
1
1
0
1
1
1
1
1
0
1
1
1
1
1
0
1
1
1
1
1
0
De la tabla se observa que cuando E=1, sin importar que valores
tomen A y B, las salidas son 1. El logigrama se muestra en la siguiente
figura:


EJEMPLO
5. Disear un decodificador de 4x16 con 2 decodificadores de 3x8,
con entrada E de habilitacin.
SOLUCIN
La figura adjunta
muestra el diagrama
correspondiente
al decodificador de 4x
16.








2.2 CODIFICADORES
Un decodificador acepta
un cdigo de entrada
de N bits y produce
un 1 o 0 en una y slo una
lnea de salida. En otras
palabras, se puede decir
que
un decodificador identifica
, reconoce o detecta un
cdigo particular. El
opuesto de este proceso
dedecodificacin es
llamado CODIFICACIN y
es ejecutado por un circuito
lgico llamado CODIFICADOR.
Un codificador tiene un nmero de lneas de entrada, de las
cuales slo una es activada en un tiempo dado y produce un cdigo de
salida de N bits, dependiendo de cul entrada es activada. La Figura 6,
muestra el diagrama general de uncodificador con M entradas
y N salidas. Todas las entradas y salidas estn en 1 cuando
estn activadas (Note la ausencia de crculos en el diagrama).
Se vio que
un decodificador binario a
octal acepta un cdigo
binario de entrada de 3 bits
y activa una de las 8 lneas
de salida.
Un codificador octala bin
ario opera de la manera
opuesta.
Acepta ocho lneas de
entrada y produce un
cdigo de 3 bits a la salida.
Su logigrama se muestra
en laFigura 7, tomando
como base la siguiente tabla funcional:


ENTRADA
CDIGO
BINARIO
A
0
A
1
A
2
A
3
A
4
A
5
A
6
A
7
O
2
O
1
O
0

1
0
0
0
0
0
0
0
0
1
0
0
0
0
0
0
0
0
1
0
0
0
0
0
0
0
0
1
0
0
0
0
0
0
0
0
1
0
0
0
0
0
0
0
0
1
0
0
0
0
0
0
0
0
1
0
0
0
0
0
0
0
0
1
0
0
0
0
1
1
1
1
0
0
1
1
0
0
1
1
0
1
0
1
0
1
0
1
Donde las funciones de conmutacin son:
O
2
(A
0
,A
1
,A
2
,A
3
,A
4
,A
5
,A
6
,A
7
) = A
4
+A
5
+A
6
+A
7

O
1
(A
0
,A
1
,A
2
,A
3
,A
4
,A
5
,A
6
,A
7
) = A
2
+A
3
+A
6
+A
7

O
0
(A
0
,A
1
,A
2
,A
3
,A
4
,A
5
,A
6
,A
7
) = A
1
+A
3
+A
5
+A
7

Se supone que slo una de las entradas es 1 cada vez, as que slo
hay 8 condiciones posible de entrada. El circuito est diseado de tal
manera que cuando A
0
es 1, se genera a la salida el cdigo binario 000;
cuando A
1
es 1, se genera el cdigo binario 001, cuando A
2
es 1, se
genera el cdigo binario 010 y as sucesivamente. El diseo del circuito
es muy simple, puesto que involucra analizar cada bit de salida y
determinar para cules casos de entrada ese bit es 1 y luego pasar los
resultados por una compuerta O. Por ejemplo, la tabla funcional muestra
que O
0
(bit menos significativo del cdigo de salida) debe ser 1 cuando
cualesquiera de las entradas A
1
, A
3
, A
5
o A
7
sean 1.

EJEMPLO 6. Describir la estructura y operacin de
un codificador decimal a BCD con salidas activas 0.
SOLUCIN
Este codificador toma
10 lneas de entrada,
una sola de las cuales
estar en 1 y produce
un cdigo de salida de
4 bitsBCD. Puesto que
hay 4 salidas, el
circuito contiene cuatro
compuertas. Las
compuertas usadas
son NO-O, porque han
de ser
normalmente 1 e ir a 0,
cuando una de las
entradas se hace 1.
La Figura 8, muestra el diagrama de este codificador. La siguiente tabla
funcional muestra las entradas y salidas del codificador.




ENTRADAS

CDIGO BCD

A
0
A
1
A
2
A
3
A
4
A
5
A
6
A
7
A
8
A
9
O
3
O
2
O
1
O
0

1
0
0
0
0
0
0
0
0
0
0
1
0
0
0
0
0
0
0
0
0
0
1
0
0
0
0
0
0
0
0
0
0
1
0
0
0
0
0
0
0
0
0
0
1
0
0
0
0
0
0
0
0
0
0
1
0
0
0
0
0
0
0
0
0
0
1
0
0
0
0
0
0
0
0
0
0
1
0
0
0
0
0
0
0
0
0
0
1
0
0
0
0
0
0
0
0
0
0
1
1
1
1
1
1
0
0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1
0
0
1
1
0
0
1
1
1
0
1
0
1
0
1
0
1
0

2.3 EJERCICIOS
1. Realice las siguientes funciones Booleanas utilizando, para cada
caso, un decodificador y compuertas externas.
a) f(A,B,C,D) = 3
m
(0,4,6,10,11,13)
b) f(w,x,y,z) = J
M
(3,4,5,7,11,12,14,15)
c) f(a,b,c,d) = 3
m
(3,5,7,11,15)
d) f(A,B,C,D) = J
M
(0,1,2,8,9,11,15-19,24,25,29-31)
e) f(A,B,C,D) = 3
m
(0,2,4,5,7,8,16,18,24,32,36,40,48,56)

2. En uno de los laboratorios de una compaa qumico farmacutica se
elaboran 14 distintas soluciones a partir de las componentes W, X, Y y Z.
Estas sustancias pesan 800, 400,200 y 100 mg, respectivamente. Las
soluciones depositadas en frascos se transportan por medio de una
banda hasta la bscula. Si el peso indicado en la bscula es uno de los
siguientes: 200, 500, 700, 800, 1100, 1400 y 1500 mg, entonces un
dispositivo electromecnico F, despus de agregar al compuesto la
sustancia Q, sellar el frasco sobre la bscula y lo apartar de la banda;
de otro modo, el frasco permanecer abierto y la banda lo transporta
hacia otra etapa del proceso. Adems, por las condiciones previas del
proceso, no es posible que lleguen a la bscula ni frascos vacos, ni
frascos que contengan las siguientes sustancias: WY, YZ, WZ y XY;
Todas las dems combinaciones s pueden llegar hasta la bscula.
Determinar la funcin booleana del circuito combinatorio L que accione
el dispositivo F que incluya las condiciones irrelevantes. Realizar el
circuito mediante undecodificador y compuertas externas.

3.Un circuito lgico tiene 5 entradas A, B, C, D y E (donde A es la de
mayor peso binario). Cuatro de las entradas representan un dgito
decimal en BCD (Decimal Codificado en Binario, por sus siglas en
ingls). La primera entrada, A, es de control.
Cuando el control est en 0 lgico, la salida Z es igual a 0 si el nmero
decimal es impar y 1 si es par.
Cuando el control est en 1 lgico, la salida Z es igual a 1 cuando la
entrada en mltiplo de 3, en caso contrario es 0.
Disee un circuito utilizando un decodificador y compuertas externas,
considerando lgica negativa.
NOTA: Considere al 0 como un nmero par.

4. Un posicionador de eje, proporciona una seal de 4 bits que indica la
posicin de un eje en pasos de 30

. Utilizando el cdigo de Gray, el cual


se muestra en la siguiente tabla,disee un circuito (realizacin mnima
de suma de productos) que produzca una salida que indique en dnde
se encuentra el eje.
POSICIN
DEL EJE
SALIDA DEL
DECODIFICADOR
POSICIN
DEL EJE
SALIDA DEL
DECODIFICADO
0<= P <=30
30< P <=60
0 0 11
0 0 1 0
180< P <=210
210< P <=240
1 1 0 0
1 1 0 1
60< P <=90
90< P <=120
120< P <=150
150< P <=180
0 1 1 0
0 1 1 1
0 1 0 1
0 1 0 0
240< P <= 270
270< P <=300
300< P <=330
330< P <=360
1 1 1 1
1 1 1 0
1 0 1 0
1 0 1 1
Obtenga el logigrama utilizando
un decodificador y compuertas externas.

5. Obtener el diagrama
lgico por medio de
un decodificador y compuer
tas externas de un circuito
de 5 entradas: Dos de
datosA y B y tres de
control C
2
, C
1
y C
0
, cuyo
diagrama a bloques se
muestra en la figura adjunta.
La funcin de salida depende
de los ocho posibles estados
de las seales de control, de acuerdo a la siguiente tabla:
CONTROL (DECIMAL)
F
0 1
1 A + B
2 (A B)'
3 A OEX B
4 (A OEX B)'
5 A B
6 (A + B)'
7 0
Considere a C
2
y A como las variables de mayor peso binario,
respectivamente.

6. Textura es la
organizacin es la
organizacin de una
superficie como un
conjunto de elementos
repetidos. En un proceso
automtico para clasificar
texturas artificiales, un
sensor de 4 puntos (figura
adjunta) enva seales a
un circuito combinatorio
cuya tarea
es discriminar (emitiendo
pulsos 1) los siguientes
elementos:





En todos los casos que
inspecciona el sensor
se activan al menos 2 puntos
de la rejilla (es decir, no se
presentan casos en los cuales se activa tan solo un punto ni casos en
los que no se activa ningn elemento)
Obtener la funcin booleana f(a,b,c,d) a la salida del circuito
discriminador, haciendo uso de las condiciones irrelevantes.Realizar el
circuito mediante un decodificador y compuertas externas.

7. Se desea disear e instrumentar un circuito combinatorio mnimo
de dos entradas con dos bits cada una, sobre las cuales se codifican dos
de los cuatro tipos de sangra existentes y a su salida se obtenga una
seal que informe sobre la posibilidad o imposibilidad de la transfusin de
uno de ellos sobre el otro, dadas las siguientes reglas de compatibilidad
entre ellos.
Los tipos de sangre son 4: A, B, AB y O.
El tipo O puede donar a cualquier otro tipo, pero slo puede recibir de l
mismo.
El tipo AB puede recibir de cualquier otro tipo pero slo
puede donar a AB.
La clase A puede donar a A o a AB y recibir de A u O nicamente.
Por ltimo, el tipo B puede donar al mismo B o al
tipo AB y recibir de B u O.
La seal de salida deber ser 1 cuando la transfusin propuesta en las
entradas sea permitida.
Realizar el logigrama utilizando
un decodificador y compuertas externas.

8. Un robot de
juguete -
llamado U-2-
est diseado
para ser capaz
de seguir una
trayectoria
(previamente
programada por
medio de
controles que el
robot tiene en la
espalda)
avanzando
cuadro por
cuadro en una
rea de5x6 cuadros. El robot U-2 puede realizar una de las cuatro
acciones siguientes:
(D) Girar (sobre su eje vertical) 90 a la derecha y luego avanzar al
centro del siguiente cuadro si su pequeo cerebro recibe la seal
binaria 01.
(I) Girar 90 a la izquierda y luego avanzar al centro del siguiente cuadro
si su diminuto cerebro percibe la seal binaria 10.
(F) Avanzar al frente un cuadro si su cerebro recibe la seal 00.
(A) Hacer alto si su cerebro recibe la seal 11.
Programar el robot para que recorra el laberinto de la Figura
(a). Determinar las funciones booleanas del par de estmulos binarios
que recibe el minicerebro del robot durante este recorrido y realizarlas
mediante un decodificadory compuertas externas. (En este problema
hay condiciones irrelevantes -parte de la solucin consiste en
encontrarlas).
Los controles en
la espalda del U-
2 estn
localizados en
dos reas: En el
rea I se indicar
el cuadro inicial
mediante los
controles de dos
posiciones a, b,
c, d y e [como se
muestra en
la Figura (c)]; si
el control a se
presiona del lado
derecho, el peso
de la
variable a se contabilizar para determinar el nmero asignado al cuadro
inicial (lo mismo ocurrir para el resto de las variables). En el rea II se
programa la trayectoria por medio de 30 controles de tres posiciones
cada uno.






9. Obtener el diagrama lgico de un sumador completo, de 1 bit, de
dos variables A y B, usando un decodificadory compuertas externas.

10. Realizar un circuito convertidor de cdigo
de GRAY a BINARIO para 4 bits, por medio de
un decodificador y compuertas externas.

Вам также может понравиться