Вы находитесь на странице: 1из 16

LOS CODIFICADORES

Al disear un sistema digital es necesario representar o codificar en forma binaria la informacin numrica y
alfanumrica que se obtiene de dicho sistema y, para ello, existen los circuitos combinatorios denominados
codificadores.
Un codificador es un circuito combinatorio que cuenta con un nmero determinado de entradas, de las cuales
slo una tiene el estado lgico 1, y se genera un cdigo de varios bits que depende de cul sea la entrada
excitada.

Diagrama de bloques de un codificador de 10 entradas y 4 salidas
Para ilustrar esto mejor pongamos un ejemplo. Supongamos que queremos transmitir un cdigo binario con
cada una de las pulsaciones de un teclado numrico, como puede ser el de una calculadora, en ste existen
diez dgitos y al menos seis caracteres especiales y, si consideramos slo las diez cifras, esta condicin la
podemos satisfacer con cuatro bit. Pero variemos el circuito de la calculadora para entender mejor el ejemplo.
Modifiquemos el teclado de tal manera que al presionar una tecla se cierre el pulsador que conectar una lnea
de entrada.
En el interior del bloque podemos imaginar unos conductores cruzados que unen entradas y salidas entre s.
Veamos cmo han de conectarse a fin de que den los cdigos deseados. Para representar los cdigos de salida
utilizaremos el denominado cdigo BCD. La tabla de verdad que define este codificador es la siguiente:
Entradas Salidas
1 2 3 4 5 6 7 8 9 0 Y4 Y3 Y2 Y1
0 0 0 0 0 0 0 0 0 1 0 0 0 0
0 0 0 0 0 0 0 0 1 0 0 0 0 1
0 0 0 0 0 0 0 1 0 0 0 0 1 0
0 0 0 0 0 0 1 0 0 0 0 0 1 1
0 0 0 0 0 1 0 0 0 0 0 1 0 0
Cuando alguna de las entradas est a 1, quiere decir que el pulsador correspondiente est accionado. Como
suponemos que no hay ms que un pulsador activado simultneamente, entonces en cualquier lnea de la tabla
todas las entradas excepto una sern 0.
A partir de la tabla se deduce que la salida Y1 ser 1 si lo es la entrada 9, la 7, la 5, la 3, la 1, de ah
que la ecuacin booleana correspondiente a esta salida sea la suma de las entradas 1, 3, 5, 7 y 9. Si seguimos
analizando la tabla obtendremos, de forma anloga, las ecuaciones que tienen que cumplir las salidas Y2, Y3
e Y4.
Cada una de las ecuaciones que salen de la tabla de verdad se podrn componer con puertas OR, construidas
con diodos. En nuestro ejemplo, el bloque que antes habamos diseado imaginariamente con una trama de
conductores, ahora lo conectaremos a travs de diodos; y a este nuevo bloque lo denominaremos matriz de
diodos.

Los tipos de codificadores ms usuales en el mercado son los de matrices de diodos



Todos los diodos del codificador pueden ser sustituidos por otro
correspondiente formado por la base y el emisor de un transistor. Si el
colector se une a la tensin de alimentacin, entonces resulta una
puerta OR seguidor de emisor.




Por otro lado, en realidad slo se requiere un transistor con
emisor mltiple para cada entrada del codificador. La base est
unida a la lnea de entrada, y cada emisor se conecta a una
lnea de salida diferente de acuerdo con la lgica del
codificador. Por ejemplo, la lnea de entrada 7 est unida a tres
diodos cuyos ctodos van a las salidas 1, 2 y 3; esta combinacin puede ser sustituida por un transistor de tres
emisores, conectado como se indica en la figura. El nmero mximo de emisores que se puede necesitar es
igual al nmero de bits del cdigo de salida.
Normalmente un codificador utiliza niveles de salida TTL. Si cada lnea de salida del codificador va a la
entrada de datos de una formacin ttem, tendremos una salida en ttem. Si, por el contrario, conectamos
dicha salida a la entrada de datos de una etapa de colector abierto, estaremos ante una salida en colector
abierto. Dentro de los codificadores podemos distinguir varios tipos, como el descrito anteriormente de
clulas con diodos, y los denominados codificadores con prioridad.

Codificadores con prioridad y seal de habilitacin

Circuito integrado tpico de un codificador con prioridad
Vamos a prescindir ahora de la condicin supuesta anteriormente y referida a que en cualquier momento slo
puede haber un pulsador accionado. Si, de un modo fortuito, se pulsan simultneamente varias teclas, vamos a
dar prioridad y codificar la lnea de datos de orden superior. Por ejemplo, si se excitan las entradas 5 y 6, lo
que se desea es que la salida sea la que corresponde a la entrada 6. Para seguir el mismo procedimiento, a fin
de entender este tipo de codificadores, describamos su tabla de verdad:
Entradas Salidas
9 8 7 6 5 4 3 2 1 0 Y4 Y3 Y2 Y1
0 0 0 0 0 0 0 0 0 1 0 0 0 0
0 0 0 0 0 0 0 0 1 X 0 0 0 1
0 0 0 0 0 0 0 1 X X 0 0 1 0
0 0 0 0 0 0 1 X X X 0 0 1 1
0 0 0 0 0 1 X X X X 0 1 0 0
0 0 0 0 1 X X X X X 0 1 0 1
Esta tabla corresponde a un codificador con prioridad de 10 a 4 lneas. La X indica que esa entrada puede
tomar cualquier valor, es decir, puede ser 0 1, por lo que el estado X es irrelevante. No obstante, ahora habr
que tener en cuenta un 0 en la tabla, mientras que en el caso anterior podamos ignorarlo, ya que dicha tabla
viene determinada nicamente por los 1 en su diagonal. Si analizramos los distintos valores que toman las
salidas, e intentramos expresar su ecuacin booleana correspondiente, veramos que son ecuaciones
bastantes complicadas.
Para construir mediante puertas la tabla de verdad anteriormente citada, se utilizarn puertas AND-OR de dos
y cuatro entradas. Normalmente, este tipo de lgica se fabrica en un chip integrado a escala media, cuya
prioridad codifica diez lneas - decimal a cuatro lneas BCD.
Entre las aplicaciones de este tipo de codificadores destacan la codificacin de pequeos teclados, la
conversin analgica a digital y el control de perturbaciones en los ordenadores.
Finalmente, sealaremos que la mayor aplicacin de los codificadores se da en el campo de la construccin, a
partir de ellos mismos, de los denominados multiplexores.
LOS DECODIFICADORES
En el tema de los codificadores vimos en qu consista un codificador, es decir, explicbamos cmo pasar
una informacin utilizada usualmente a una forma codificada que pueda entender nuestro ordenador.
Seguidamente, describiremos el modo de realizar la funcin opuesta mediante los llamados decodificadores.

Decodificador bsico de dos entradas y cuatro salidascontruido a partir de compuertas NAND
En un sistema digital, como puede ser nuestro PC, se pueden transmitir tanto instrucciones como nmeros
mediante niveles binarios o trenes de impulsos. Si, por ejemplo, los cuatro bits de un mensaje se disponen
para transmitir rdenes, se pueden lograr 16 instrucciones diferentes, esto es lo que denominbamos,
informacin codificada en sistema binario. Otras veces nos interesa que un conmutador de varias posiciones
pueda funcionar de acuerdo con este cdigo, es decir, para cada uno de los diecisis cdigos debe ser excitada
una sola lnea. A este proceso de identificacin de un cdigo particular se le denomina decodificacin.
Dicho de otra manera, un decodificador realiza la funcin opuesta a la de codificar, es decir, convierte un
cdigo binario de varias entradas en salidas exclusivas. Podemos distinguir dos tipos bsicos de
decodificadores: los excitadores y los no excitadores. En el primero de los casos tenemos, por ejemplo,
aquellos cuya misin es convertir el cdigo BCD de sus entradas al formato de salida necesario para excitar
un visualizador numrico o alfanumrico.


Decodificador de cuatro entradas y siete salidas
Para entender el segundo de los tipos veamos algunos ejemplos de ellos. Tomemos un decodificador de dos
entradas. Este har corresponder, a cada una de las cuatro palabras posibles de formar con las dos entradas,
una de las salidas. Para la salida Y0, ser 1 cuando los bits de entrada A y B son 0. Luego, la expresin
booleana que le corresponde es: Y0 = /A * /B. El mismo razonamiento se puede repetir para el resto de
salidas.
Dentro de este mismo tipo de decodificadores tenemos el BCD a decimal.
Supongamos que deseamos decodificar una instruccin BCD que represente un
nmero dgito decimal, como puede ser el 5; esta operacin se puede llevar a cabo
con una puerta AND de cuatro entradas excitadas por los cuatro bits BCD. Por
ejemplo, la salida de la puerta AND ser 1 si las entradas son 1, 0, 1, 0. Puesto que
este cdigo representa el nmero decimal 5, la salida se seala como lnea 5.
Si completamos un decodificador BCD a decimal, ste tendr cuatro entradas,
normalmente denominadas A, B, C y D, y diez lneas de salida. Las entradas
complementarias, /A, /B, /C y /D, se pueden obtener por medio de inversores dentro
del propio integrado. Habitualmente, para su construccin se emplean puertas
NAND y, por lo tanto, una salida es 0 si el cdigo resulta correcto en BCD y ser 1
para cualquier otro cdigo no vlido. A este sistema digital tambin se le denomina
decodificador 4 a 10, indicando que una entrada de cuatro bits selecciona una de las diez lneas de salida.
En este decodificador, los estados 1010, 1011, 1100, 1110, 1101 y 1111 no estn incluidos en el cdigo BCD,
y se consideran como datos falsos de entrada producindose para todas ellas un 1 en todas las salidas, como se
indic anteriormente. Luego, estamos ante un decodificador BCD a decimal con rechazo de datos falsos de
entrada.
Tambin se puede construir un decodificador BCD a decimal que no rechace los datos falsos de entrada. Este
decodificador minimiza el nmero de entradas de las puertas NAND. As, por ejemplo, si se presentase en las
entradas del decodificador la combinacin 1111, aparecera seal en las salidas 7 y 9. Es decir, se han tomado
los datos de entrada falsos como condiciones opcionales.
En muchas aplicaciones es deseable que la decodificacin se realice nicamente durante intervalos de tiempo
especficos, de forma que sean rechazados los datos de entrada que no parezcan durante esos intervalos. Esto
se consigue aadiendo una entrada denominada "strobe". Cuando esta seal es 1 se ejecuta la decodificacin y
cuando es 0 se inhibe la decodificacin. Dependiendo de que el decodificador rechace o no los datos falsos, el
modo de utilizar la seal de "strobe" debe ser distinto.

Este tipo de circuito lo podemos observar en la figura correspondiente, donde la inhibicin para la
decodificacin se logra mediante una entrada extra en cada puerta NAND del decodificador. Cuando esta
entrada es 0 las salidas son todas 1 y no se permite la decodificacin.
Dentro del tipo de decodificadores excitadores podemos poner como ejemplo uno
de los ms utilizados en la electrnica digital: el llamado decodificador excitador
BCD - 7 segmentos.
En la actualidad, se utilizan normalmente una serie de dispositivos de
representacin visual fabricados a base de siete segmentos o barras independientes,
mediante las cuales se pueden presentar los dgitos decimales. Estos segmentos
pueden ser cristales lquidos, diodos LED, etc. Para excitar a estos dispositivos se
han desarrollado toda una gama de decodificadores que reciben la informacin,
procedente de un ordenador o de un aparato de medida, en cdigo BCD y entregan
siete salidas preparadas para alimentar los siete segmentos que componen cada
dgito decimal. Veamos la estructura de un decodificador excitador BCD-7
segmentos de los ms sencillos.


Tpica aplicacin de un decodificador conectado a un LED

Dado que el cdigo BCD permite hasta 16 combinaciones diferentes y slo se utilizan 10 para dgitos
decimales y 5 para signos especiales, la combinacin que queda apaga todos los segmentos. Existe una
entrada aadida a las de los cuatro bits del cdigo, que sirve para impedir o permitir la salida del
decodificador una vez representadas las entradas. Por lo tanto, el decodificador ser un sistema combinacional
de cinco entradas y siete salidas.
Representamos la tabla de verdad correspondiente solamente a los diez dgitos decimales, teniendo en cuenta
que la entrada de inhibicin o "strobe" siempre se encuentra a 1:







Entradas
ABCD
a b c d e f g SIGNO
0000 1 1 1 1 1 1 0 0
1000 0 1 1 0 0 0 0 1
0100 1 1 0 1 1 0 1 2
1100 1 1 1 1 0 0 1 3
0010 0 1 1 0 0 1 1 4
1010 1 0 1 1 0 1 1 5
0110 0 0 1 1 1 1 1 6
1110 1 1 1 0 0 0 0 7
0001 1 1 1 1 1 1 1 8
1001 1 1 1 0 0 1 1 9


A partir de esta tabla se pueden obtener todas las expresiones booleanas para la construccin de cada una de
las salidas del cdigo de 7 segmentos.

La representacin visual de los diez dgitos decimales se suele realizar a travs del denominado cdigo
de visualizacin de siete segmentos
La entrada de inhibicin se puede aplicar de diversas formas y en distintas etapas, segn convenga, para la
realizacin fsica del circuito integrado.


Los decodificadores suelen ir conectados a las entradas de etapa de presentacin visual, como en el caso de la
conexin de un cristal lquido
Hemos de tener presente que, segn el sistema de visualizacin utilizado en la informacin, har falta un tipo
distinto de decodificador: siete segmentos, matrices de puntos, impresora, etc. Los circuitos combinatorios
decodificadores se disearn, dependiendo de su complejidad, a partir de una memoria ROM.
LOS MULTIPLEXORES
Vamos a estudiar, en ste captulo, una serie de circuitos combinatorios relacionados con la transferencia de
informacin; es decir, analizaremos la situacin de tener varias seales binarias a una red digital.
Mediante una seal de control deseamos seleccionar una de las entradas y que sta aparezca a la salida.
Haciendo una analoga elctrica, podemos comparar un multiplexor con un conmutador de varias posiciones,
de manera que, situando el selector en una de las posibles entradas, sta aparecer en la salida.
Los multiplexores son circuitos
combinacionales con varias entradas y una
salida de datos, y estn dotados de entradas de
control capaces de seleccionar una, y slo una,
de las entradas de datos para permitir su
transmisin desde la entrada seleccionada a la
salida que es nica.

La entrada seleccionada viene determinada por
la combinacin de ceros (0) y unos (1) lgicos en las entradas de control. La cantidad que necesitaremos ser
igual a la potencia de 2 que resulte de analizar el nmero de entradas. As, por ejemplo, a un multiplexor de 8
entradas le correspondern 3 de control.
Podemos decir que la funcin de un multiplexor consiste en seleccionar una de entre un nmero de lneas de
entrada y transmitir el dato de un canal de informacin nico. Por lo tanto, es equivalente a un conmutador de
varias entradas y una salida.

Dentro de un multiplexor hay que destacar tres tipos de seales: los datos de entrada, las entradas de
control y la salida
El diseo de un multiplexor se realiza de la misma manera que cualquier sistema combinatorio desarrollado
hasta ahora. Veamos, como ejemplo, el caso de un multiplexor de cuatro entradas y una salida que tendr,
segn lo dicho anteriormente, dos entradas de control. Esta tabla de verdad define claramente cmo,
dependiendo de la combinacin de las entradas de control, a la salida se transmite una u otra entrada de las
cuatro posibles. As:


CONTROL ENTRADAS DATOS SALIDA
A B I0 I1 I2 I3 S
0 0 0 X X X 0
0 0 1 X X X 1
0 1 X 0 X X 0
0 1 X 1 X X 1
1 0 X X 1 X 1
1 0 X X X 0 0
1 1 X X X 0 0
1 1 X X X 1 1

Si deducimos de esta tabla de verdad la expresin booleana que nos dar la funcin salida, tendremos la
siguiente ecuacin:
S = (/A*/B*I0) + (/A*B*I1) + (A*/B*I2) + (A*B*I3)
Con la que podremos disear nuestro circuito lgico.
La estructura de los multiplexores es siempre muy parecida a esta que hemos descrito, aunque a veces se
aade otra entrada suplementaria de validacin o habilitacin, denominada strobe o enable que, aplicada
a las puertas AND, produce la presentacin de la salida.
Tipos de multiplexores
Dentro de la gran variedad de multiplexores que existen en el mercado, hay varios tipos que conviene destacar
a causa de su gran utilidad en circuitos digitales, estos son:
Multiplexor de 8 entradas.
Multiplexor de 16 entradas.
Doble multiplexor de 4 entradas.
Dentro del primer tipo podemos hacer la distincin entre
tener la entrada de strobe o no. La tecnologa utilizada para
su diseo es TTL, de alta integracin, y la potencia que
disipan suele ser de unos 150 mW. El tiempo de retardo tpico
es de unos 25 nanosegundos y tienen un "fan - out" de 10.
Normalmente, estos circuitos suelen darnos dos tipos de
salida: una afirmada y la otra negada.
En cuanto al segundo tipo de multiplexores, sealaremos que se diferencian de los primeros en el nmero de
entradas, que es el doble, y que no existe la posibilidad de tener dos salidas, sino que slo podemos optar por
la negada y, en consecuencia, a la salida nicamente se tendrn los datos de la entrada complementados. La
potencia de disipacin para estos multiplexores viene a ser de aproximadamente unos 200 mW. El tiempo de
retardo y el "fan - out" son ms o menos iguales que en el caso del multiplexor de 8 entradas.

Diagrama bsico de un multiplexor de 16 entradas y 2 seales de control
En la ilustracin correspondiente podemos ver un multiplexor de 16 entradas, donde, si hacemos 0 el
strobe, en la salida se obtiene el dato negado de la entrada seleccionada mediante las cuatro entradas de
control.
En el ltimo de los tipos, dentro del mismo encapsulado del circuito integrado, tenemos dos multiplexores de
cuatro entradas de datos: dos de control y una seal de strobe cada uno.

Doble multiplexor de cuatro entradas donde las seales de control son comunes
Las entradas de control son comunes para ambos multiplexores, como podemos ver en el circuito de la figura.
Al igual que los anteriores, se suelen realizar con tecnologa TTL de alta integracin, y tienen una disipacin
media de unos 180 mW.
Con estos tres tipos de multiplexores trabajaremos habitualmente, incluso en el caso de tener que emplear
algn otro de orden superior, es decir, con mayor nmero de entradas. Para ello, necesitaremos utilizar ms de
un multiplexor de los descritos anteriormente.

Multiplexor de 32 entradas construido a partir de cuatro multiplexores de 8 entradas y uno de 4
entradas
La forma de conectarlos entre s depende de la aplicacin concreta de que se trate, pero siempre habr que
disponer de ms de una etapa de multiplexores, lo cual acarrea un tiempo de retardo. As, por ejemplo, para
seleccionar un dato de entre las 32 entradas de que disponemos, deberemos disear un sistema anlogo al
representado en la figura correspondiente.
El primer multiplexor de 8 entradas sita secuencialmente los datos de entrada I0 a I7 en la lnea de salida de
ste, a medida que el cdigo de las seales de control va variando. Anlogamente, el segundo multiplexor,
tambin de 8 entradas, transmitir los datos I8 a I15 a su lnea de salida, dependiendo de las seales de
control.

Diagrama de conexin de un circuito integrado que contiene un multiplexor de 8 entradas y seal de
<<strobe>>
Estas entradas de control estn unidas entre s de manera que cuando, por ejemplo, aparece en la lnea de
salida del primer multiplexor I1, en la salida del segundo estar I9, en la del tercero I17 y en la del ltimo I25.
Si queremos sacar a la salida del conjunto de multiplexores cualquiera de las lneas de salida anteriormente
citadas, necesitaremos utilizar un multiplexor de 4 entradas y, con sus seales de control, activaremos la
entrada que nosotros deseemos. As, por ejemplo, para tener en la salida final la lnea de entrada I1, habra
que poner en el ltimo multiplexor de 4 entradas la combinacin 00 en sus seales de control.Por ltimo,
destacaremos que los multiplexores, adems de seleccionar datos, tienen otras aplicaciones importantes, a
saber:
- La conversin paralelo - serie. Como puede ser conducir la salida en paralelo de un ordenador hacia un
terminal remoto a travs de una lnea de transmisin serie.
- La generacin de funciones para lgica combinatoria.
LOS DEMULTIPLEXORES

Una de las aplicaciones ms caractersticas de los decodificadores era su transformacin en los circuitos
digitales denominados demultiplexores.


Un demultiplexor consta de una entrada de datos, varias seales de control y las lneas de salida
El demultiplexor es un circuito destinado a transmitir una seal binaria a una determinada lnea, elegida
mediante un seleccionador, de entre las diversas lneas existentes. El dispositivo mecnico equivalente a un
demultiplexor ser un conmutador rotativo unipolar, de tantas posiciones como lneas queramos seleccionar.
El seleccionador determina el ngulo de giro del brazo del conmutador.

La analoga mecnica de un demultiplexor es un selector con una entrada y varias posiciones de salida




Un decodificador se convierte en un
demultiplexor aadindole una seal ms a su
circuitera interna. Si se aplica esta seal, la
salida ser el complemento de dicha seal, ya
que la salida es 0 si todas las entradas son 1, y
aparecer nicamente en la lnea seleccionada.
Se puede aplicar a un demultiplexor una seal de habilitacin o "enable", conectndose en cascada el
decodificador con el circuito compuesto de una puerta AND y dos puertas NOT cuyas entradas son la seal de
habilitacin y el dato que queremos transmitir.
Si la entrada de habilitacin es 0, la salida ser el complemento del dato, es decir, que el dato aparecer en la
lnea con el cdigo deseado. Si la entrada de "enable" es 1, la salida ser 0, se inhiben los datos en cualquier
lnea y todas las entradas permanecen en 1.
Veamos, de otra manera, en qu consiste la funcin de un circuito demultiplexor. Estos son circuitos que
realizan una funcin contraria a la de los multiplexores, es decir, tienen una nica entrada de datos que,
mediante unas entradas de control, se pone en comunicacin con una de entre varias salidas de datos. La
salida concreta seleccionada depende de la combinacin de valores lgicos presentada en las entradas de
control.
De la definicin ya se desprende que cualquier decodificador que excite slo una salida entre varias, y est
provisto de entrada de inhibicin o "enable", puede utilizarse como demultiplexor, ya que las entradas del
cdigo se pueden emplear como entradas de control y la seal de inhibicin como entrada de datos.
Por el contrario, los decodificadores del tipo BCD a 7 segmentos que dan varias de sus salidas para cada
combinacin de entrada, no pueden ser utilizados como demultiplexores.


En la prctica, no existen circuitos integrados demultiplexores,
sino que se fabrican circuitos decodificadores/demultiplexores,
que en realidad son decodificadores con entrada de inhibicin
("enable" o "strobe"). En la figura se muestra la construccin
mediante puertas lgicas de un decodificador/demultiplexor de
2 a 4 lneas.

A continuacin, veremos el funcionamiento de un decodificador como demultiplexor. Suponemos que se ha
representado una combinacin de entradas, como por ejemplo 1 0 1, es decir, A /B C, y con ellas se
selecciona la salida nmero 5. Cuando se ponga 1 en la entrada de "enable" se tendr 1 en la salida 5, y
cuando se ponga 0 en la seal de "strobe" aparecer 0 en 5, es decir, que la salida sigue a la entrada de datos y
sta es, precisamente, la funcin del demultiplexor.
Dentro de los demultiplexores existen varios tipos caractersticos y utilizados dentro de nuestro PC.
Describamos algunos de ellos.


Demultiplexor de 4 a 16 lneas
Si un valor correspondiente a un nmero decimal que exceda de nueve se aplica a las entradas de un
demultiplexor, la orden queda rechazada, por lo tanto, las diez salidas quedarn a 1. Si se desea seleccionar
una de 16 lneas de salida, el sistema se ampliar aadiendo seis puertas NAND ms y se emplearn los 16
cdigos posibles con cuatro bit binarios.
El demultiplexor de 4 a 16 lneas tiene 4 lneas de seleccin, 16 de salida, una entrada de "enable", una
entrada de datos, una toma de tierra y otra para la alimentacin, de modo que en total se precisa un
encapsulado de 24 patillas.
Tambin existen demultiplexores de 2 a 4 y 3 a 8 lneas encapsulados e integrados individuales.
Un demultiplexor de 1 a 2 lneas se forma con dos puertas NAND de otras tantas entradas. La lnea de salida
0 proviene de la NAND, cuyas entradas son la de datos y la lnea A; mientras que la salida 1 est conectada a
la NAND, cuyas entradas son la de datos y la seal A. Esta ltima entrada se denomina de control, ya que si A
es 0, en la lnea 0 aparecer el complemento del dato.
Demultiplexores de gran nmero de lneas
Si el nmero de salidas excede de 16 se emplean demultiplexores de 16, 8, 4 2 lneas, dispuestos formando
una cascada para conseguir el nmero de salidas deseado.


Para construir un demultiplexor superior a 16 lneas, es necesario combinar los distintos tipos de
multiplexor de 2, 4, 8 16 lneas. Este es el caso del multiplexor de 32 lneas
Por ejemplo, para un demultiplexor de 32 lneas podemos emplear uno de cuatro lneas del que se ramifican
cuatro demultiplexores de 8 lneas, como se indica en la figura correspondiente. Observemos que el nmero
total de salidas es el producto del nmero de lneas de los cuatro multiplexores por el nmero de ellos, es
decir, 4 * 8 = 32. Las lneas 0 a 7 se decodifican en el primer demultiplexor, mientras que el segundo
decodifica las ocho siguientes, y as sucesivamente.

Para el valor de las seales de control del demultiplexor de cuatro lneas igual a 01, las lneas 8 a 15 se
decodifican secuencialmente a medida que las seales de control A B C pasan desde 0 0 0 hasta 1 1 1. Por
ejemplo, la lnea 12 se decodificar con la seleccin de todas las seales de control de los demultiplexores de
cuatro y ocho lneas, con el siguiente resultado 0 1 1 0 0, que no es ms que la representacin binaria del
nmero decimal 12.



Puesto que en un encapsulado hay dos demultiplexores de 2 a
4 lneas, para el sistema representado se necesitar el
equivalente a 4,5 encapsulados. Este mismo sistema se puede
lograr con un demultiplexor de 8 lneas y ocho de 4 lneas o
con uno de 2 lneas y dos de 16. El diseo ms apropiado viene
determinado por el coste total.

Aplicaciones de los demultiplexores
La transferencia de informacin es una operacin bsica en cualquier sistema digital. Aunque los detalles
internos del registro, la forma en que se transfiere la informacin desde el exterior al registro y cmo sale de
ste hacia el exterior, sern estudiados en su tema correspondiente, consideraremos en este caso la utilizacin
de multiplexores y demultiplexores en el proceso de transferencia entre registros.


Una de las aplicaciones es la transferencia de datos desde un registro
Segn el valor de la seal de control, se selecciona qu entrada pasa a la salida del multiplexor. Cuando se
aplique el pulso de transferencia al registro, dicha seal de salida pasa al registro.
Anlogamente, podemos plantearnos el circuito demultiplexor para varios bits.



CODIFICADORES, DECODIFICADORES
MULTIPLEXORES Y DEMULTIPLEXORES





PRESENTADO POR:
GUSTAVO ANDRES CAMARGO DUQUE


PRESENTADO A:
ING. JAIME AVILA








UNIVERSIDAD COOPERATIVA DE COLOMBIA
FACULTAD DE INGENIERIA
BOGOTA ABRIL 2013

Вам также может понравиться