Вы находитесь на странице: 1из 185

EDER ISSAO ISHIBE

PROJETO DE UMA FONTE DE TENSO


DE REFERNCIA



Dissertao apresentada Escola de Engenharia de
So Carlos da Universidade de So Paulo como parte
dos requisitos para obteno do ttulo de Mestre de
Cincias, Programa de Engenharia Eltrica

rea de concentrao: Telecomunicaes

Orientador: Prof. Dr. Joo Navarro Soares Jnior




So Carlos
2014

Trata-se da verso corrigida da dissertao. A verso original se encontra disponvel na
EESC/USP que aloja o Programa de Ps-Graduao de Engenharia Eltrica.



















AUTORIZO A REPRODUO TOTAL OU PARCIAL DESTE TRABALHO, POR
QUALQUER MEIO CONVENCIONAL OU ELETRNICO, PARA FINS DE
ESTUDO E PESQUISA, DESDE QUE CITADA A FONTE.














Ishibe, Eder Issao
I79p PROJETO DE UMA FONTE DE TENSO DE REFERNCIA / Eder
Issao Ishibe; orientador Joo Navarro Soares Jnior.
So Carlos, 2014.
Dissertao (Mestrado) - Programa de Ps-Graduao em
Engenharia Eltrica e rea de Concentrao em
Processamento de Sinais e Instrumentao -- Escola de
Engenharia de So Carlos da Universidade de So Paulo,
2014.
1. CMOS. 2. circuitos analgicos. 3. fontes de
referncia. 4. metaheursticas. I. Ttulo.







AGRADECIMENTOS
Agradeo aos meus pais por toda oportunidade que tive na vida e aos
meus irmos que sempre me ajudaram.
Ao meu orientador, professor doutor Joo Navarro Soares Jnior, pelos
ensinamentos, pacincia apoio alm das longas e divertidas conversas em que
pude construir uma amizade.
Aos doutores que participaram da minha qualificao de mestrado, os
professores Maximiliam Luppe e Jos Roberto Boffino de Almeida Monteiro,
por me motivarem a concluir este trabalho.
E por fim, a todos meus amigos que me apoiaram, em especial Mariela
Mayumi Franchini Sasaki Sassi por toda a fora e disposio.






RESUMO

ISHIBE, E. I. Projeto de uma fonte de tenso de referncia. 2014.
Dissertao (Mestrado em Engenharia Eltrica) Escola de Engenharia de So
Carlos, Universidade de So Paulo, So Carlos, 2014.

Neste trabalho apresentado o projeto de uma fonte de tenso de
referncia, um circuito capaz de prover uma tenso invariante com a
temperatura, a tenso de alimentao e o processo de fabricao. So
apresentadas: as equaes de funcionamento, os passos para a elaborao da
uma topologia final, o dimensionamento dos parmetros de projeto com o uso
de algoritmos metaheursticos, o desenho do layout e os resultados e anlises
finais. O projeto emprega a tecnologia CMOS de 0,35 m com quatro camadas
de metal da Austria Micro Systems, em que os VTH0s dos transistores NMOS e
PMOS, modelo tpico, so, respectivamente, 0,5 V e -0,7 V. O circuito de fonte
de referncia do tipo bandgap e faz a soma ponderada de correntes
proporcionais a temperatura para atingir uma tenso de referncia. Obteve-se
um circuito tpico com 0,5 V de tenso de referncia, coeficiente de
temperatura de 15 ppm/C em intervalo de temperatura de -10 a 90C em 1,0 V
de tenso de alimentao, regulao de linha de 263 ppm/V em um intervalo
de variao de 1,0 V a 2,5 V em 27C, 2,7 A de corrente consumida e rea de
0,11 mm. A introduo de um bloco de ajuste de coeficiente de temperatura,
com ajuste digital, permite que mais que 90% dos circuitos produzidos tenham
um coeficiente de temperatura de at 30 ppm/C. As medidas realizadas no
trabalho so provenientes de simulaes eltricas realizadas com o ELDO e
modelos BSIM3v3.
Palavras-chave: CMOS, circuitos analgicos, fontes de referncia de tenso,
metaheursticas.






ABSTRACT

ISHIBE, E. I. A voltage reference source design. 2014. Masters thesis
(Electrical Engineering) Escola de Engenharia de So Carlos, Universidade
de So Paulo, So Carlos, 2014.

In this work is presented a design of a reference voltage source, circuits
capable to provide an invariant voltage regardless of the temperature, power
supply and fabrication process. Its presented: the operation equations, the
steps to elaborate a final topology, the project parameter sizing using a
metaheuristic algorithm, the drawing of the layout, and the final results and its
analysis. The design employs an AMS-CMOS-0.35-m technology with four
metal levels, whose NMOS and PMOS VTH0s for a typical circuit is 0.5 V and -
0.7 V. The reference voltage circuit is bandgap and performs a weighted
summation of proportional temperature currents to achieve the voltage
reference. A typical circuit was obtained with 0.5-V reference voltage, 15-
ppm/C temperature coefficient in the temperature range of -10 to 90C under 1-
V power supply, 263-ppm/V line regulation in the range of 1.0 V to 2.5 V under
27C, 2.7-A power consumption in a 0.11-mm area. For a projected circuit its
also possible to ensure a temperate coefficient under 30 ppm/C, for more than
95% of the produced circuits, employing an adjustment block which ought to be
digitally calibrated for each circuit.
Keywords: CMOS, analog circuits, voltage reference, metaheuristics.






LISTA DE FIGURAS
Figura 1 - Conveno utilizada para indicar a relao entre os
transistores: o transistor MP1 M vezes maior que MP2 e MN1 N vezes maior
que MN2 .............................................................................................................. 6
Figura 2 - Representao utilizada para os transistores bipolares. VC, VE,
VB e IC indicam, respectivamente, tenso do collector, base, emitter e corrente
do collector ......................................................................................................... 8
Figura 3 - Representao utilizada para transistores CMOS. VD, VG, VS,
VB e ID indicam, respectivamente, tenso de drain, gate, source, bulk e corrente
de drain ............................................................................................................ 10
Figura 4 - Curva da corrente IDS (A) por VDS (V), a regio de saturao
est indicada .................................................................................................... 13
Figura 5 - Efeito da modulao de canal. a) Transistor MOS em
saturao sem efeito da modulao de canal. b) Transistor MOS em saturao
sob efeito da modulao de canal .................................................................... 13
Figura 6 - Circuitos de polarizao. a) O bloco hachurado faz a
polarizao do transistor MP1. b) O bloco hachurado faz a polarizao dos
transistores MN1 ................................................................................................ 16
Figura 7 - Espelho de corrente. a) Espelho de corrente do tipo NMOS
simples. b) Espelho de corrente do tipo PMOS simples ................................... 17
Figura 8 - Grfico que mostra o comportamento VEB de um transistor
bipolar, com 1 A de IC e a tenso VGS de um NMOS, com W de 200 m, L de
2 m e 1 A de ID, com a temperatura variando de -10 C a 90 C .................. 19
Figura 9 Exemplos de blocos PTAT. a) fonte de corrente NMOS. b)
fonte de corrente PMOS. c) fonte de corrente NMOS com transistor em trodo.
d) fonte de corrente com transistores bipolares. e) fonte de corrente NMOS com
transistores Cascode ........................................................................................ 20
Figura 10 - Estado da fonte de corrente NMOS em que ela no funciona
......................................................................................................................... 23
Figura 11 - Exemplo de circuitos de start-up para a fonte de corrente
NMOS .............................................................................................................. 24


Figura 12 Ilustrao explicativa que mostra a tenso de referncia
sendo formada por uma soma ponderada das grandezas PTAT e CTAT ........ 25
Figura 13 - Circuito alterado de (Banba, et al., 1999)............................. 26
Figura 14 - Circuito proposto por (Ueno, Hirose, Asai, & Amemiya, 2009)
......................................................................................................................... 28
Figura 15 - Circuito apresentado por (Neuteboom, Kup, & Janssens,
1997) ................................................................................................................ 30
Figura 16 - Circuito proposto por (Leung & Mok, A CMOS Voltage
Reference Based on Weighted VGS for CMOS Low-Dropout Linear
Regulators, 2003) ............................................................................................. 31
Figura 17 Diagrama que explica o funcionamento de um algoritmo
gentico. Quanto menor o score mais apto o indivduo ................................ 33
Figura 18 - Diagrama que explica o funcionamento de um tipo de
simulated annealing. Quanto menor o score mais apto o indivduo .............. 38
Figura 19 Topologia de uma fonte de tenso de referncia bandgap
simples ............................................................................................................. 42
Figura 20 Topologia de circuito de fonte de tenso de referncia
bandgap com transistores Cascode e circuitos de polarizao ........................ 46
Figura 21 Subcircuito de start-up utilizado, formado pelos circuitos
enfatizados ....................................................................................................... 47
Figura 22 - Diagrama do processo de dimensionamento dos parmetros
do circuito utilizando algoritmos metaheursticos ............................................. 50
Figura 23 Diagrama explicativo da funo de fitness utilizada ............ 53
Figura 24 - Grfico dos valores de TC versus valores de corrente do
bloco TCA para um circuito gerado pela simulao Monte Carlo ..................... 57
Figura 25 Representao de algumas distribuies de correntes
geradas pelo bloco TCA ao longo do intervalo MIN e MAX. Na primeira figura a
distribuio homognea e inclui os pontos MIN e MAX, na segunda as
correntes so distribudas conforme uma gaussiana e na terceira a distribuio
homognea e inclui os pontos MIN e zero .................................................... 59
Figura 26 - Topologia do bloco de ajuste de coeficiente de temperatura
(bloco TCA) ...................................................................................................... 61
Figura 27 Conexo direta entre as entradas sE e as chaves das
unidade de adio e subtrao para gerar todas as correntes do bloco TCA .. 63


Figura 28 - Topologia de circuito de fonte de tenso de referncia
bandgap com transistores Cascode, circuitos de polarizao e o bloco TCA .. 65
Figura 29 Grfico da tenso de sada (V) em funo da temperatura
(C) parametrizado pela tenso de alimentao que varia de 1,0 V a 2,5 V,
incrementos de 0,25 V. Foi utilizado o modelo tpico. O melhor e o pior
coeficiente de temperatura so 15 e 16 ppm/C, para a temperatura variando
de 10 C a 90 C ............................................................................................ 74
Figura 30 Grfico da tenso de sada (V) em funo da tenso de
alimentao (V) parametrizado pela temperatura que varia de 10 C a 90 C,
incrementos de 10 C. Foi utilizado o modelo tpico. A melhor e pior regulao
de linha so de 200 e 440 ppm/V, com a tenso de alimentao variando de
1,0 V a 2,5 V ..................................................................................................... 75
Figura 31 Grfico da potncia consumida (W) em funo da
temperatura (C) parametrizado pela tenso de alimentao que varia de 1,0 V
a 2,5 V, incrementos de 0,25 V. Foi utilizado o modelo tpico .......................... 75
Figura 32 Grfico do coeficiente de temperatura (ppm/C) versus
corrente gerada pelo bloco TCA de 6 bits (mA) para cada uma das mil
amostras geradas pela simulao Monte Carlo ............................................... 78
Figura 33 Grfico da corrente (mA) em funo da temperatura (C) nos
transistores MN1 e MP2 ...................................................................................... 78
Figura 34 Grfico das curvas de porcentagem de circuitos com TC
mnimo inferior a TC em funo do valor do TC para as seis configuraes:
sem bloco TCA, bloco com um bit, dois bits, trs, quatro e cinco bits. Cada uma
das curvas foi construda usando mil amostras e simulaes Monte Carlo. A
tenso de alimentao utilizada foi de 1,0 V .................................................... 79
Figura 35 Histograma do TC mnimo (ppm/C) do circuito com bloco
TCA de trs bits, calculado com mil amostras. O TC mdio 18 ppm/C e o
desvio padro 4,8 ppm/C. As faixas do histograma tem largura de 2,0 ppm/C.
A tenso de alimentao utilizada foi de 1,0 V ................................................. 81
Figura 36 Histograma do TC (ppm/C) do circuito sem bloco TCA,
calculado com mil amostras. O TC mdio de 24 ppm/C e o desvio padro de
10 ppm/C. As faixas do histograma tem largura de 2,0 ppm/C. A tenso de
alimentao utilizada foi de 1,0 V ..................................................................... 81


Figura 37 Circuito do bloco de ajuste de coeficiente de temperatura de
trs bits utilizado no projeto .............................................................................. 82
Figura 38 Histograma da tenso de sada (V) do circuito com bloco
TCA de trs bits, calculado com mil amostras. A tenso de sada medida
aps o ajuste dos bits para obter mnimo TC. A tenso de sada mdia 504
mV e o desvio padro 7,0 mV. As faixas do histograma tem largura de 1,0 mV.
A tenso de alimentao utilizada foi de 1,0V .................................................. 83
Figura 39 Histograma da tenso de sada (V) do circuito sem bloco
TCA, calculado com mil amostras. A tenso de sada mdia 506 mV e o
desvio padro 7,5 mV. As faixas do histograma tem largura de 1,0 mV .......... 84
Figura 40 Histograma da regulao de linha (ppm/V) do circuito com
bloco TCA de trs bits, calculado com 5000 amostras. O RL medido aps o
ajuste dos bits para obter mnimo TC. O LR mdio 475 ppm/C e o desvio
padro 222 ppm/C. As faixas do histograma tem largura de 36 ppm/V. A
tenso de alimentao utilizada foi de 1,0 V .................................................... 85
Figura 41 Histograma da regulao de linha (ppm/V) do circuito sem
bloco TCA, calculado com 5000 amostras. O LR mdio 476 ppm/C e o
desvio padro 230 ppm/C. As faixas do histograma tem largura de 36 ppm/V.
A tenso de alimentao utilizada foi de 1,0 V ................................................. 85
Figura 42 - Grfico das curvas de porcentagem de circuitos com TC
mnimo inferior a TC em funo do valor do TC (ppm/C) para os mtodos
direto e simplificado em um bloco TCA de 1 bit. Em cada curva foram usadas
mil amostras. O erro quadrtico mdio entre curvas 0,24 ppm/C ................ 87
Figura 43 - Grfico das curvas de porcentagem de circuitos com TC
mnimo inferior a TC em funo do valor do TC (ppm/C) para os mtodos
direto e simplificado em um bloco TCA de 2 bits. Em cada curva foram usadas
mil amostra. O erro quadrtico mdio entre curvas 0,078 ppm/C ................ 87
Figura 44 - Grfico das curvas de porcentagem de circuitos com TC
mnimo inferior a TC em funo do valor do TC (ppm/C) para os mtodos
direto e simplificado em um bloco TCA de 3 bits. Em cada curva foram usadas
mil amostras. O erro quadrtico mdio entre curvas 0,020 ppm/C .............. 88
Figura 45 - Grfico das curvas de porcentagem de circuitos com TC
mnimo inferior a TC em funo do valor do TC (ppm/C) para os mtodos


direto e simplificado em um bloco TCA de 4 bits. Em cada curva foram usadas
mil amostras. O erro quadrtico mdio entre curvas 0,009 ppm/C .............. 88
Figura 46 - Grfico das curvas de porcentagem de circuitos com TC
mnimo inferior a TC em funo do valor do TC (ppm/C) para os mtodos
direto e simplificado em um bloco TCA de 5 bits. Em cada curva foram usadas
mil amostras. O erro quadrtico mdio entre curvas 0,006 ppm/C .............. 89
Figura 47 Subcircuito digital do TCA sintetizado pelo software
Leonardo Spectrum .......................................................................................... 90
Figura 48 Esquemtico da fonte de referncia propriamente dita, que
inclui os subcircuitos PTAT, CTAT e de polarizao ........................................ 92
Figura 49 Esquemtico do bloco TCA de trs bits .............................. 93
Figura 50 Esquemtico do subcircuito digital do TCA ......................... 94
Figura 51 Esquemtico do subcircuito de start-up .............................. 94
Figura 52 Esquemtico do circuito completo. Os elementos
BANDGAPM2, TCBLOCK2, THERMOMETER2 e STARTUP2, representam,
respectivamente, o circuito de fonte de referncia propriamente dita, o bloco
TCA, o subcircuito digital do TCA e o subcircuito de start-up........................... 95
Figura 53 Layout da fonte de referncia propriamente dita com o
circuito de polarizao. A rea de 260 m x 305 m. Desenhados em ordem,
da esquerda para direita, esto os PMOS MP3a, MP4a, MP4b, MP4c, MP1a, MP1b,
MP2, MP1c, MP4d, MP4e, MP3b e MP3c, embaixo os transistores Cascode MPC2a,
MPC3a, MPC3b, MPC3c, MPC1a, MPC1b, MPC1c, MPC3d, MPC3e, MPC2b e MPC2c, e mais
abaixo os NMOS MNCa, MN1a, MN2, MN1b e MNCb ............................................... 97
Figura 54 Layout do bloco TCA de trs bits. A rea de 27 m x 31 m
......................................................................................................................... 98
Figura 55 Layout do subcircuito digital do TCA de trs bits. A rea de
24 m x 35 m ................................................................................................. 99
Figura 56 Layout do subcircuito de start-up. A rea de 82,7 m x 19
m .................................................................................................................. 100
Figura 57 Layout do circuito completo. A rea de 345 m x 332 m
....................................................................................................................... 100
Figura 58 - Grfico da curva tenso de sada (V) em funo da
temperatura (C) para os modelos tpico, worst-speed e worst-power, e a


tenso de alimentao de 1,0 V. Os respectivos valores de coeficiente de
temperatura so 15 ppm/C, 36 ppm/C e 89 ppm/C .................................... 101
Figura 59 - Grfico que mostra porcentagem de circuitos com TC mnimo
inferior a TC (%) em funo do valor do TC (ppm/C), obtida atravs de
simulao Monte Carlo com 100 amostras. Simulaes realizadas com os
netlists extrados do esquemtico e do layout, com e sem o bloco TCA de trs
bits. Os bits foram ajustados pelo mtodo direto ............................................ 102
Figura 60 - Grfico da curva da tenso de sada (V) em funo da tenso
de alimentao (V) para os modelos tpico, worst-speed e worst-power, e
temperatura de 27C. Os respectivos valores de regulao de linha so 263
ppm/V, 967 ppm/V e 343 ppm/V .................................................................... 102
Figura 61 - Grfico da curva de potncia consumida (W) em funo da
temperatura (C) para os modelos tpico, worst-speed e worst-power, e tenso
de alimentao 1,0 V ...................................................................................... 103
Figura 62 Grfico das curvas tenso de sada (V) pelo tempo (s),
obtidas atravs de simulao Monte Carlo com mil amostras com tenso de
alimentao de 1,0 V. No pior caso, curva marcada no grfico, o circuito inicia
em 0,77 s........................................................................................................ 104



LISTA DE TABELAS
Tabela 1 - Regio de operao de um transistor MOS .......................... 10
Tabela 2 Variveis utilizadas na funo de fitness e sua descrio. O
circuito otimizado apresentado na Figura 20 ................................................. 54
Tabela 3 Limites das variveis da funo de fitness ........................... 55
Tabela 4 Tabela verdade do circuito digital do bloco TCA de 3 bits, que
possui 3 entradas e 7 sadas............................................................................ 65
Tabela 5 Configuraes aplicadas no algoritmo gentico ................... 67
Tabela 6 Configuraes aplicadas no simulated annealing ................ 68
Tabela 7 Especificaes do projeto para um circuito tpico ................. 68
Tabela 8 Variveis encontradas em 10 execues do algoritmo
gentico. As linhas mostram as variveis do circuito, Tabela 2, e as colunas, os
valores obtidos para as execues do algoritmo gentico. As colunas
hachuradas indicam as cinco execues escolhidas para serem otimizadas
pelo simulated annealing .................................................................................. 69
Tabela 9 Resultados obtidos para cada uma das 10 solues
encontradas pelo algoritmo gentico. As linhas esto relacionadas ao score,
tenso da sada, ao coeficiente de temperatura, regulao de linha,
potncia consumida e rea estimada; as colunas mostram os resultados de
cada uma das execues. As colunas hachuradas indicam as cinco execues
escolhidas para serem otimizadas pelo simulated annealing ........................... 70
Tabela 10 Variveis encontradas nas cinco execues do simulated
annealing. As linhas mostram as variveis do circuito, Tabela 2, e as colunas,
os valores obtidos para as execues do simulated annealing ........................ 71
Tabela 11 - Resultados encontrados para cada uma das cinco solues
encontradas pelo simulated annealing (modelo tpico). As linhas esto
relacionadas ao score, a tenso da sada, o coeficiente de temperatura, a
regulao de linha, a potncia consumida e a rea estimada e as colunas
mostram os resultados de cada uma das execues ....................................... 72
Tabela 12 Valores das variveis para a soluo SA4, limites inferior e
superior aplicados ao algoritmo gentico, valores das variveis para SF e
resultados encontrados para a soluo SF ...................................................... 73
Tabela 13 Informaes bsicas do computador utilizado na execuo
dos algoritmos metaheursticos ........................................................................ 76


Tabela 14 Dimenses dos transistores do bloco TCA de trs bits
projetado .......................................................................................................... 82
Tabela 15 Dimenses do circuito de start-up projetado ...................... 90
Tabela 16 Resultados do circuito apresentado no trabalho e de outros
da literatura. Na tabela indica variao, CTAT=VGS indica que a grandeza
CTAT do circuito foi obtida a partir de VGS de um MOS e VREF a tenso de
referncia ....................................................................................................... 106
Tabela 17 - Resultados do circuito apresentado no trabalho e de outros
da literatura. Na tabela indica variao, CTAT=VGS indica que a grandeza
CTAT do circuito foi obtida a partir de VGS de um MOS e VREF a tenso de
referncia ....................................................................................................... 107
Tabela 18 - Resultados do circuito apresentado no trabalho e de outros
da literatura. Na tabela indica variao, CTAT=VGS indica que a grandeza
CTAT do circuito foi obtida a partir de VGS de um MOS e VREF a tenso de
referncia ....................................................................................................... 108


SUMRIO
1 Introduo .......................................................................................... 1
1.1 Contextualizao, motivao e aplicabilidade ............................. 1
1.2 Objetivo ....................................................................................... 2
1.3 Organizao ................................................................................ 3
1.4 Ferramentas ................................................................................ 3
2 Reviso Bibliogrfica ......................................................................... 5
2.1 Convenes ................................................................................ 5
2.2 Especificaes de desempenho .................................................. 6
2.3 Transistor bipolar ......................................................................... 8
2.4 Transistor MOS ........................................................................... 9
2.5 Matching de parmetros e layout de circuitos ........................... 15
2.6 Circuito de polarizao e transistor em configurao Cascode . 16
2.7 Espelho de corrente .................................................................. 17
2.8 Circuito Complementary To Absolute Temperature (CTAT) ... 18
2.9 Circuito Proportional To Absolute Temperature (PTAT) .......... 19
2.10 Circuito de start-up ................................................................. 23
2.11 Fonte de referncia do tipo bandgap e circuitos da literatura. 25
2.12 Algoritmo metaheurstico........................................................ 31
4 Mtodo e base terica ..................................................................... 41
4.1 Circuito simples ......................................................................... 41
4.2 Segunda verso ........................................................................ 45
4.3 Subcircuito de start-up............................................................... 46
4.4 Algoritmos metaheursticos e funo de fitness ........................ 48
4.5 Bloco de ajuste de coeficiente de temperatura .......................... 56
4.6 Subcircuito digital do bloco TCA ................................................ 64
4.7 Circuito completo ....................................................................... 65


5 Projeto, resultados e anlises .......................................................... 67
5.1 Dimensionamento dos valores dos parmetros da fonte de
tenso utilizando algoritmos metaheursticos ................................................ 67
5.2 Bloco de ajuste de coeficiente de temperatura (TCA) ............... 76
5.3 Influncia do bloco de ajuste de coeficiente de temperatura sobre
a tenso de sada e a regulao de linha ...................................................... 83
5.4 Mtodo de configurao dos bits de controle num circuito real . 86
5.5 Subcircuito digital do TCA e subcircuito de start-up .................. 89
5.6 Esquemticos e layouts ............................................................. 91
5.7 Resultado da extrao do layout ............................................. 101
5.8 Comparao com outros circuitos ........................................... 104
Concluso ............................................................................................ 109
Referncia ................................................................................................ 1
Apndice A ............................................................................................... 1
Apndice B ............................................................................................... 3
Apndice C ............................................................................................. 13
Apndice D ............................................................................................. 15
Apndice E ............................................................................................. 19
Anexo A .................................................................................................. 23
Anexo B .................................................................................................. 29
Anexo C ................................................................................................. 35
Anexo D ................................................................................................. 41
Anexo E .................................................................................................. 47

1

1 Introduo
1.1 Contextualizao, motivao e aplicabilidade
O mundo contemporneo est repleto de aparelhos eletrnicos, de tal
forma que esto presentes em quase tudo que existe ao nosso redor
(Semiconductor Industry Association, 2014) (Semiconductor Industry
Association, 2012). Existe grande demanda para que esses aparelhos,
especialmente, os portteis, como celulares, notebooks e tablets, sejam mais
complexos, menores e consumam menos energia (Yan & Snchez-Sinencio,
2000) (Bondyopadhyay, 1998) (Needham, 1998). Circuitos integrados (CIs) so
um conjunto de circuitos eletrnicos condensados em um pequeno material
semicondutor e esto inseridos dentro de variados aparelhos, conferindo-lhes a
sua funo eletrnica. Grande parte dos CIs utiliza a tecnologia CMOS (do
ingls, Complementary Metal Oxide Silicon) (Melliar-Smith, et al., 1998) que
possui caractersticas que vo ao encontro demanda do mercado, permitindo
a construo de circuitos complexos, pequenos (na ordem de milmetros
quadrados) e com baixo consumo de energia. Outra caracterstica importante
dessa tecnologia permitir maior simplicidade no desenvolvimento de circuitos
digitais (Bondyopadhyay, 1998).
Muitos CIs possuem tanto parte analgica como digital e, na maioria dos
casos, ambas projetadas utilizando a tecnologia CMOS, o que assegura melhor
integrao. A parte analgica normalmente realiza a interface entre sinais reais
e sinais digitais e de difcil desenvolvimento, pois exige de projetistas uma
melhor compreenso das equaes de funcionamento dos transistores e um
maior cuidado no desenho do layout (Hastings, 2001). A parte digital permite
que processamentos mais sofisticados possam ser realizados (se comparados
aos processamentos realizados por circuitos puramente analgicos), mas
somente transforma sinais digitais em outros sinais digitais (Razavi, 2001).
A parte analgica dos CIs, composta por circuitos analgicos como
conversores analgico/digital (A/D), conversores digital/analgico (D/A), LDOs
(do ingls, Low-DropOut), amplificadores operacionais e sistemas de
modulao/demodulao de sinais, precisa normalmente de tenses de
referncia confiveis para funcionar (Rincn-Mora, 2002). Essa confiabilidade
2

se refere invarincia da tenso a fatores externos como: temperatura, tenso
de alimentao, processo de fabricao, presso, umidade, tempo de operao
e radiao.
Fontes de tenso de referncia, ou somente fontes de referncia, so
circuitos analgicos capazes de prover uma tenso de referncia (Razavi,
2001). Contudo, por ser um dispositivo real, sua estabilidade apenas
garantida dentro de algumas condies de operao. Os fatores externos
geralmente considerados no projeto de fontes de tenso so: temperatura,
tenso de alimentao e processo de fabricao, por serem os mais influentes.
Uma menor dependncia a esses fatores implica em maior qualidade de tenso
de referncia, por conseguinte, maior qualidade de operao do circuito que a
utiliza.

Uma das primeiras fontes de referncia em silcio surgiu em 1971 com o
LM113 introduzido por Robert Widlar (Widlar, 1971) e era do tipo bandgap,
nome empregado devido ao fato da tenso de referncia gerada ter um
derivado de 1,17 V (Sansen, 2006) que a tenso de bandgap do silcio
extrapolado para zero Kelvin. Aps o LM113, muitas outras fontes de referncia
foram propostas (Kuijk, 1973) (Brokaw, 1974) (Ueno, CMOS Voltage and
Current Reference Circuits consisting of Subthreshold MOSFETs
Micropower Circuit Components for Power-aware LSI Applications , 2010)
(Fayomi, Wirth, Achigui, & Matsuzawa, 2010), sempre com o objetivo de
melhorar a estabilidade e reduzir o consumo.
1.2 Objetivo
Neste trabalho, ser projetada uma fonte de tenso de referncia do tipo
bandgap na tecnologia CMOS de 0,35 m da Austria Micro Systems (AMS) que
atenda as especificaes para o coeficiente de temperatura, a regulao de
linha, a tenso de alimentao (2.2), a potncia consumida e a rea.
No escopo deste trabalho est:
a apresentao do circuito proposto;
o equacionamento do circuito;
a apresentao de circuitos auxiliares, como circuitos de start-up e
polarizao;
3

o dimensionamento do circuito de bandgap atravs de algoritmos
metaheursticos;
a proposta e validao de um bloco de ajuste de coeficiente de
temperatura;
a simulao de todos os circuitos necessrios para o funcionamento
da fonte de referncia;
o desenho do layout de todos os circuitos necessrios e
a apresentao e anlise dos resultados obtidos.
1.3 Organizao
Os prximos captulos esto organizados da seguinte forma:
o segundo captulo revisa conceitos importantes para o entendimento
do projeto;
o terceiro captulo mostra um embasamento terico para guiar o
desenvolvimento do projeto;
o quarto captulo expem as decises de projeto e todos os
resultados obtidos no desenvolvimento, assim como uma anlise
acerca deles e
o quinto captulo apresenta as concluses do trabalho.
1.4 Ferramentas
Foram utilizados neste trabalho diversos softwares para o
desenvolvimento e anlise:
ferramentas de projeto, CAD (do ingls, computer-aided-design), da
Mentor Graphics:
o Design Architecture: utilizada para desenho de esquemticos;
o IC Station: utilizada para desenho de layouts e para verificao
LVS (do ingls, Layout versus Schematic) (Mentor Graphics,
2008) (Mentor Graphics, 2008) (Mentor Graphics, 2008) (Austria
Micro Systems, 2003) (Austria Micro Systems, 2003);
o Calibre: para verificao DRC (do ingls, Design Rules Check),
ERC (do ingls, Electrical Rules Check) e extrao de arquivos de
simulao a partir de layouts (Mentor Graphics, 2006);
4

o Leonardo Spectrum: utilizada para gerao de circuitos digitais a
partir de uma descrio VHDL (do ingls, Very High Speed
Integrated Circuits Hardware Description Language);
ferramentas de anlise da Mentor Graphics:
o ELDO: para realizar simulaes eltricas (Mentor Graphics, 2009)
(Mentor Graphics, 2009);
o Ezwave: para visualizao e anlise dos resultados de
simulaes eltricas (Mentor Graphics, 2009).
ferramentas da Mathworks:
o MATLAB: para criao de um framework de controle de
algoritmos metaheursticos, softwares de anlise e construo de
grficos (Mathworks, s.d.);
o Toolbox do MATLAB Optimtools: para execuo de algoritmos
metaheursticos (Mathworks, s.d.).

5

2 Reviso Bibliogrfica
2.1 Convenes
A fim de facilitar as representaes dos dados, neste trabalho, sero
obedecidas as seguintes convenes para descries de circuitos:
Nomes iniciando com V so representaes de tenses, podendo ser
do tipo VX, significando tenso do n X em relao terra, ou VXY, significando
tenso do n X em relao ao n Y.
VG, VS, VD, VB significam, respectivamente, tenso de gate, source, drain
e bulk (ou porta, fonte, dreno e corpo) de um transistor MOS (do ingls, Metal
Oxide Silicon). Da mesma forma, VGS significar tenso do gate em relao ao
source e assim por diante. VC, VB e VE, por sua vez, se referem tenso do
collector, base e emitter (ou, coletor, base e emissor), de um transistor BJT (do
ingls, Bipolar Junction Transistor), respectivamente.

Nomes iniciando com I so representaes de correntes, tal como I0. ID
e IS significam, respectivamente, corrente de drain e corrente de source do
transistor MOS.
Nomes iniciando com M so representaes de transistores MOS,
podendo ser do tipo MNX, em que X um nmero, representando um transistor
do tipo NMOS (transistor MOS do tipo N), ou MPX, representando um transistor
do tipo PMOS (transistor MOS do tipo P).
Nomes iniciados com Q so representaes de transistores BJT.
O smbolo W e L de um transistor MOS indicam a largura e comprimento
do gate, respectivamente.
Os smbolos NX ou PX, em que X um nmero, subscrito na relao
(W/L) do transistor MOS significa que a relao se refere ao transistor MNX ou
MPX, por exemplo: (W/L)N1 e (W/L)P2 representam, respectivamente, as
relaes (W/L) do transistor MN1 e MP2.
Nomes iniciando com R so representaes de resistores.
Nomes iniciados com C so representaes de capacitores.
Em figuras de circuito, sempre que no houver referncia da ligao do
bulk de um transistor MOS, ser considerado que: caso o transistor seja NMOS
6

o bulk estar ligado ao VSS; caso o transistor seja PMOS o bulk estar ligado
ao VDD.
Nas figuras, prximos ao source de alguns transistores, aparecem
smbolos que indicam a relao entre o tamanho dos transistores. Na Figura 1,
os valores M e 1 presentes no source dos transistores PMOS indicam que a
relao W/L do MP1 M vezes maior que aquela do MP2. Os valores N e 1
presentes no source dos transistores NMOS indicam que a relao W/L do MN1
N vezes maior que aquela do MN2.
Os transistores BJT sero referidos simplesmente como bipolares.
A fonte de tenso de referncia do tipo bandgap ser chamada de fonte
de tenso de referncia bandgap, fonte de referncia bandgap, ou
simplesmente de bandgap.
Por fim, transistores em configurao Cascode sero chamados
simplesmente de transistores Cascode.
2.2 Especificaes de desempenho
A fim de entender os resultados apresentados, importante que se
compreenda as especificaes de desempenho utilizados para avaliar a
M 1
N 1
MP1 MP2
MN2
MN1
Figura 1 - Conveno utilizada para indicar a relao entre os
transistores: o transistor MP1 M vezes maior que MP2 e MN1 N vezes
maior que MN2
7

dependncia da tenso de sada com a temperatura e com a tenso de
alimentao.
Para quantificar a dependncia da tenso de sada com a temperatura,
utiliza-se, convencionalmente, o coeficiente de temperatura ou TC (do ingls,
temperature coefficient) que obtido pela seguinte frmula (Texas Instruments,
2008) (Rincn-Mora, 2002):

=
|
()

()
|

. (

)
. 10
6


(1)
em que:
TC = coeficiente de temperatura (dado em ppm/C);
V
OUT(MX)
= valor da tenso mxima na sada quando a
temperatura varia entre TMN e TMX;
V
OUT(MN)
= valor da tenso mnima na sada quando a
temperatura varia entre TMN e TMX;
V
OUT
= tenso mdia na sada;
T
MX
= temperatura mxima e
T
MN
= temperatura mnima.

Para quantificar a dependncia da tenso de sada com a tenso de
alimentao, utiliza-se, a regulao de linha ou LR (do ingls, line regulation)
que obtida pela seguinte frmula (Texas Instruments, 2008) (Rincn-Mora,
2002):

=
|
()

()
|

. (

)
. 10
6


(2)
em que:
LR = regulao de linha (dado em ppm/V);
V
OUT(MX)
= valor da tenso mxima na sada quando a tenso
de alimentao varia entre VMN e VMX;
V
OUT(MN)
= valor da tenso mnima na sada quando a tenso
de alimentao varia entre VMN e VMX;
V
OUT
= tenso mdia na sada;
V
MX
= tenso de alimentao mxima e
V
MN
= tenso de alimentao mnima.
8

2.3 Transistor bipolar
O primeiro transistor bipolar foi criado em 1948, na Bell Telephone, por
John Bardeen, Walter Houser Brattain e William Bradford Shockley, criao que
lhes proporcionou o Prmio Nobel de Fsica em 1956. Este dispositivo possui
trs camadas de semicondutor com dopagens alternadas P e N. Sua grande
vantagem foi a possibilidade de controlar correntes tendo dimenses e
consumo de potncia muito menores que os de seu antecessor, a vlvula
(Ross, 1998) (Brinkman, 1997).
Na Figura 2, so apresentadas as representaes dos dois tipos de
transistores bipolares: PNP e NPN. Como o nome sugere, o primeiro formado
por uma camada de semicondutor com dopagem N entre duas camadas com
dopagens P; o segundo, por uma camada com dopagem P entre duas
camadas de N.
Uma caracterstica importante do transistor bipolar o comportamento
da tenso VBE do NPN (ou VEB do PNP) com a temperatura (Tsividis Y. P.,
1980), dado por

() =

() (

) +(

) (

) ln(

)
+(

) ln (
()
(

)
)
(3)

em que:
VBE = tenso base-emissor;
T = temperatura absoluta;
TR = temperatura de referncia;
VBG = tenso de bandgap do silcio;
k = constante de Boltzman;
PNP
NPN
VB
VC
VE
VC
VB
VE
I
C
I
C

Figura 2 - Representao utilizada para os transistores bipolares. VC, VE,
VB e IC indicam, respectivamente, tenso do collector, base, emitter e
corrente do collector
9

q = carga do eltron;
IC = corrente que passa pelo coletor e
= (4 n), onde n uma constante que est relacionada
com o comportamento da mobilidade com a temperatura.
2.4 Transistor MOS
Transistores MOS inicialmente foram pouco usados devido a algumas
dificuldades de construo e por terem pior desempenho que o dos transistores
bipolares. Embora o conceito de CMOS (tecnologia MOS em que so utilizados
transistores com canal tanto N como P) j houvesse sido introduzido por
Weimer, RCA, em 1962 e por Wanlass, Fairchild, em 1963, nos primeiros anos
a tecnologia MOS dominada pelo PMOS (MOS em que s h transistores
canal P), mais robusta a problemas com impurezas. Por volta de 1971,
superados os problemas com impurezas e estados de superfcie, emergem as
primeiras tecnologias NMOS (MOS em que s h transistores canal N) que
permitem maior velocidade e, ao mesmo tempo, maior nvel de integrao.
O domnio das tecnologias NMOS se estende at o fim da dcada de 70.
Com o aumento das densidades e velocidades dos circuitos, comearam a
ocorrer dificuldades com o consumo de potncia, o que deu oportunidade ao
emprego de tecnologias CMOS. Esta tecnologia, apesar de demandar mais
etapas na fase de fabricao, e, portanto exigir mais mscaras e ter maior
custo, tem algumas caractersticas importantes que a tornaram a tecnologia
dominante no projeto de circuitos integrados hoje. Algumas dessas
caractersticas so: baixo consumo, alto nvel de integrao e facilidade de
projeto de circuitos digitais. Nos ltimos anos, cerca de 98% da produo de
circuitos semicondutores (tanto por nmero de componentes como por valor)
baseada no silcio, sendo que mais de 75% destes circuitos so produzidos
com tecnologias CMOS (Brewer, 1998) (Semiconductor Industry Association,
2006), fato que adiciona outra vantagem tecnologia: reduo de custo devido
a escala de produo.
A reduo do consumo de potncia se d, principalmente, em circuitos
digitais, devido ao funcionamento complementar de transistores NMOS e
PMOS e, em consequncia, apenas nas trocas de estado do circuito h
consumo de potncia significativo.
10

Na Figura 3, est indicada a representao dos transistores NMOS e
PMOS.
O transistor MOS possui trs regies de operao de acordo com a
densidade de portadores presentes no canal sobre o gate. Esta densidade
controlada pela tenso VGS aplicada (Tsividis Y. , Operation e modeling of the
MOS transistor, 1999). As regies de operao so:
forte inverso: quando a concentrao de portadores minoritrios fica
acima da concentrao inicial de portadores majoritrios do bulk.
Nesta regio de operao, a tenso gate-source est acima da tenso
de threshold (VTH);
fraca inverso: quando a concentrao de portadores minoritrios fica
abaixo da concentrao inicial de portadores majoritrios do bulk.
Nesta regio de operao, a tenso gate-source est prximo
tenso de threshold e a conduo da corrente dada principalmente
por difuso e
moderada inverso: regio de transio entre a forte e fraca inverso,
mal definida e de difcil modelagem.
Para determinar a regio de operao de um dado transistor, podem-se
utilizar as desigualdades da Tabela 1.
Tabela 1 - Regio de operao de um transistor MOS
Condio Regio de operao
ROP < 0,1 Fraca inverso
0,1 ROP 10 Moderada inverso
ROP > 10 Forte inverso
*ROP dado por (4)
PMOS
NMOS
VG
VD
VS
VD
VG
VS
VB
VB
I
D

I
D

Figura 3 - Representao utilizada para transistores CMOS. VD, VG, VS, VB
e ID indicam, respectivamente, tenso de drain, gate, source, bulk e
corrente de drain
11


2. .

. . (

)
2

(4)

= 1 +

22.


(5)

=
.


(6)



2. .


(7)

=
|


(8)

em que:
ROP = parmetro para determinar a regio de operao;
ID = corrente de drain;
n = fator de slope;
W = largura do canal do transistor;
L = comprimento do canal do transistor;
COX = capacitncia por rea do xido do gate;
= mobilidade do portador;
VT = tenso trmica.
k = constante de Boltzman;
q = carga do eltron;
T = temperatura;
= coeficiente de efeito de corpo;
EfI = nvel de Fermi intrnseco do silcio;
EfX = nvel de Fermi do silcio do bulk. Efp se for um transistor N
e Efn se for um P;
VSB = tenso source-bulk;
S = permissividade do silcio e
Na = concentrao de dopantes do bulk.
Note que, para garantir o funcionamento de um transistor em qualquer
regio de operao, basta controlar a densidade de corrente de drain que
passa por ele.
12

Para o transistor em fraca-inverso, a corrente pode ser descrita pela
expresso:

. (1

)
(9)

+2.

+ . 2.


(10)
em que:
IDS = corrente drain-source;
Im = corrente caracterstica da tecnologia;
VFB = tenso de flat-band;
VGS = tenso gate-source;
VTH = tenso de threshold e
VDS = tenso drain-source.
Para o transistor em forte-inverso, a corrente pode ser descrita pela
expresso:

= .

. (

2
) .

>

(Triodo)
(11)

=
.

2
.

. (

)
2
,

(Saturao)
(12)


Caso desejemos trabalhar na forte inverso, podemos tambm
considerar trs modos de operao do transistor MOS de acordo com a tenso
VGS e VDS (Razavi, 2001).
corte: nesta regio, no h fluxo de corrente entre drain e source;
triodo: se VDS > VGS-VTH, nesta condio, o transistor opera como um
resistor e
saturao: se VDS < VGS-VTH, nesta situao, o transistor forma um
canal que se estreita no drain, provendo uma corrente dependente,
principalmente, da tenso gate-source.
13

O comportamento da corrente IDS em funo de VDS apresentado na
Figura 4. A corrente IDS na regio de saturao no perfeitamente paralela ao
eixo X, mas apresenta uma pequena inclinao positiva. Essa inclinao se d
devido modulao de canal, que um fenmeno em que o canal formado por
portadores, durante a saturao, reduz de tamanho (de L para L), como
apresentado na Figura 5 (Tsividis & McAndrew, The MOS Transistor, 2012)
(Razavi, 2001). Essa reduo do comprimento do canal ocorre com o aumento
de VDS fazendo com que o ponto de pinch-off (ponto em que o canal tem carga
zero) mova-se em direo ao source. Consequentemente, h o aumento da
corrente, pois o L efetivo do transistor menor. A variao da corrente na
saturao importante para diversos circuitos analgicos e mais ainda para
fontes de tenso.

Para modelar a modulao de canal a corrente IDS substituda por:
Figura 4 - Curva da corrente IDS (A) por VDS (V), a regio de saturao
est indicada
n
+
n
+

source
drain
VDS
L
gate
oxide
n
+
n
+

source
drain
VDS
L
gate
a)
b)
pinch-off
Figura 5 - Efeito da modulao de canal. a) Transistor MOS em saturao
sem efeito da modulao de canal. b) Transistor MOS em saturao sob
efeito da modulao de canal
14

(1 +(

)) (13)
em que:
= parmetro dependente do inverso do comprimento do
transistor L;
IDS = corrente IDS sob efeito da modulao de canal e
Vsat = tenso VDS de saturao.
Se o parmetro for prximo o suficiente de zero, garantimos a
invarincia de IDS na saturao.
Para reduzir o problema de modulao de canal, podemos:
aumentar o comprimento do transistor (L) e
reduzir a tenso VDS (desde que o transistor se mantenha saturado).

Uma caracterstica importante do transistor MOS em fraca-inverso a
relao entre a tenso VGS e a temperatura quando a corrente de drain fixa.
Para o transistor NMOS a relao dada por (Giustolisi, Palumbo, Criscione, &
Cutr, 2003);

() =

() +

+
()
(
0
)
(

(
0
)

(
0
)

0

(14)

() =

(
0
) (
0
) (15)
em que,
VOFF = tenso de offset, constante de correo usada nos modelos
BSIM3v3 (Anexo A, Anexo B, Anexo C e Anexo D) (Cheng
& Hu, 1999);
n(T) = fator de slope em funo da temperatura;
T0 = temperatura ambiente e
k = constante geralmente entre 0,5 mV/K e 3 mV/K (Tsividis &
McAndrew, The MOS Transistor, 2012), com os valores
mais elevados correspondendo a substratos altamente
dopados, xidos espessos e pequenos valores de VSB.
A equao do VTH dada em (Tsividis & McAndrew, The MOS
Transistor, 2012).
15

2.5 Matching de parmetros e layout de circuitos
Uma dificuldade introduzida na fabricao de circuitos o mismatch
entre dispositivos, que a variao de parmetros entre dispositivos que foram
projetados para ou serem iguais ou manterem uma relao determinada para
alguma caracterstica eltrica, por exemplo, resistncia. Nesse caso, a perda
da igualdade ou da relao pode causar a degradao do desempenho do
circuito real fabricado. Para minimizar essa dificuldade devem ser utilizadas
tcnicas de matching ou casamento de dispositivos. Dois dispositivos so ditos
estarem casados quando a relao entre seus parmetros tem pequena
dependncia com a fabricao. As tcnicas de casamento consistem
basicamente em cuidados com as dimenses e com os layouts dos
dispositivos, tais como faze-los grandes, prximos e o mais semelhantes
possvel (mesma orientao, mesma vizinhana, etc.).
Um exemplo de aplicao das tcnicas segue: considere um projeto que
use dois transistores, cuja razo entre os (W/L)s deve ser trs, e que o
transistor maior foi desenhado com (3Wa/La) e o menor com (Wa)/La. Caso haja
um aumento de 0,1 m fixo na largura desses transistores, devido ao processo
de fabricao, a razo entre os (W/L)s deixar de ser trs. Por outro lado, se o
transistor maior for substitudo por trs transistores de dimenses (Wa/La) em
paralelo, o (W/L) equivalente dos trs ser trs vezes maior do (Wa/La),
independente das variaes em L e W que possam ocorrer (desde que
ocorram igualmente em todos os transistores).
Algumas das tcnicas de matching podem ser justificadas pelas relaes
apresentadas no trabalho de (Pelgrom, Duinmaijer, & Welbers, 1989). Neste
trabalho mostrado que varincia do VTH0 e do em transistores colocados
prximos, com mesma orientao e na mesma lamina :

2
(
0
)

0
2
=

0
2
.
+

0
2
D
2

(16)


2
()

2
.
+

2

(17)

em que,VTH0 a tenso de threshold para VSB = 0 V; o fator de
corrente

0
,

, e

0
so constantes do processo de
fabricao e D a distncia entre os dispositivos analisados.
16

Das relaes acima podemos deduzir duas regras bsicas para reduzir o
mismatch:
as dimenses dos dispositivos casados devem ser as maiores
possveis (W e L), procurando reduzir o valor dos termos

0
2
.
e

2
.
;
dispositivos casados devem ser posicionados o mais prximo
possvel, procurando reduzir o valor dos termos

0
2
D
2
e

2
.
Utilizando-se tcnicas de matching, possvel aumentar o nmero de
circuitos produzidos com comportamento prximo ao projetado, melhorando a
robustez do projeto.
A robustez de um projeto pode ser avaliada atravs de simulaes de
Monte Carlo. Neste tipo de simulao, so utilizados modelos estatsticos
fornecidos pela foundry (para nosso trabalho, a Austria Micro Systems) que
contm informaes sobre o valor e o modelo de distribuio dos principais
parmetros dos dispositivos. So gerados, ento, modelos eltricos distintos
para os dispositivos e o projeto testado para cada um deles, a fim de levantar
dados estatsticos sobre seu desempenho.
2.6 Circuito de polarizao e transistor em configurao Cascode
Circuitos de polarizao so circuitos auxiliares que proveem tenses para
garantir o funcionamento de um circuito principal. Alm de prover tenses,
esperado tambm que um circuito de polarizao consuma pouca corrente e
tenha rea reduzida.
Dois exemplos de circuitos de polarizao so apresentados na Figura 6.
a) b)
MP1 MN1
Figura 6 - Circuitos de polarizao. a) O bloco hachurado faz a polarizao
do transistor MP1. b) O bloco hachurado faz a polarizao dos transistores
MN1
17

Nesta figura, o bloco hachurado do circuito da esquerda faz a polarizao do
transistor MP1 e o bloco hachurado da direita, do transistor MN1. Uma aplicao
do circuito da figura a polarizao de transistores do tipo Cascode.
Transistores Cascode tm por objetivo a reduo nas variaes da tenso VD
de algum transistor MOS e com isso reduzir o efeito da modulao de canal
(Razavi, 2001). O circuito de polarizao prov tenses VG para os transistores
Cascode para que eles operem em saturao.
2.7 Espelho de corrente
Espelho de corrente uma estrutura composta de dois ou mais
transistores bastante utilizada em circuitos integrados para replicar correntes.
Essa estrutura, formada por ou apenas transistores N ou apenas P, Figura 7a e
Figura 7b, caracterizada por estarem todos os transistores com os sources
ligados entre si e com os gates ligados entre si e, ainda, por ter um transistor
com o gate e o drain ligados. Com isso se produzem correntes que so
proporcionais razo entre os (W/L)s dos transistores, caso a modulao de
canal seja pequena. Por exemplo, na Figura 7a, a corrente I0 que passa pelo
transistor MN1 espelhada para o transistor MN2 com um fator de N vezes, pois
(W/L)Mn2 N vezes maior que (W/L)Mn1 (Rincn-Mora, 2002).
Para que a corrente seja corretamente espelhada, preciso que os
transistores do espelho de corrente tenham um bom matching, o que deve ser
garantido com ateno especial ao desenho do layout dos transistores.
Outra precauo que deve se ter em relao modulao de canal,
que afeta a relao de espelhamento das correntes. A modulao de canal
a)
MP1
M 1
MP2
1 N
MN2 MN1
b)
I0 N.I0
M.I0 I0
Figura 7 - Espelho de corrente. a) Espelho de corrente do tipo NMOS
simples. b) Espelho de corrente do tipo PMOS simples
18

pode ser reduzida aumentando o L dos transistores ou adicionando transistores
Cascode.
2.8 Circuito Complementary To Absolute Temperature (CTAT)
Um circuito CTAT produz uma grandeza com comportamento
decrescente com a temperatura absoluta. Esta grandeza usualmente gerada,
diretamente ou indiretamente, a partir da tenso base-emitter de um transistor
bipolar ou da tenso gate-source de um transistor MOS em fraca inverso
(Rincn-Mora, 2002) (Razavi, 2001).
Para mostrar que a tenso base-emitter do transistor bipolar decresce
com a temperatura, utilizaremos equao (3). Considerando que o termo (

)
pequeno e que

()
0
+ (Tsividis Y. P., 1980), temos

()
0
(

)
(18)

J que o valor de VBE est prximo de 0,6 V e que o valor de VG0,
prximo de 1,2 V, o termo (

) de (18) positivo, portanto, VBE(T)


decresce linearmente com a temperatura.

Para mostrar que a tenso gate-source do transistor MOS na fraca
inverso decresce com a temperatura (fraca-inverso), utilizaremos a equao
(14), assumindo que n(T) varia pouco com a temperatura, e obtemos:

()

(
0
)

+ (

0
)

(19)

em que

.
0
+

(
0
)

(
0
)


Para valores tpicos de kT0, VOFF, e (VGS(T0)-VTH(T0)), KG negativo
(Giustolisi, Palumbo, Criscione, & Cutr, 2003), e portanto, podemos ver por
(19) que VGS(T) decresce linearmente com a temperatura.
Para validar as simplificaes acima, duas simulaes foram realizadas:
e um deles um transistor bipolar PNP vertical da AMS, com 1 A de IC, tem a
temperatura variada de -10 C a 90 C; no outro, um transistor NMOS da AMS,
com W de 200 m, L de 2 m e 1 A de ID, tem a temperatura variada. A Figura
8 apresenta as curvas de VEB e de VGS em funo da temperatura (modelo
19

tpico), confirmando que as duas grandezas so CTAT. Ainda mais, podemos
ver que elas tem um comportamento praticamente linear.

Figura 8 - Grfico que mostra o comportamento VEB de um transistor
bipolar, com 1 A de IC e a tenso VGS de um NMOS, com W de 200 m, L
de 2 m e 1 A de ID, com a temperatura variando de -10 C a 90 C
2.9 Circuito Proportional To Absolute Temperature (PTAT)
O circuito PTAT produz uma grandeza com comportamento crescente
com a temperatura absoluta. Vrias topologias de circuito foram propostas para
gerar tal grandeza. Na Figura 9, so apresentados alguns exemplos de
topologias que fornecem correntes PTAT (Vittoz & Fellrath, 1977) (Rincn-
Mora, 2002) (Razavi, 2001).
20

Na Figura 9a temos uma fonte de corrente formada por um espelho de
corrente NMOS degenerado, composta pelos transistores NMOS e o resistor, e
pelo espelho composto pelos transistores PMOS. Para o correto funcionamento
do circuito PTAT, os transistores NMOS devem operar na regio de fraca
inverso. Chamaremos este circuito de fonte de corrente NMOS. Para
determinar a corrente I1 em funo do valor do resistor R1, de M e de N,
utilizaremos a equao da corrente na fraca-inverso. Assim para as correntes
I1 e I2 podemos escrever (9),

2
= .
1
= (

)
1
.

. (1

1
= (

)
2
.

. (1

)

A corrente que passa pelo resistor R1 pode ser escrita em funo das
tenses VGS dos transistores NMOS, assim:
b)
e) d)
a)
MP1
M 1
N 1
R1
I1
I2
MP2
MN2 MN1
A
C
F
I1
1 N
1 M
MNTR
A A
R1
Q1
Q2
I1
A A`
B
N 1
c)
VBEQ1
VBEQ2
I1
R1
Figura 9 Exemplos de blocos PTAT. a) fonte de corrente NMOS. b) fonte
de corrente PMOS. c) fonte de corrente NMOS com transistor em trodo. d)
fonte de corrente com transistores bipolares. e) fonte de corrente NMOS
com transistores Cascode
21

1
=

1

2

1



Garantindo-se VA>>VT e VC>>VT e usando-se

=
.

obtemos

1
=
1

1
.

. (

)
(20)

Para que o circuito opere devemos ter M > N. Nota-se pela equao (20)
que I1 linear e crescente com a temperatura.
A fraca-inverso do transistor MN1, usando a Tabela 1 e (4), exige que

0,1 >

2
2. . (

1
.

. . (

)
2


(21)
Manipulando algebricamente a expresso, temos

(

1
>
5.
2
.

. . (

)
2


(22)
Note que essa expresso garante a fraca-inverso de MN2 tambm, pois,
I1 M vezes menor que I2, (
W
L
)

2
N vezes maior que (
W
L
)

1
e (M/N) > 1.
Na Figura 9b, temos uma fonte de corrente similar a anterior. Agora ela
formada por um espelho de corrente PMOS degenerado, composto pelos
transistores PMOS em fraca inverso e o resistor, e um espelho composto
pelos transistores NMOS. Chamaremos este circuito de fonte de corrente
PMOS. A corrente I1 deste circuito pode ser determinada por uma anlise
anloga feita para a fonte de corrente NMOS, sendo a equao final a
mesma.
Na Figura 9c, observa-se uma modificao da fonte de corrente NMOS
(Figura 9a) que apresenta um transistor, ao invs do resistor R1, e um
amplificador operacional, ao invs do curto-circuito entre o gate e o drain do
transistor MP2. O transistor MNTR, quando aplicada uma tenso VG adequada
para que opere na regio triodo, pode substituir um resistor de valor elevado
(>500 k) ocupando uma rea menor. Neste caso, fica-se impossibilita a
utilizao de laser trimming (processo de fabricao que utiliza um laser para
ajustar as dimenses de componentes eletrnicos, especialmente resistores)
22

para o ajuste do valor resistncia e esta apresentar variaes com a tenso
VG.
O amplificador operacional serve para reduzir problemas de modulao
de canal, pois, devendo as tenses nas suas entradas A e A estarem
prximas, os transistores PMOS e NMOS possuem valores de tenso de drain
prximas. A reduo da modulao de canal resulta em uma menor variao
de I1 com a tenso de alimentao. Por outro lado, a aplicao de um
amplificador operacional causa o aumento da rea do circuito e do consumo de
potncia, implica na utilizao de uma tenso alimentao mais elevada e
exige cuidados para evitar possvel oscilao do circuito.
Na Figura 9d, observamos uma fonte de corrente que utiliza transistores
bipolares. A corrente I1 pode ser encontrada sabendo-se que os ns A e B tem
tenso VBEQ2 e VBEQ1, respectivamente, e considerando que as tenses em A e
A so iguais. Temos ento que a corrente que passa pelo resistor R1 ,

1
=
V
2
V
1

1

(23)
Lembrando que para transistores bipolares IC possui um comportamento
exponencial com VBE, similar a ID e VGS em transistores MOS em fraca-
inverso, podemos encontrar que,

1
=
1

1
.

. ()
(24)
onde N quantas vezes a rea de Q1 maior do que Q2 (ou que Q1 formado
por N transistores iguais a Q2 em paralelo).
Os transistores bipolares com base em VSS so equivalentes a diodos,
portanto podem ser substitudos pelos ltimos sem que haja alterao no
equacionamento.
Pode-se observar que (20) e (24) so similares, apesar de a primeira
equao ser gerada a partir da diferena de tenses VGS de transistores MOS e
a segunda, de tenses VBE de transistores bipolares.
Na Figura 9e, observamos uma fonte de corrente NMOS com
transistores Cascode aplicados tanto no espelho NMOS degenerado, aplicado
apenas no lado direito, quanto no espelho PMOS, aplicado apenas no lado
esquerdo. Estes transistores, quando corretamente polarizados na regio de
saturao, atenuam a influncia de variaes tenso de alimentao sobre a
23

corrente PTAT gerada. O custo da adio desses transistores o aumento da
rea e do consumo, especialmente se um bloco de polarizao for adicionado,
e o possvel aumento da tenso de alimentao mnima.
Outros circuitos podem ser construdos a partir desses cinco exemplos
apresentados, por exemplo, adicionando transistores Cascode na fonte de
corrente PMOS, ou substituindo resistores por transistores em triodo. Deve-se,
contudo, ter em mente que todos esses circuitos aplicam as diferenas de
tenses entre VGSs ou VBEs em um resistor a fim de gerar uma corrente PTAT.
2.10 Circuito de start-up
Um circuito de start-up um bloco auxiliar que tem por funo iniciar a
operao de um circuito principal. Este circuito, sem o start-up, pode entrar em
um estado no-funcional (Rincn-Mora, 2002). esperado que este bloco se
desative e consuma pouca potncia a partir do momento em que o circuito
principal comece a operar; tambm que ocupe uma pequena rea.
Os blocos de start-up podem ser de diversas formas, dependendo do
circuito em que ser utilizado. Um exemplo de circuito que necessita de bloco
de start-up a fonte de corrente NMOS da Figura 10. Nesta figura tambm
mostrado um estado estvel em que o circuito no opera como desejado.
Quando a tenso do gate dos transistores PMOS tem valor VDD e a tenso do
gate dos NMOS tem valor VSS, todos os transistores do circuito esto na regio
de corte e permanecem neste estado.
Na Figura 11, so apresentados alguns exemplos de bloco de start-up
para este circuito.
VDD
VSS
C
A
Figura 10 - Estado da fonte de corrente NMOS em que ela no funciona
24

O circuito de start-up na Figura 11a formado pelos transistores MPINV,
MNINV e MPO. Nele os transistores MPINV e MNINV compe um inversor. Quando o
n C tiver valor VDD, situao no desejada, a sada B do inversor estar em
VSS e far com que o transistor MPO conduza. Dessa forma aplicada uma
tenso prxima a VDD ao n A que far os transistores NMOS conduzirem e
forara o funcionamento da fonte de corrente. Quando a fonte de corrente
estiver funcionando, a tenso em C deve ser baixa. A sada do inversor ficar
ento prxima de VDD, cortando o transistor MPO e parando o funcionamento do
circuito de start-up.
O circuito de start-up na Figura 11b formado pelos transistores MPCAP e
MPO e pelo capacitor C1. O capacitor inicialmente est descarregado quando o
circuito ligado. Nesta situao, o gate do transistor MP0 estar em VSS o que
acarreta sua conduo. Com isso aplicada uma tenso prxima a VDD ao n
A que far os transistores NMOS conduzirem e forar a operao da fonte de
MP1
MP2
MN2
MN1
A
C
MP1
N 1
MP2
MN2
MN1
A
C
MNINV
MPINV
MPO
a) b)
c)
MPCAP
MPO
C1
MP1
N
1
MP2
MN2 MN1
A
C
B
MPB
d)
B
A
C2
M
NO

M
NCAP

M
P1

M
P2

M
N1

M
N2

C
Figura 11 - Exemplo de circuitos de start-up para a fonte de corrente
NMOS
25

corrente. O capacitor carregado lentamente pelo transistor MPCAP o que
causar o corte do transistor MPO, parando o funcionamento do circuito de start-
up.
O circuito de start-up na Figura 11c, formado pelos transistores MNCAP e
MPO e pelo capacitor C2, anlogo ao da Figura 11b. Neste caso, o transistor
MN0 abaixar a tenso no n C, fazendo a fonte de corrente funcionar.
O circuito de start-up na Figura 11d formado pelo transistor MPB e pelo
boto B. Quando acionado o boto B, o transistor MPB conduz, iniciando o
funcionamento da fonte. Este circuito de start-up, apesar de ser invivel para
circuitos comerciais, cumpre seu papel em circuitos testes.
Apesar de ser um bloco fundamental para o funcionamento dos circuitos,
o circuito de start-up geralmente no apresentado nas figuras de topologia,
para facilitar a visibilidade do circuito principal.
2.11 Fonte de referncia do tipo bandgap e circuitos da literatura
A fonte de referncia do tipo bandgap utiliza circuitos PTAT e CTAT para
gerar a tenso de referncia, realizando a soma ponderada das grandezas
CTAT e PTAT para cancelar, em primeira ordem, as dependncias com a
temperatura (Rincn-Mora, 2002) (Razavi, 2001). O termo bandgap utilizado
quando a grandeza CTAT gerada a partir da tenso VBE de um transistor
bipolar. Uma ilustrao do soma ponderada de uma grandeza CTAT com outra
PTAT est apresentada na Figura 12.


Temperatura
G
r
a
n
d
e
z
a

P
T
A
T

Temperatura
T
e
n
s

o

d
e

r
e
f
e
r

n
c
i
a



Temperatura
G
r
a
n
d
e
z
a

C
T
A
T

G
r
a
n
d
e
z
a

C
T
A
T

Figura 12 Ilustrao explicativa que mostra a tenso de referncia sendo
formada por uma soma ponderada das grandezas PTAT e CTAT
26

Na literatura, existem muitas fontes de tenso de referncia, cada qual
com suas particularidades. Para exemplificar, atravs de circuitos existentes, o
uso dos circuitos PTAT e CTAT j comentados ou similares e para familiarizar
o leitor com algumas topologias importantes, sero apresentados alguns
circuitos de fonte de tenso de referncia (Ueno, CMOS Voltage and Current
Reference Circuits consisting of Subthreshold MOSFETs Micropower Circuit
Components for Power-aware LSI Applications , 2010).
Na Figura 13, apresentada a topologia proposta por (Banba, et al.,
1999).

Neste circuito, R2 e R2 possuem mesmo valor e a relao (W/L) dos
transistores MP1, MP2 e MP3 igual.
A tenso de sada VREF desse circuito dada por

=
1

4
(25)
A corrente I4 igual a I3, devido relao (W/L) do espelho de corrente,
e esta igual soma das correntes que saem no n A. Assim temos

4
=
1
+
2
(26)
A corrente I1 pode ser determinada por (24) e a corrente I2 dada por

2
, pois VA = VBEQ2. Assim, de (25) e (26) obtemos:
I1
MP1
MP2
MP3
R1
R2
R3
R2
Q2 Q1
N 1
I2
I2
A
A
VBEQ1 VBEQ2
I4
VREF
I1
I3
Figura 13 - Circuito alterado de (Banba, et al., 1999)
27

= R
1
(
1

3
.

ln() +

2
)
(27)
A equao (27) mostra que a tenso VREF formada a partir da soma de
uma corrente PTAT, dada por
1

3
.

ln(), e uma CTAT,

2
. Os resistores R1,
R2 e R3 so encarregados de: determinar o valor de tenso de sada do
circuito, ajustar a inclinao da curva CTAT para que haja o cancelamento das
variaes com a temperatura e determinar a corrente PTAT.
At 1999, as fontes bandgap tinham restries na mnima tenso de
alimentao, pois realizavam a soma ponderada direta da tenso CTAT VBE
com uma tenso PTAT. Neste caso, quando o cancelamento do efeito da
temperatura obtido, a somas das tenses est prxima a 1,17 V (tenso de
bandgap). Em (Banba, et al., 1999) a soma ponderada realizada com
correntes, uma delas proporcional a VBE, gerando, dessa forma, a corrente I3
estvel. Para obter a tenso estvel, esta corrente foi espelhada e aplicada a
um resistor. A partir deste trabalho se abriu a possibilidade para os circuitos
sub 1,0 V, que possuem tenso de alimentao menor que 1,0 V.
Na Figura 14, apresentado a topologia proposta por (Ueno, Hirose,
Asai, & Amemiya, 2009). Ela utiliza apenas transistores MOS em sua
configurao e uma estrutura apresentada em (Vittoz & Neyroud, 1979) para
obter as tenses CTAT e PTAT.
28

Nesta topologia, os transistores MN5, MN6, MN7, MN8 e MN9 devem operar
em fraca-inverso. O transistor MR1 deve operar em forte-inverso na regio de
triodo. O bloco formado pelos transistores MP1, MP2, MN1, MN2, MN3 e MN4 e o
amplificador gera uma corrente, IP, que serve apenas para alimentar os
transistores MN5, MN6, MN7, MN8 e MN9.
Para encontrar a tenso de referncia VREF, iniciaremos pela tenso do
n C, dada por,

= V
6
V
5
(28)
A partir da tenso no n C, encontramos VA,

+V
8
V
7
(29)
Por fim obtemos VREF que ser

+ V
9
(30)
Unindo (28), (29) e (30), obtemos

= V
6
V
5
+V
8
V
7
+V
9
(31)
Usando (9), que fornece a tenso VGS na fraca inverso, considerando
que as tenses VDS dos transistores MN5, MN6, MN7, MN8 e MN9 sejam bem
MP1
M 1
N 1
MP2
MN2 MN1
A
F
MP3 MP4 MP5
VREF
MR1
MN3 MN4
MN5
MN6
MN7
MN8 MN9
IP
IP
IP IP
C
Figura 14 - Circuito proposto por (Ueno, Hirose, Asai, & Amemiya, 2009)
29

maiores que VT e que as correntes que passam por MN5, MN7 e MN9 so iguais
a IP e observando que corrente que passa por MN8 igual a 2.IP e que a
corrente que passa por MN6 e igual a 3.IP, temos

= V
6
+.

. ln (
2.
5
.
7

8.

9
)

em que K5, K8, K7 e K9 so as relaes (

)
5
, (

)
8
, (

)
7
e (

)
9
,
respectivamente.
Essa equao apresenta como grandeza CTAT a tenso V
6
e como
grandeza PTAT a tenso .

. ln(
2.
5
.
7

8.

9
). O artigo (Ueno, Hirose, Asai, &
Amemiya, 2009) mostra que, escolhendo apropriadamente as relaes W/L dos
transistores, obtemos uma tenso de referncia dada por

(32)
em que VTH0k a tenso de threshold do transistor NMOS em 0 K.
O circuito proposto por (Ueno, Hirose, Asai, & Amemiya, 2009)
apresenta a tenso de sada com baixa dependncia em relao temperatura
e baixssima dependncia em relao tenso de alimentao, com consumo
de corrente de algumas centenas de nanoamperes. Entretanto, a tenso de
sada tem o valor VTH0k que depende da tecnologia utilizada, varia
consideravelmente de lamina para lamina e que pode ser elevado.

Na Figura 15, apresentada a topologia proposta por (Neuteboom, Kup,
& Janssens, 1997).
30

Neste circuito est presente o bloco PTAT da Figura 9d e, portanto, a
corrente IPTAT dada por (24) (considerando os transistores MP1, MP2 e MP3 de
mesmas dimenses).
Usando a primeira lei de Kirchhoff no n A, temos que,

1
+
V
REF
V
BEQ1

2
I
PTAT
= 0

Manipulando-se essa expresso, obtemos:

=
R
3

2
+
3
(
1
+
2
.

)

Nota-se que VREF a soma de uma grandeza PTAT, proporcional IPTAT,
e uma CTAT, proporcional VBEQ1.

Na Figura 16, apresentada a topologia proposta por (Leung & Mok, A
CMOS Voltage Reference Based on Weighted VGS for CMOS Low-Dropout
Linear Regulators, 2003).
Neste circuito, a fonte de corrente PMOS, similar a apresentada na
Figura 9b, utilizada para gerar uma corrente que polariza os transistores MP1
e MN1. O fato da corrente aqui ser PTAT no tem importncia.
MP1 MP2 MP3
R1 R2
IPTAT
A
VREF
VBEQ1
Q1
R3
N 1
Figura 15 - Circuito apresentado por (Neuteboom, Kup, & Janssens, 1997)
31

Sendo a tenso no n A dada por VGS do transistor MN1 (VGSN1), teremos
que a corrente I1 ser

2
e tenso no n B ser,

=
1
+

1


Usando a tenso VB e considerando a tenso VGS do transistor MP1 igual
a VGSP1, temos que VREF

= (1

2
)
1

1


Tanto VGSN1 como VGSP1 decrescem com a temperatura e, portanto,
pode-se cancelar a variao de VREF com a temperatura atravs do ajuste dos
resistores R1 e R2. Veja que aqui a grandeza PTAT o termo VGSP1.
2.12 Algoritmo metaheurstico
Problemas de otimizao so problemas de busca pela soluo que
minimiza (ou maximiza) o desempenho de um sistema em determinados
aspectos. Algoritmos metaheursticos so procedimentos computacionais que
resolvem problemas de otimizao. So indicados para a resoluo de
1 M
VREF

R1

R2

MP1

M
N1

B

A

I1

R3

Figura 16 - Circuito proposto por (Leung & Mok, A CMOS Voltage
Reference Based on Weighted VGS for CMOS Low-Dropout Linear
Regulators, 2003)
32

problemas em que outros algoritmos de otimizao demorariam muito tempo
para encontram uma boa soluo ou em que no existam modelos
matemticos que descrevem o problema, somente meios de verificar o
desempenho de uma soluo dada (Talbi, 2009) (Luke, 2009).
Explorando o conjunto de todas as possibilidades o espao de
solues de forma eficiente, algoritmos metaheursticos no garantem
encontrar a soluo tima, mas encontram uma aceitvel. Existem inmeros
tipos de algoritmos metaheursticos sendo que a maior parte deles mimetiza a
natureza (processo de evoluo gentica, colnias de insetos, etc.). Algoritmos
metaheursticos utilizam uma mtrica (score) para se guiar na busca por
solues. Este score calculado atravs de uma funo de fitness (Talbi,
2009). Podem existir inmeras funes de fitness para serem aplicadas a um
mesmo problema e ela quem determina o resultado final do algoritmo.

Os diversos algoritmos metaheursticos variam entre si no tempo de
execuo, no score final encontrado e alguns so bastante efetivos na
resoluo de problemas especficos (Luke, 2009).
Existe normalmente compromisso entre o tempo de execuo e o valor
do score atingido nos algoritmos metaheurstico. Em geral, quanto maior o
tempo de execuo, maiores as chances de se obter um score menor (no caso
de minimizao). Isso ocorre porque um maior tempo de execuo permite que
o algoritmo investigue melhor o espao de solues. Em grande parte dos
problemas, no se pode garantir que o resultado fornecido por um algoritmo
metaheurstico o mnimo global, pois para isso ele precisaria ter analisado
todo o espao de solues. O resultado encontrado no geral um apenas
mnimo local.
Neste trabalho, so utilizados dois exemplos de algoritmo
metaheurstico: genetic algorithm e simulated annealing.

33

O genetic algorithm (GA), ou algoritmo gentico, foi criado por J. Holland
em 1970 e um mtodo inspirado no processo de seleo natural (Talbi,
2009). O algoritmo gentico seleciona, a partir de uma lista de possveis
solues (indivduos de uma populao), aquelas que possuem menor score
(no caso de minimizao) de acordo com uma funo de fitness. Sob essas
possveis solues so aplicados operadores, como crossover e mutation, que
fazem, respectivamente, a recombinao e pequenas alteraes aleatrias nos
parmetros das solues, para criar novas solues, indivduos, que compe
uma nova populao. Sobre essa ltima populao se repetir o procedimento
aplicado populao anterior, gerando outra nova populao. Esse
procedimento se perpetuar at que uma condio de parada seja alcanada,
como, por exemplo, um nmero mximo de iteraes ou a pequena
variabilidade entre as solues de uma populao. O algoritmo ilustrado pela
Figura 17. Em algoritmos genticos, cada nova populao chamada de nova
gerao.
Soluo
final

P
o
p
u
l
a

o

Score
0,1
0,3
1,4
2,7
5,0
6,3
7,8
10,3
Score
0,1
0,3
1,4
2,7
5,0
6,3
7,8
10,3
Funo
de
fitness
Seleo
de
indivduos
Crossover
Mutao
Nova
populao
Condio
de parada
atingida?
Incio aleatrio
No Sim
Figura 17 Diagrama que explica o funcionamento de um algoritmo
gentico. Quanto menor o score mais apto o indivduo
34

Existem diversas implementaes de algoritmos genticos que so
caracterizadas por diferentes mtodos de seleo de indivduos, de mutao e
de recombinao de parmetros, por trabalhar com vrias populaes, etc.
Essas diferenas fazem com que a velocidade de execuo e soluo final
possam ser distintas para cada caso.
As opes e possveis valores do algoritmo gentico implementado no
MATLAB e que foi aplicado neste trabalho so (Mathworks, s.d.):
tipo de populao: determina o tipo de representao dos parmetros
que pode ser feita com, por exemplo, nmeros inteiros, ponto-flutuante,
ou um vetor binrio em que os componentes so zero ou um. O valor
utilizado depender dos parmetros da aplicao;
tamanho da populao: determina quantas subpopulaes sero usadas
e o tamanho de cada uma delas. As subpopulaes so manipuladas
separadamente at serem misturadas. Uma populao grande significa
uma melhor busca pelo espao de solues, todavia implica em um
maior tempo de processamento. As subpopulaes fazem com que
solues que seriam descartadas, caso fosse uma populao nica,
sejam melhor analisadas, promovendo uma melhor busca;
mtodo de criao de populao: determina como ser criada a primeira
populao, caso nenhuma populao inicial seja fornecida. Um mtodo
criar uma populao aleatria com distribuio uniforme, outro criar
uma populao aleatria dentro de limites especificados;
mtodo de scaling: determina como os valores de score sero
redimensionados para se adequarem a funo de seleo. E, uma vez
redimensionados, baixos valores de scores implicam altos valores
redimensionados. Os scores tambm precisam ser redimensionados de
modo que no sejam muito discrepantes, ou o algoritmo convergir
muito rpido e o espao de solues no ser bem explorado, e que no
sejam muito prximos, ou o algoritmo ir demorar muito para convergir.
Exemplos de scaling so;
o rank, que redimensiona o score de acordo com a posio que ele
ocupa se os valores de scores forem ordenados, em ordem
35

crescente. O score redimensionado proporcional a
1

, sendo n a
sua posio;
o proporcional, que redimensiona os scores proporcionalmente ao
seu valor e
o top, que redimensiona os melhores scores para um mesmo valor
enquanto o resto colocado em zero;
mtodo de seleo: determina quais solues sero utilizadas para criar
uma nova populao. Por causa do mtodo de scaling, um alto score
redimensionado implica numa maior probabilidade de ser selecionado,
apesar do GA ser um algoritmo de minimizao. Exemplos so:
o stochastic uniform, em que a chance de um indivduo ser
selecionado proporcional a seu score redimensionado;
o resto, em que o indivduo selecionado quantas vezes for o valor
inteiro do seu score redimensionado (um score redimensionado
de 3,4 faz com o indivduo seja selecionado 3 vezes);
o torneio, em que so formados grupos de n indivduos (sendo n
pelo menos 2) e o indivduo com melhor score redimensionado
de cada grupo escolhido.
mtodos de reproduo: determina como o algoritmo ir produzir a nova
populao a partir dos indivduos selecionados. preciso indicar
quantos dos indivduos selecionados sero mantidos (elite count) e
quantos sero produzidos por crossover, sendo o restante da populao
completada por mutaes;
mtodo de mutao: determina como sero realizadas as pequenas
alteraes aleatrias nos parmetros dos indivduos, fazendo com que
haja uma melhor busca no espao de solues. Exemplos so:
o gaussiano, que adiciona um desvio aleatrio a cada parmetro,
seguindo uma distribuio gaussiana cujo centro zero e o
desvio padro varia com as geraes;
o uniforme, que aleatoriamente escolhe alguns parmetros de um
indivduo e atribui a eles um valor entre os valores limites de sua
especificao, seguindo uma distribuio uniforme;
36

o adaptive feasible, que aleatoriamente altera os parmetros de um
indivduo dentro das restries de valores especificados para o
parmetro. A magnitude dessas alteraes varia conforme as
geraes;
o dependente dos limites dos parmetros, que utiliza a gaussiana
se no houver restrio nos valores dos parmetros, ou adaptive
feasible, caso contrrio.
mtodo de crossover: determina como sero combinados dois
indivduos, pais, para gerar um terceiro, filho, que far parte da nova
populao. Exemplos so:
o scattered, onde cada parmetro do filho escolhido de um dos
pais;
o single point, onde se copia os N primeiros parmetros de um dos
pais e completa os outros parmetros do outro. N um nmero
aleatrio entre um e o nmero total de parmetros do indivduo;
o two points, copia os N primeiros e M ltimos parmetros de um
dos pais e o restante dos parmetros do outro. A soma de N e M
deve ser inferior ao o nmero total de parmetros e
o aritmtico, que cada parmetro do filho a mdia aritmtica
ponderada dos parmetros de dois pais e os pesos so os scores
deles.
mtodos de migrao: determina como as subpopulaes sero
misturadas. necessrio indicar a frao das subpopulaes que
migrar, indo de uma subpopulao para outra, e o intervalo de
geraes que passa entre cada migrao;
critrio de parada: determina quais os critrios para finalizar a busca da
soluo tima. Existem vrios critrios, que podem ser aplicados
simultaneamente, que se forem atingidos finalizam as buscas. Exemplos
so:
o nmero mximo de geraes;
o tempo limite de busca;
o valor mnimo do score;
o tempo mximo sem nenhuma melhora significava do score e
37

o nmero mximo de geraes sem nenhuma melhora significativa
do score.
Para os dois ltimos critrios necessrio indicar um valor de tolerncia
para determinar se houve ou no uma melhora significativa.
Uma caracterstica importante do GA que ele no necessita de uma
soluo inicial para sua execuo.

O simulated annealing (SA), criado a partir dos trabalhos de S.Kirkpatrick
et al. e V.Cerny, um mtodo inspirado no processo de recozimento da
indstria metalrgica, em que um metal aquecido e lentamente resfriado a fim
de obter uma estrutura cristalina mais forte (Talbi, 2009).
O simulated annealing possui uma populao de um indivduo e uma
temperatura de trabalho, que inicialmente devem ser fornecidos ou gerados
aleatoriamente. Os parmetros deste indivduo so variados em funo da
temperatura de trabalho para criar um novo indivduo, que pode ou no
substituir o original. Quando o score do novo indivduo for melhor do que o do
indivduo original, haver a substituio; quando o score no for melhor, a
substituio poder ocorrer com uma probabilidade que funo do score de
ambos e da temperatura. Aps o procedimento acima, a temperatura de
trabalho reduzida e o procedimento novamente repetido. Quando a
temperatura de trabalho chega a um limiar inferior ou um nmero de interaes
foi excedido, o algoritmo pode reiniciar a temperatura e prosseguir na busca
(reannealing) ou encerrar a execuo, fornecendo a soluo encontrada. A
Figura 18 ilustra o algoritmo.
Existem diversas implementaes de simulated annealing que so
caracterizadas por diferentes funes de gerao de indivduos e de aceitao
de novas solues, diferentes mtodos de alterao da temperatura e de
reannealing, diferentes critrios de parada, etc. Essas diferenas podem fazer
com que a velocidade de execuo ou a soluo final sejam distintas para cada
caso.



38

As opes e possveis valores do simulated annealing implementado no
MATLAB e que foi aplicado neste trabalho so:
tipo de populao: determina o tipo de representao dos parmetros
que pode ser feita com, por exemplo, nmeros inteiros, ponto-flutuante,
ou um vetor binrio em que os componentes so zero ou um. O valor
utilizado depender dos parmetros da aplicao;
mtodo de annealing: determina como sero gerados os parmetros
para novas solues a serem testadas. Exemplos so:
o fast annealing, em que a variao dos parmetros proporcional
a temperatura
o boltzmann annealing, em que a variao dos parmetros
proporcional raiz quadrada da temperatura;
opes de reannealing: determina quantas novas solues devem ser
aceitas antes de ocorrer um reannealing;
mtodo de decaimento da temperatura: determina a temperatura inicial e
como a temperatura ir ser reduzida. Exemplos de funes de reduo
Score: x
d
fdf
Funo de
fitness Incio
aleatrio
ou a partir
de uma
soluo
inicial


Funo de
fitness
Variao dos
parmetros com a
temperatura
Score: y
escolhido? Probabilidade de ser escolhido:
(, , ) = {
1
1+exp (
||

)
, <
1 ,

Nmero de
iteraes atingido?
Condio de
parada atingida?
Soluo final
Sim
No
No
Sim
Alterao da temperatura
(reduo ou reannealing)
Figura 18 - Diagrama que explica o funcionamento de um tipo de simulated
annealing. Quanto menor o score mais apto o indivduo
39

so: exponencial, logartmica e linear, todas em funo do nmero de
iteraes e
critrio de parada: determina quais os critrios para finalizar a busca da
soluo tima. Existem vrios critrios, que podem ser aplicados
simultaneamente, finalizando a busca se um deles for atingido.
Exemplos so:
o nmero mximo de iteraes;
o tempo limite de busca;
o nmero mximo de iteraes sem nenhuma melhora significativa
do score.
Para o ltimo critrio necessrio indicar um valor de tolerncia para
determinar se houve ou no uma melhora significativa.
O simulated annealing possui, em geral, uma execuo mais rpida do
que o GA, no entanto as solues podem no ser boas para algumas
condies iniciais.

40


41

4 Mtodo e base terica
Para explicar a operao e projeto da fonte de tenso de referncia
proposta neste trabalho, sero apresentadas seis sees que detalham os
blocos que a compe e como foi realizado o projeto.
A primeira seo apresenta uma verso simplificada da fonte de tenso
e as equaes que descrevem seu funcionamento. Apesar da simplificao, as
equaes servem para o circuito completo.
A segunda seo apresenta a segunda verso do circuito. Esta verso
uma fonte melhorada que inclui algumas estruturas como transistores Cascode.
A terceira seo apresenta o subcircuito de start-up.
A quarta seo discorre sobre os algoritmos metaheursticos e a funo
de fitness utilizados para determinar os valores dos parmetros do circuito
projetado.
A quinta seo apresenta o bloco de ajuste de coeficiente de
temperatura, bloco importante que ser adicionado ao circuito completo.
A sexta e ltima seo apresenta por fim o circuito com todas suas
estruturas.
4.1 Circuito simples
A Figura 19 apresenta o circuito simplificado (Navarro & Ishibe, 2011 )
(Ishibe & Navarro, A Bandgap Circuit with a Temperature Coefficient
Adjustment Block, 2013) (Ishibe & Navarro, A CMOS Bandgap Reference
Circuit with a Temperature Coefficient Adjustment Block, 2013). Este circuito
dividido em trs subcircuitos: subcircuito de gerao da grandeza PTAT,
subcircuito de gerao da grandeza CTAT e subcircuito de controle da sada.
O subcircuito PTAT, formado pelos transistores MOS MP1, MP2, MN1 e
MN2 e pelo resistor R1, uma fonte de corrente NMOS. Portanto, a corrente IP,
espelhada para os transistores MP3 e MP4, tem comportamento crescente com a
temperatura absoluta.
O subcircuito CTAT, formado pelo transistor MOS MP3 e pelo bipolar Q1,
faz uso da tenso emitter-base do transistor bipolar para obter uma tenso
PTAT. Vemos que a fonte proposta do tipo bandgap. O transistor MP3 tem
por funo apenas polarizar Q1, gerador da tenso VEBQ1.
42

O subcircuito de controle da sada, formado pelo transistor MP4 e pelos
resistores R2 e R3, faz a soma ponderada das grandezas PTAT e CTAT e
produz a tenso de sada. Neste bloco, o resistor R2 faz a converso da tenso
VEBQ1 para uma corrente CTAT, ICTAT, e MP4 espelha a corrente PTAT.
Somando-se ambas correntes e realizando um ajuste do peso da corrente
CTAT, atravs da resistncia R2, possvel atingir uma corrente com baixa
dependncia com a temperatura. O resistor R1 converte essa corrente em
tenso e seu valor determina o valor da tenso da sada.
Para entender a influncia da temperatura sobre a tenso de sada
(VREF), ser apresentado o equacionamento do circuito.
Aplicando a primeira lei de Kirchhoff no n de sada VREF, temos

1
.

3
= 0
(33)
Tendo que IP dado por (20) e IC

=

1

2

(34)
Obtm-se,

1
.
1

1
.

. (

) +

2
+

3
= 0
(35)
MP1
MP2
MN2
MN1
MP3 MP4
R1
R2
R3
VREF
VEBQ1
Q1
N 1
M 1
S2 S1
S2.IP IP
S1.IP
M.IP
ICTAT
IC
Figura 19 Topologia de uma fonte de tenso de referncia bandgap
simples
43

Isolando VREF da equao anterior,

=
R
3

3
+
2
(
1
+
1
.

.
2
) =
R
3

3
+
2
(
1
+
1
.

1
.

. (

))
(36)
Note que em (36) a tenso de sada proporcional soma da tenso
CTAT VEBQ1 e da tenso PTAT
1
.

1
.

. (

), embora somente correntes


sejam somadas. Note tambm que a corrente que passa pelo transistor Q1
deve ser suficiente para ele se manter polarizado em qualquer temperatura.
Uma expresso que melhor mostra a influncia da temperatura sobre
VREF pode ser obtida se substituirmos VEBQ1 por (3). Essa expresso dada por

=

3

3
+
2
(

() (

) +(

)
1
(

)
(

) (

) +(

) (
()
(

)
) +
1
.

1
.

. (

))
(37)
Para encontrarmos a relao entre os valores dos parmetros que
garante a mnima variao de VREF com a temperatura quando esta tem valor
Tr (temperatura de trabalho), devemos fazer

()

|
=

= 0 . Considerando
que VBG(T) possui uma dependncia linear com a temperatura absoluta,
(VG0+.T), temos que

|
=

1
(

.
1
(

)
()

|
=

+
1
.

. (

) = 0
(38)
Observando que

bem menor que VG, prximo de 1,2 V, e VEBQ1 e


que IC varia pouco com a temperatura, a condio para minimizar a variao de
VREF :

0

1
(

)
1
.

. (

)
(39)
ou

0

1
(

)
1
.

.
2
(40)
Usando (39) em (36), obtemos a tenso na sada quando a variao de
VREF mnima

) =

3

3
+
2
(
1
(

) +
0

1
(

)) =

3
+
2
.
0

(41)
44

Pelas relaes acima, uma vez fixados os valores de IP, M, N, S1, S2 e
VREF, podemos encontrar os valores dos resistores R1, R2 e R3 (usando (20),
(40) e (41)), dados por

1
=
1

. (

)
(42)

2
=

0

1
(

1
.


(43)

3
=

.
2


(44)
O consumo total de corrente do circuito, Figura 19,
=

( +1 +
1
+
2
) (45)
Podemos aplicar nessa equao a relao (42) ou a relao (43) e
veremos imediatamente a dependncia da corrente consumida com R1 ou R2.
Como R3 diretamente proporcional a R2, relao (44), conclumos que para
reduzir a corrente necessria a utilizao de valores elevados de resistores.

Para entender a influncia da tenso de alimentao sobre a tenso de
sada, analisamos a expresso (36) que mostra que a tenso de referncia
funo de VEBQ1 e R2.IP. Como VEBQ1 tem um comportamento logaritmo com IP,
pode-se aproximar a variao da tenso de referncia por


R
3

3
+
2

1
.

.
2

(46)
Variaes na tenso de alimentao fazem com que IP, e,
consequentemente, VREF variem. Usando (40) e (41) em (46) obtemos


(47)
Aproximando VG0 por 1,2 V e VEBQ1 por 0,6 V, temos

0,6.


(48)
Essa equao nos indica que para reduzir a regulao de linha devemos
ter uma corrente PTAT (IP) estvel para variaes da tenso de alimentao.
Os equacionamentos e anlises feitos acima so vlidos, com pequenas
alteraes, para o circuito com outras fontes de corrente PTAT. Possveis
opes seriam o uso de fontes PMOS, Figura 9b, de fontes com transistores
bipolares, Figura 9d, ou de fontes com amplificadores operacionais. No circuito
deste trabalho foi decidido pelo uso de uma fonte de corrente NMOS. Alm
45

disso, tambm se decidiu pela aplicao de resistores ao invs de transistores
operando em triodo. Essas escolhas foram feitas pois:
atravs de simulaes e leitura da documentao notou-se que uma
fonte de corrente NMOS tem menor variao com o processo de
fabricao que uma fonte PMOS (Austria Micro Systems, 2003);
transistores MOS na tecnologia utilizada tem comportamento mais
estvel do que transistores bipolares;
a utilizao de fonte de correntes com amplificadores operacionais,
apesar de reduzir a regulao de linha, aumentaria a tenso de
alimentao mnima e
os resistores R3 e R2 no poderiam ser substitudos facilmente por
transistores em triodo. Em razo da necessidade do casamento entre
os resistores, R1, R2 e R3 devem ser todos implementados da mesma
forma.
4.2 Segunda verso
A topologia apresentada na Figura 20 uma modificao da topologia da
Figura 19. As modificaes realizadas consistem na adio de transistores
Cascode, MPC, MPC1, MPC2 e MNC, e de dois subcircuitos de polarizao, um
formado por MPBP e MNBP (chamaremos de subcircuito de polarizao PMOS) e
o outro por MPBN e MNBN (chamaremos de subcircuito de polarizao NMOS).
Os transistores Cascode servem pra reduzir a regulao de linha no
circuito.
46

O subcircuito de polarizao PMOS espelha a corrente de MN1 atravs
de MNBP e aplica em MPBP. Este transistor deve ser dimensionado para que a
tenso no n A seja a mais prxima de VDD possvel e suficiente para garantir
que MPC, MPC1 e MPC2 estejam na saturao. O subcircuito de polarizao
NMOS, de forma anloga ao do tipo PMOS, espelha MP2, gerando uma tenso
no n B que a mais prxima de ground possvel e suficiente para garantir que
MNC esteja na saturao. Esses dois subcircuitos de polarizao no s
polarizam os transistores Cascode, mas tambm permitem que a segunda
verso da fonte trabalhe com tenso de alimentao reduzida.
Note que todo equacionamento realizado no item anterior vlido para
este circuito.
Tendo j a topologia da fonte que vai ser desenvolvida, o prximo passo
dimensionar os transistores e resistores, assim como os parmetros M, N, S1
e S2.
4.3 Subcircuito de start-up
O circuito de fonte de tenso necessita de um subcircuito de start-up
devido a seu bloco PTAT (fonte de corrente NMOS). Para o circuito da Figura
19, os circuitos de start-up apresentados na Figura 11 podem ser aplicados.
R1
R2
R3
VREF
N 1
M 1 S2 S1
B
A
B A A
I0
MPC MNC
MPBP
MNBP
MPBN
MNBN
MN1
MP2
D
C
MP1 MP3 MP4
MPC3 MPC2
MN2
Q1
Figura 20 Topologia de circuito de fonte de tenso de referncia
bandgap com transistores Cascode e circuitos de polarizao
47

Com a adio dos transistores Cascode na segunda verso da fonte (Figura
20), os circuitos de start-up da Figura 11 passam a no funcionar. Isso ocorre
porque, quando a fonte de corrente NMOS no est funcionando os dois blocos
de polarizao tambm no funcionam, o que acarreta o corte dos transistores
Cascode. Os circuitos de start-up vistos foram apenas um dos espelhos de
corrente da fonte de corrente a funcionar e, com isso, apenas um dos circuitos
de polarizao trabalhar. Isso no o suficiente para garantir que a fonte de
corrente inicie.
Para solucionar essa dificuldade preciso introduzir um subcircuito
composto por dois circuitos de start-up, um que aumenta a tenso do n C e
outro que reduza a tenso do n D (Figura 20). Dessa forma os dois espelhos
de corrente passam a operar e com eles os dois circuitos de polarizao. Para
isso, foram utilizados os circuitos da Figura 11b e Figura 11c combinados. Na
Figura 21, est apresentado o subcircuito de start-up utilizado.




B
B
A
MPCAP
C2
MNO
MNCAP
C1
MPO
A
Start-up p/
espelho NMOS
Start-up p/
espelho PMOS
MPC MNC
Figura 21 Subcircuito de start-up utilizado, formado pelos circuitos
enfatizados
48

preciso notar que os valores dos capacitores utilizados nos
subcircuitos de polarizao devem ser suficientemente grandes para a correta
operao. Alm disso, os valores dos capacitores e a relao W/L dos
transistores MPO e MNO definem quanto tempo leva para o circuito iniciar.
4.4 Algoritmos metaheursticos e funo de fitness
Apesar de existirem equaes que auxiliam o dimensionamento dos
valores dos parmetros do circuito, presentes no item 4.1, estas equaes so
aproximaes que no retratam de forma precisa o funcionamento, alm de
no serem completas (no foram deduzidas relaes para o dimensionamento
dos transistores Cascode ou para projeto dos subcircuitos de polarizao).
Mesmo que completemos as equaes, possivelmente haver mais parmetros
para determinar do que equaes, o que d ao projetista liberdade para buscar
a otimizao de caractersticas do circuito tais como coeficiente de
temperatura, regulao de linha, potncia consumida e rea ocupada. Por
outro lado, tal liberdade normalmente apenas bem aproveitada por projetistas
experientes.

Para realizar o dimensionamento dos valores dos parmetros e obter as
melhores caractersticas possveis, sero utilizados algoritmos metaheursticos
junto com simulaes eltricas que avaliam o desempenho de cada soluo.
Dois algoritmos foram escolhidos para isso:
algoritmo gentico: ser executado inicialmente para obter uma
soluo boa e
simulated annealing: ser executado a partir da soluo dada pelo
algoritmo gentico.
A escolha desses dois algoritmos foi determinada pelo fato de eles
estarem disponveis no toolbox Optimtool da ferramenta MATLAB alm de
serem bem conhecidos.
A abordagem de se aplicar esses dois algoritmos tem por objetivo utilizar
a caracterstica do algoritmo gentico de encontrar bons resultados sem
necessidade de um ponto inicial e a caracterstica do simulated annealing de
convergir rapidamente para mnimos locais (ou global). Alm disso,
49

diversificando o mtodo de busca (algoritmo) espera-se que haja uma melhor
busca pelo espao de solues.

A funo de fitness (Apndice D) utilizada para ambos os algoritmos
executa trs passos:
simulao da soluo que est sendo testada usando o simulador
de circuitos eltricos ELDO (Apndice A);
clculo das caractersticas do circuito a partir dos dados gerados
pelo simulador. As caractersticas avaliadas so: coeficiente de
temperatura, regulao de linha, tenso de sada, corrente I0
(Figura 20), potncia consumida e rea e
clculo do score do circuito a partir das caractersticas avaliadas.
No primeiro passo executado pela funo de fitness, so utilizados dois
arquivos: um arquivo que possui a descrio do circuito apresentado na Figura
20, com os valores das dimenses dos componentes parametrizados; outro
arquivo que possui a quantificao desses parmetros alm dos comandos de
simulao com as anlises e medidas desejadas. O algoritmo metaheurstico
precisa somente alterar o segundo arquivo para testar novas solues. Os
parmetros utilizados foram: os Ws e Ls de todos os transistores MOS, o
comprimento dos resistores, S1 e S2. Para reduzir o tempo computacional
exigido pela busca de solues, foram fixados os valores de M e N, em 3 e 1,
respectivamente, e os valores da largura dos resistores em 4,0 m.
O processo de dimensionamento dos parmetros do circuito utilizando
os algoritmos metaheursticos est resumido pela Figura 22.


50

O arquivo utilizado pela funo de fitness que possui comandos de
simulao tambm possui o modelo dos transistores e resistores usados. O
modelo de transistor utilizado foi o MOS BSIM3v3 reviso 5.0 fornecido pela
AMS (Anexo A). O valor de VTH0, tenso de threshold para dispositivo com
canal longo e VBS = 0V, para o NMOS e PMOS respectivamente 0,5 V e -0,7
V, para o modelo tpico, e 0,6 V e -0,8 V, para o worst-speed.
As simulaes eltricas chamadas pela funo de fitness so:
anlise DC com a temperatura variando entre -10C e 90C (faixa de
operao especificada para a fonte): nesta analise so medidas a
Atualizao da soluo
corrente e da temperatura
GA SA
Continua
Continua
Gerao da nova populao
Seleo de indivduos
Simulao eltrica (ELDO) e medidas
Gerao de soluo na
vizinhana da soluo
corrente
Inicializao Gerao de populao inicial
Reproduo
(crossover e mutation)



Verificao dos critrios de parada



Clculo do score
Gerao de arquivos de simulao
INCIO
Verificao dos critrios de parada



Especificao de caractersticas
de desempenho do circuito
FIM
F
u
n

o

d
e

f
i
t
n
e
s
s


Bifurca
Bifurca
Figura 22 - Diagrama do processo de dimensionamento dos parmetros
do circuito utilizando algoritmos metaheursticos
51

diferena entre as tenses de sada mxima e mnima e a tenso de
sada mdia;
anlise DC com a tenso de alimentao variando entre 1,0 V e 2,5 V
(faixa de operao especificada para a fonte): nesta analise so
medidas a diferena entre as tenses de sada mxima e mnima e a
tenso de sada mdia. Tambm medida a corrente consumida
pelo circuito no ponto intermedirio (1,75 V). Observemos que nesta
simulao diversos pontos devem ser testados entre 1,0 V e 2,5 V
para garantir preciso.

A partir dos dados de simulao so calculados, como dito,
o coeficiente de temperatura TCM (1): usa-se para o clculo a
diferena entre a tenso de sada mxima e mnima para o intervalo
entre -10C e 90C e a tenso de sada mdia;
a regulao de linha RLM (2): usa-se para o clculo a diferena entre
a tenso de sada mxima e mnima para o intervalo de tenso de
alimentao entre 1,0 V e 2,5 V e a tenso de sada mdia.
a tenso de sada VSM: usa-se para o clculo a tenso de sada
mdia entre -10C e 90C,
a corrente consumida pelo circuito ICONS: usa-se para o clculo a
corrente consumida no circuito operando com tenso de alimentao
de 1,75 V e temperatura de 25 C.
Com esses resultados so avaliados os termos TCW, LRW, VSW e PW
que indicam quanto o coeficiente de temperatura, a regulao de linha, a
tenso de sada e a potncia consumida esto longe dos valores
desejados. Estes termos so aplicados no clculo final do score.
Para obter o termo TCW foi avaliada a seguinte expresso

= {

>

0,0


(49)
em que,
TCW = contribuio do TC para o clculo do score e
TCE = TC especificado.

52

Para obter termo LRW foi avaliada a seguinte expresso

= {

>

0, 0


(50)
em que,
LRW = contribuio do LR para o clculo do score e
LRE = LR especificado.

Para obter o termo VSW foi avaliada a seguinte expresso

= {
|


0,0

(51)
em que,
VSW = contribuio da tenso de sada para o clculo do score;
VSE = VS especificado e
Err = variao da tenso de sada permitida (erro relativo).

Para obter PW foi avaliada a seguinte expresso

=
1,75.


(52)
em que,
PW = contribuio da potncia mdia para o clculo do score;
PR = potncia de referncia para o circuito (constante).
A potncia de referncia do circuito (PR) utilizada foi de 6,45 W, que foi
o valor de potncia consumida 40 C e 1,0 V de tenso de alimentao em
(Ishibe E. I., 2010).
Por fim, a rea do circuito estimada usando as dimenses dos
transistores e resistores (parmetros da funo de fitness).
Para obter o termo AW, que indica quanto a rea est longe do valor de
referncia, avaliada a seguinte expresso

=
+


(53)
em que,
AW = contribuio da rea estimada para o clculo do score e
AR = rea de referncia para o circuito (constante).
53

Baseado em resultados anteriores dos algoritmos metaheursticos,
escolheu-se o valor de 0,018 mm para AR.
Por fim, o valor do score dado por
= (10.

+10

+0,1.

+0,1.

)
2
(54)
Atravs de (54), v-se a importncia dada para cada caracterstica,
baseado no peso de cada termo. Assim, vemos que foi dada maior importncia
para o coeficiente de temperatura e a tenso de sada, depois para a regulao
de linha e por ltimo a potncia e rea. Deste modo, as caractersticas menos
importantes sero minimizadas somente quando as mais importantes forem
satisfeitas.
Um resumo dos passos executados na funo de fitness apresentado
na Figura 23.
Parmetros do circuito gerados pelo algoritmo metaheurstico
Simulador eltrico ELDO
VTemp
DC Temperatura: -10C a 90C DC Tenso: 1,0V a 2,5V
Tipo de anlise
V V VTemp
I
RLW VSW PW AW
SCORE
Score
T
e
r
m
o
s

TC
VS RL Pot
rea
x 1
TCW
x 10 x 10 x 0,1 x 0,1
Figura 23 Diagrama explicativo da funo de fitness utilizada
54

Para o projeto da fonte so utilizadas 17 variveis, mostradas na Tabela
2. Esses variveis esto relacionadas com as dimenses dos transistores e
resistores e so utilizados na funo de fitness.
Tabela 2 Variveis utilizadas na funo de fitness e sua descrio. O
circuito otimizado apresentado na Figura 20
Varivel Descrio
X1 Comprimento em m do gate (L) de MP1, MP2, MP4, MP6 e MP7
X2 Comprimento em m do gate (L) de MP3, MP8 e MP9
X3 Comprimento em m do gate (L) de MP5
X4 Comprimento em m do gate (L) de MN1, MN2 e MN4
X5 Comprimento em m do gate (L) de MN3
X6 Comprimento em m do gate (L) de MN5
X7 Largura em m do gate (W) de MP1, MP2, MP3, MP6, MP7, MP8 e MP9
X8 Largura em m do gate (W) de MP4
X9 Largura em m do gate (W) de MP5
X10 Largura em m do gate (W) de MN1, MN2 e MN3
X11 Largura em m do gate (W) de MN4
X12 Largura em m do gate (W) de MN5
X13 Comprimento em m do resistor R3, cuja largura 4,0 m
X14 Comprimento em m do resistor R2, cuja largura 4,0 m
X15 Comprimento em m do resistor R1, cuja largura 4,0 m
X16 Parmetro S2 do circuito*
X17 Parmetro S1 do circuito*
* Parmetros tratados como ponto flutuante pelos algoritmos, mas convertidos para inteiro no arquivo de
simulao
Como pode ser visto muitas das variveis da funo de fitness foram
utilizadas para fornecer mais de um parmetro do circuito, melhorando o
matching dos dispositivos e reduzindo a complexidade do problema. Dessa
forma se obtm solues de melhor qualidade e com menor tempo de
execuo.
Atravs dos parmetros X13, X14 e X15 e sabendo que a largura dos
resistores de 4,0 m e que o material utilizado o polisilcio de alta
resistividade, pode-se determinar a resistncia dos resistores R1 R2 e R3,
simplesmente multiplicando o fator 1,2 k/ (resistncia por quadrado do
55

material) pela relao L/W de cada resistor, em que L a largura e W o
comprimento. Por exemplo, um resistor de comprimento 1200 m fabricado
com polisilcio de alta resistividade tem resistncia de 360 k.
Foram atribudas restries aos valores das variveis e que esto
apresentadas na Tabela 3.
Tabela 3 Limites das variveis da funo de fitness
Parmetro Limite inferior (m) Limite superior (m)
X1 1,0 20,0
X2 1,0 20,0
X3 1,0 30,0
X4 1,0 20,0
X5 1,0 20,0
X6 1,0 30,0
X7 1,0 100,0
X8 1,0 100,0
X9 1,0 30,0
X10 1,0 200,0
X11 1,0 40,0
X12 1,0 40,0
X13 300 (90 k*) 1000 (300 k*)
X14 700 (210 k*) 1600 (480 k*)
X15 700 (210 k*) 1600 (480 k*)
X16 1,0 6,0
X17 1,0 6,0
* valor de resistncia estimada para resistor com largura de 4,0 m fabricado com polisilcio de alta
resistividade
Estas restries tm por efeito no permitir que os algoritmos
metaheursticos encontrem solues inviveis.
Os algoritmos metaheursticos buscam solues que minimizem o score
para o circuito simulado com o modelo tpico. No h garantias, no entanto,
que uma soluo encontrada por esse mtodo apresente um funcionamento
satisfatrio para todos os possveis circuitos produzidos, levando-se em conta
as variaes no processo de fabricao. Para garantir que o circuito
encontrado funcione para a maior parte dos circuitos produzidos seria
necessrio realizar simulaes do tipo Monte Carlo ao invs de simulaes
56

utilizando apenas um modelo. Nesse caso, no entanto, se aumentaria
enormemente o tempo de execuo dos algoritmos metaheursticos.
A otimizao realizada inicialmente atravs do algoritmo gentico, com
dez execues com diferentes populaes iniciais. Com isso se procura evitar
que o algoritmo caia em mnimos locais. Metade dos resultados obtidos com o
algoritmo gentico utilizada como valor inicial de uma otimizao com
simulated annealing, para refinar o resultado. Por fim, a melhor soluo
encontrada dentre as quinze obtidas (dez com o algoritmo gentico mais as
cinco com simulated annealing) foi utilizada para em uma ltima execuo do
algoritmo gentico.
4.5 Bloco de ajuste de coeficiente de temperatura
Devido s variaes dos parmetros da tecnologia e mismatches dos
dispositivos durante a fabricao, a equao (40), que garante o cancelamento
das variaes das grandezas PTAT e CTAT e, portanto, a baixa dependncia
com a temperatura, acaba por no ser satisfeita para a maior parte dos
circuitos que podem vir a ser fabricados.
Um meio de contornar esse problema adicionar ou subtrair corrente
PTAT ao resistor R1 (Figura 20), at que as variaes das correntes PTAT e
CTAT de fato se cancelem.
O bloco de ajuste de coeficiente de temperatura (bloco TCA), ou
temperature coefficent adjustment block (TCA block) um bloco, controlado por
sinais externos digitais, que adiciona ou subtrai correntes ao resistor R1 do
circuito de fonte de referncia (Ishibe & Navarro, A Bandgap Circuit with a
Temperature Coefficient Adjustment Block, 2013) (Ishibe & Navarro, A CMOS
Bandgap Reference Circuit with a Temperature Coefficient Adjustment Block,
2013).
Para projetar o bloco TCA devem ser escolhidos: o intervalo de variao
da corrente fornecida/retirada pelo bloco TCA, a distribuio das correntes que
podem ser acionadas neste intervalo e nmero de bits utilizados para o
controle. Todas essas escolhas dependem tanto da fonte de corrente
propriamente dita como do processo de fabricao e, por isso, so difceis de
serem feitas por meios analticos.
57

O intervalo de variao de corrente do bloco TCA o intervalo entre o
valor mnimo,

, e o valor mximo,

, de corrente fornecida pelo TCA


(corrente retirada pelo bloco considerada negativa). Uma forma de se obter
esse intervalo atravs de simulaes de Monte Carlo (Anexo D) do circuito de
referncia com o bloco TCA. Escolhe-se para o Monte Carlo um nmero
elevado de circuitos amostras e para o bloco TCA um nmero elevado de bits
de controle (nmero elevado de possibilidades de correntes). Assim
para cada circuito gerado no Monte Carlo, constri-se um grfico do
TC em funo da corrente do bloco TCA, como exemplificado na
Figura 24;
para cada grfico acima obtm-se o TC mnimo e a corrente do bloco
TCA que produz esse TC mnimo e
por fim, analisando-se as corrente que minimizam o TC para cada
circuito, determina-se os valores mximo e mnimo de corrente de
forma que o intervalo entre eles englobe a maior parte das correntes
necessrias para minimizar os TCs.

Figura 24 - Grfico dos valores de TC versus valores de corrente do bloco
TCA para um circuito gerado pela simulao Monte Carlo
58

Deve-se ter em mente que quanto maior o intervalo de corrente
escolhido, maior ser o nmero de bits necessrios para controlar o bloco TCA.

Uma vez escolhido o intervalo de variao da corrente do bloco TCA deve ser
decidida a distribuio das correntes ao longo desse intervalo. Alguns
exemplos de distribuio so:
homogeneamente distribudas incluindo os valores

:
este caso pode ser utilizado quando no se conhece o
comportamento das correntes necessrias;
distribudas conforme uma gaussiana incluindo os valores

: este caso deve ser utilizado quando o valor necessrio de


corrente que o bloco TCA deve aplicar tem uma distribuio
gaussiana, o que significa que para a maior parte dos circuitos a
corrente deve ser zero ou prxima e
homogeneamente distribudas incluindo os valores

e zero:
este caso similar a primeira forma, mas mais apropriado
quando se sabe que um nmero significativo de circuitos no
precisar de nenhuma corrente de ajuste.
Para efeito ilustrativo, considere um bloco TCA de trs bits. As
distribuies descritas acima so apresentadas na Figura 25.
59



MIN
MAX 0
Corrente (A)
MIN
MAX 0
Corrente (A)
MIN
MAX 0
Corrente (A)

Figura 25 Representao de algumas distribuies de correntes geradas
pelo bloco TCA ao longo do intervalo MIN e MAX. Na primeira figura a
distribuio homognea e inclui os pontos MIN e MAX, na segunda as
correntes so distribudas conforme uma gaussiana e na terceira a
distribuio homognea e inclui os pontos MIN e zero
Alm das distribuies apresentadas, outras podem ser usadas e a
melhor escolha depende de cada circuito.
Por fim, o nmero de bits de controle deve ser decidido, definindo
quantos valores diferentes de correntes o bloco pode fornecer. Uma forma para
se decidir sobre o nmero de bits novamente atravs de simulaes de
Monte Carlo da fonte de referncia com blocos TCA com diversos valores para
o nmero de bits de controle. Neste caso utiliza-se o intervalo de variao e a
distribuio de correntes decididas anteriormente e o nmero de bits de
controle indo de zero bits, sem o bloco TCA, at um valor elevado. Assim

realiza-se a simulao Monte Carlo no circuito de fonte de tenso de
referncia com o bloco TCA para cada uma das possibilidades de
valor do nmero de bits de controle sendo testadas;
para cada circuito gerado no Monte Carlo, constri-se o grfico do
TC em funo da corrente do bloco TCA, como exemplificado na
Figura 24;
60

para cada grfico acima gerado obtm-se o TC mnimo, referente ao
melhor ajuste possvel realizado com o bloco TCA.
posteriormente, para cada valor do nmero de bits de controle,
constri-se a curva porcentagem dos circuitos que tem valor do TC
mnimo inferior a TC em funo de TC;
por fim, analisando-se estes grficos possvel se escolher qual o
nmero de bits de controle que mantm o valor do TC abaixo do
desejado para a maior parte dos circuitos gerados.
O procedimento descrito acima ser aplicado no projeto onde deve ficar
mais claro.
Quanto maior o nmero de bits, menor o valor que se pode atingir com
TC, pois maiores so as chances da corrente gerada pelo bloco TCA se
aproximar daquela que zera de fato o valor de TC. Entretanto, quanto maior o
nmero de bits de controle maior o tamanho do circuito, maior o consumo de
corrente e, principalmente, maior a dificuldade de ajuste do valor da corrente
do bloco TCA.

A topologia do bloco TCA implementado mostrado na Figura 26.
61


V
DD
M
P2
M
N1
V
REF
M
PA0
M
PA1
M
PAJ
M
NA0
M
NA1
M
NAK
M
PS1
M
PS0 M
PSJ
M
NS0
M
NS1
M
NSK
SP0
SP1
SPJ

SN0

SN1

SNK

U
n
i
d
a
d
e

d
e

a
d
i

o


Sub-bloco TCA

Bloco TCA

U
n
i
d
a
d
e

d
e

s
u
b
t
r
a

o

I
UNIT

Figura 26 - Topologia do bloco de ajuste de coeficiente de temperatura
(bloco TCA)
O bloco TCA formado por unidades de adio e subtrao de corrente.
A unidade de adio formada pelos transistores MPAi, que aplicam correntes
ao n VREF (n de sada da fonte de referncia), e MPSi, que funcionam como
chaves. A unidade de subtrao formada pelos transistores MNAi, que retiram
correntes do n VREF, e MNSi, que funcionam como chaves. Observe que o
nmero total unidades de adio, J, no precisa ser igual a nmero total de
unidades de subtrao, K.
Os transistores MPAi e MNAi espelham corrente dos transistores MP2 e MN1
da fonte de tenso, circuito da Figura 20. Para garantir o matching nesses
espelhos importante fazer com que o L dos transistores MPAi e MNAi sejam
iguais ao dos transistores MP2 e MN1, respectivamente.
Sobre as chaves MPSi e MNSi devem ser aplicadas as tenses VSS ou VDD
aos seus gates, respectivamente, para permitir a passagem de corrente, ou,
simplesmente, para lig-las. A corrente gerada pelo bloco, dada uma
62

combinao de valores de tenses aplicadas as chaves, a resultante de
todas as correntes adicionadas e subtradas.
O intervalo de variao da corrente, a distribuio das correntes neste
intervalo e nmero de bits de controle so utilizados para determinar quantas
unidades de adio e subtrao deve haver e as dimenses dos transistores do
bloco TCA.
O valor mximo de corrente fornecida pelo TCA determina a soma dos
Ws de todos os transistores MPAi; o valor mnimo, a soma dos Ws dos MNAi. A
distribuio das correntes determina quanto de corrente flui por cada MPAi e
cada MNAi de modo a gerar todas as correntes estipuladas para o bloco TCA. O
nmero de bits de controle (nTCA) determina quantos blocos de adio e
subtrao devem ser utilizados. Para um intervalo de corrente a AX,
distribuio homognea de corrente que inclui as correntes com valores ,
zero e nTCA bits de controle a dimenso dos MPAi e MNAi so

MAX

2
.
1
2

1
e

MIN

1
.
1
2

1
.


Sinais externos digitais, nTCA bits, devem gerar sinais de controle para as
chaves das unidades de adio e subtrao (transistores MPSi e MNSi). Isso
pode ser feito de diversas formas. Uma forma simples conectando
convenientemente os sinais externos digitais aos gate das chaves. Outra forma
passando os sinais externos por um decodificador que ir gerar os sinais de
controle para as chaves.
Vamos exemplificar a primeira forma. Consideremos um bloco TCA com
uma distribuio homognea de corrente, com = -AX e que inclui as
correntes com valores e zero. So necessrias nesse TCA (2

1
1)
unidades de adio e (2

1
) unidades de subtrao, cada uma delas
manipulando uma corrente
1
2

. Um dos sinais externos, sE0, deve ser


ligado a uma chave MPSi; outro dos sinais, sE1, a duas chaves MPSi; outro, sE2, a
quatro chaves MPSi e assim segue at o sinal externo sE(nPTA 2). O ltimo dos
sinais externos, sE(nPTA 1), deve ser ligado as todas as chaves MNSi. Com essas
ligaes, teremos para a entrada (sE(nPTA 2), ... sE2, sE1, sE0) igual a 0 ..00 (a
corrente de (


1
2

), para a entrada 0 ..01 a corrente (


63

2
1
2

) e assim por diante at que para a entrada 1 ..11 a corrente ser


. Essa montagem esta ilustra na Figura 27 para o caso de nTCA = 4.

Exemplifiquemos agora o uso de um decodificador.
Consideremos um bloco TCA com uma distribuio homognea de
corrente, com = -AX e que inclui as correntes com valores e zero.
So necessrias nesse TCA (2

1
1) unidades de adio e (2

1
)
unidades de subtrao cada uma delas manipulando uma corrente
2
2

.
O decodificador um circuito digital que converte os nTCA sinais
externos, representando um nmero binrio, em (2

1
1) sinais de
controle para cada uma das chaves MPSi e MNSi, representando um cdigo
termmetro: a entrada 0 ..00 ser convertida em 11 .. 111, a entrada 0 ..01
em 11 .. 110, a entrada 00 ..10 em 111 ..100, a entrada 0 ..11 em 11 ..
000 e assim por diante.
Os bits mais significativos do cdigo termmetro so ligados aos gates
dos transistores MPSi e os menos significativos aos gates dos MNSi. Com essas
ligaes, teremos para a entrada (sE(nPTA 2), ... sE2, sE1, sE0) igual a 0 ..00 (a
4

e
n
t
r
a
d
a
s

8

c
h
a
v
e
s

u
n
i
d
a
d
e

d
e

s
u
b
t
r
a

o

Bloco TCA
7

c
h
a
v
e
s

u
n
i
d
a
d
e

d
e

a
d
i

o

s
s
3


s
E
2


s
E
1


s
E
0

Figura 27 Conexo direta entre as entradas sE e as chaves das unidade
de adio e subtrao para gerar todas as correntes do bloco TCA
64

corrente de (

), para a entrada 0 ..11 a corrente (

+
1
2

) e
assim por diante at que para a entrada 1 ..11 a corrente ser (

).

Essas duas formas de gerar sinais de controle para s chaves do bloco
TCA tem vantagens e desvantagens. A primeira delas mais simples e no
necessita de um bloco digital extra, reduzindo a rea do circuito. Na segunda,
toda corrente fornecida pelas unidades de adio utilizada para produzir a
sada, sem desperdcio de corrente.

Com a aplicao do bloco TCA, devem ser ajustados os valores dos bits
de controle, a configurao do TCA, para cada circuito fabricado. O mtodo
direto de se determinar a melhor configurao testando cada uma delas e
escolhendo aquela que produz o menor TC. Para isso, necessrio submeter
o circuito a vrias temperaturas, ao longo do intervalo de funcionamento, e
medir a tenso de sada para cada temperatura e cada configurao. Ento se
determina o TC de cada uma das configuraes. Neste mtodo, o tempo para
ajuste proporcional ao nmero de temperaturas testadas e para um nmero
elevado de medidas o uso do bloco TCA provavelmente ser inviabilizando.
Uma alternativa mais simples ao mtodo direto, que chamaremos de
mtodo simplificado, medir a tenso de sada da fonte de referncia apenas
nas temperaturas limites do projeto, -10 C e 90 C por exemplo, e escolher a
configurao que apresentar a menor diferena absoluta entre as tenses
nestes pontos. Como veremos, resultados bons so obtidos dessa forma.
4.6 Subcircuito digital do bloco TCA
O subcircuito digital do bloco TCA faz a decodificao dos nTCA sinais
externos em (2

1
1) sinais de controle. Na Tabela 4, est um exemplo de
associao entre os sinais externos e os sinais ligados nos gates dos
transistores para um bloco TCA de 3 bits. Lembremos que para os MPSi 1
indica desligado e 0 ligado e para os MNSi 0 indica desligado e 1 ligado.



65

Tabela 4 Tabela verdade do circuito digital do bloco TCA de 3 bits, que
possui 3 entradas e 7 sadas
Sinais Externos Sinais de Controle
S2 S1 S0 MPS2 MPS1 MPS0 MNS3 MNS2 MNS1 MNS0
0 0 0 1 1 1 1 1 1 1
0 0 1 1 1 1 1 1 1 0
0 1 0 1 1 1 1 1 0 0
0 1 1 1 1 1 1 0 0 0
1 0 0 1 1 1 0 0 0 0
1 0 1 1 1 0 0 0 0 0
1 1 0 1 0 0 0 0 0 0
1 1 1 0 0 0 0 0 0 0

4.7 Circuito completo
segunda verso da fonte de tenso, Figura 20, so adicionados ao
subcircuito de start-up, Figura 21, e o bloco TCA, Figura 26, completando
assim a fonte de tenso de referncia, como apresentado na Figura 28.
VREF
B
A
B A A
SP1
1
SPJ
SN1
1
C
C
D
MP1 MP2
MPC1
MNC
MN1
MN2
MP3
MP4
MPC2
MNS0
3
Q1
R2
R1
R3
MPBN
MNBN
MPBP
MNBP
M 1
N 1
SNK
S1 S2
MNA0
MPC3
MPA0
Figura 28 - Topologia de circuito de fonte de tenso de referncia
bandgap com transistores Cascode, circuitos de polarizao e o bloco
TCA
MNAK
MNSK
3
MPAJ
MPSJ
66


67

5 Projeto, resultados e anlises
Determinado como ser o circuito completo, ser apresentado o projeto
realizado neste trabalho assim como os resultados obtidos e anlises
realizadas. O projeto ser feito por etapas que envolve
a fonte de tenso propriamente dita (Figura 20);
o bloco de ajuste de coeficiente de temperatura (Figura 26);
o subcircuito digital do bloco TCA e
o subcircuito de start-up (Figura 21).
5.1 Dimensionamento dos valores dos parmetros da fonte de tenso
utilizando algoritmos metaheursticos
Para o dimensionamento dos valores dos parmetros do circuito da
Figura 20 foram utilizados os algoritmos metaheursticos algoritmo gentico e
simulated annealing, com as opes configuradas conforme apresentado nas
Tabela 5 e Tabela 6.
Tabela 5 Configuraes aplicadas no algoritmo gentico
Tipo de populao Ponto-flutuante (double-precision)
Tamanho da populao oito subpopulaes de 50 indivduos
Mtodo de criao de
populao
Populao aleatria, mas que atende as
restries de projeto
Mtodo de scaling rank
Mtodo de seleo stochastic uniform
Parmetros de reproduo dois indivduos mantidos (Elite count = 2), 80%
produzidos por crossover e o resto por meio de
mutation
Mtodo de mutation adaptative feasible
Mtodo de crossover scattered
Parmetros de migrao a cada cinco geraes h a migrao de 20% da
populao em apenas uma direo (forward)
Critrio de parada 200 geraes
50 geraes sem melhora significativa
tolerncia mnima do score, para
considerar uma melhora, de 1E-6
68


Tabela 6 Configuraes aplicadas no simulated annealing
Tipo de populao Ponto-flutuante (double-precision)
Mtodo de annealing fast-annealing
Parmetros de reannealing 100 alteraes na soluo antes de ocorrer
reannealing
Mtodo de decaimento da
temperatura
exponencial com temperatura inicial de valor
100
Critrio de parada 3000 vezes o nmero de parmetros a
quantidade mxima de execues da
funo de fitness
500 vezes o nmero de parmetros a
quantidade de iteraes sem melhora
significativa
tolerncia mnima do score, para
considerar uma melhora, de 1E-6

As especificaes desejadas para o circuito esto apresentadas na
Tabela 7.
Tabela 7 Especificaes do projeto para um circuito tpico
Especificao para o circuito (unidade) Valor
Tenso de sada desejada (V) 0,5
Variao da tenso de sada (%) 5,0
Coeficiente de temperatura mximo (ppm/C) 15
Variao da temperatura (C) -10 a 90
Regulao de linha mxima (ppm/V) 250
Variao da tenso de operao (V) 1,0 a 2,5
Parmetro M (Figura 20) 3,0
Parmetro N (Figura 20) 1,0
Comprimento mximo de um resistor, usando-se
a largura de 4,0 m
1600

Na Tabela 7, os parmetros foram M e N adicionados e fixados para
reduzir o nmero de variveis para os algoritmos metaheursticos.
69

Os valores das variveis encontradas em dez execues do algoritmo gentico
esto apresentados na Tabela 8, em que as linhas esto relacionadas s
variveis da Tabela 2 e as colunas, aos valores obtidos com as execues do
algoritmo. Os resultados de score, tenso de saa, TC, LR, potncia consumida
e rea obtidos ao fim de cada execuo esto apresentados na Tabela 9. Foi
utilizado o modelo tpico para a simulao dos dispositivos.
Tabela 8 Variveis encontradas em 10 execues do algoritmo gentico.
As linhas mostram as variveis do circuito, Tabela 2, e as colunas, os
valores obtidos para as execues do algoritmo gentico. As colunas
hachuradas indicam as cinco execues escolhidas para serem
otimizadas pelo simulated annealing
V
a
r
i

v
e
i
s


d
o

c
i
r
c
u
i
t
o

G
A
1

E
x
e
c
u

o

1


G
A
2

E
x
e
c
u

o

2

G
A
3

E
x
e
c
u

o

3

G
A
4

E
x
e
c
u

o

4

G
A
5

E
x
e
c
u

o

5

G
A
6

E
x
e
c
u

o

6

G
A
7

E
x
e
c
u

o

7

G
A
8

E
x
e
c
u

o

8

G
A
9

E
x
e
c
u

o

9

G
A
1
0

E
x
e
c
u

o

1
0

X1 8,0 6,4 4,0 5,2 5,7 4,6 6,7 4,6 13,5 4,0
X2 1,9 2,7 2,0 1,7 1,9 2,5 1,7 2,5 1,7 2,8
X3 19,4 25,5 17 27,5 22,9 22,5 13,4 22,5 9,9 26,9
X4 6,0 11,3 15,4 11,9 8,1 12,9 10,1 12,9 3,4 18,6
X5 4,1 6,1 2,3 6,5 4,2 2,3 3,9 2,3 7,1 2,6
X6 27,2 29,8 29,6 28,0 29,7 29,7 29 29,7 29,9 29,7
X7 99,7 93,8 99,3 100 99,9 99,4 99,9 99,4 99,9 99,1
X8 49,2 51,3 63,4 67,8 61,7 49,5 56,4 49,5 99,7 76
X9 2,7 5,1 1,9 4,1 1,8 3,1 2,3 3,1 1,3 4,7
X10 200 181 200 185 189 198 198 198 197 144
X11 30,2 34,4 13,5 24,7 14 23,3 34,1 23,3 19,6 22,7
X12 1,3 1,4 2,0 1,5 1,8 1,4 2,1 1,4 6,0 1,7
X13 411 442 389 433 423 433 440 411 433 330
X14 1462 1564 1367 1529 1498 1537 1555 1462 1537 1475
X15 1026 1134 909 1128 1141 1035 1120 1026 1035 1202
X16 4,0 3,0 4,0 3,0 3,0 4,0 3,0 4,0 3,0 3,0
X17 5,0 5,0 5,0 5,0 5,0 5,0 5,0 5,0 4,0 5,0



70

Tabela 9 Resultados obtidos para cada uma das 10 solues
encontradas pelo algoritmo gentico. As linhas esto relacionadas ao
score, tenso da sada, ao coeficiente de temperatura, regulao de
linha, potncia consumida e rea estimada; as colunas mostram os
resultados de cada uma das execues. As colunas hachuradas indicam
as cinco execues escolhidas para serem otimizadas pelo simulated
annealing
R
e
s
u
l
t
a
d
o
s

G
A
1

E
x
e
c
u

o

1

G
A
2

E
x
e
c
u

o

2

G
A
3

E
x
e
c
u

o

3

G
A
4

E
x
e
c
u

o

4

G
A
5

E
x
e
c
u

o

5

G
A
6

E
x
e
c
u

o

6

G
A
7

E
x
e
c
u

o

7

G
A
8

E
x
e
c
u

o

8

G
A
9

E
x
e
c
u

o

9

G
A
1
0

E
x
e
c
u

o

1
0

S
c
o
r
e

0,47 0,42 0,57 0,43 0,4 0,47 0,41 0,47 0,93 0,43
V
R
E
F


(
V
)

0,48 0,49 0,48 0,5 0,5 0,48 0,49 0,48 0,52 0,48
T
C

(
p
p
m
/

C
)

15 14 14 13 14 15 15 15 15 14
L
R

(
p
p
m
/
V
)

250 250 250 250 250 250 250 250 300 250
P
o
t
*


(

W
)

5,8 5,3 6,5 5,4 5,3 5,7 5,2 5,7 6,2 5,4

r
e
a
*

(
m
m

)

0,019 0,022 0,02 0,021 0,019 0,021 0,021 0,021 0,022 0,022
* Potncia e rea estimada baseada nas dimenses do circuito

Observa-se pelas tabelas que, apesar de nas execues se iniciar com
populaes diferentes, em alguns casos chega-se a quase a mesma soluo,
como em GA6 e GA8. Observa-se tambm que as solues encontradas
praticamente atingiram o limite superior das variveis X7 e X10 para reduzir a
regulao de linha e garantir a fraca-inverso, respectivamente. Observa-se,
por fim, que o valor de R2, varivel X14, elevado para tentar reduzir o
consumo de potncia.
71

Devido funo de score aplicada no projeto, uma vez atingidos os
valores de 15 ppm/C de TC, 250 ppm/V de LR e 0,5V de tenso de sada (5%
de tolerncia), o algoritmo gentico no mais procura reduzir essas
caractersticas, mantendo-as neste limiar, e coloca todo o esforo em reduzir a
potncia e a rea.
Foram escolhidas duas solues em que o algoritmo gentico encerrou
por ter excedido o nmero total de iteraes (GA3 e GA4) e mais 3 solues
aleatrias (GA1, GA2 e GA5) para serem utilizadas como soluo inicial para
as cinco otimizaes realizadas pelo simulated annealing. Os valores das
variveis encontradas pelo simulated annealing esto apresentados na Tabela
10.
Tabela 10 Variveis encontradas nas cinco execues do simulated
annealing. As linhas mostram as variveis do circuito, Tabela 2, e as
colunas, os valores obtidos para as execues do simulated annealing
V
a
r
i

v
e
i
s

d
o

c
i
r
c
u
i
t
o

S
A
1

E
x
e
c
u

o

1

S
A
2

E
x
e
c
u

o

3

S
A
3

E
x
e
c
u

o

4

S
A
4

E
x
e
c
u

o

5

S
A
5

E
x
e
c
u

o

6

X1 8,41 6,4 4,0 10 4,0
X2 3,7 2,7 2,0 3,6 2,0
X3 22,2 25,5 17 20,1 17
X4 7,1 11,3 15,4 6,8 15,4
X5 5,3 6,1 2,3 8,3 2,3
X6 28,3 29,8 29,6 26,9 29,6
X7 81,1 93,8 99,3 71,7 99,3
X8 68,1 51,3 63,4 29,6 63,4
X9 2,4 5,1 1,9 1,2 1,9
X10 170,1 181 200 189,5 200
X11 23,3 34,4 13,5 9,0 13,5
X12 1,6 1,4 2,0 1,0 2,0
X13 445,3 442 389 441 389
X14 1600 1564 1367 1585 1367
X15 1148 1134 909 1268 909
X16 4,0 3,0 4,0 3,0 4,0
X17 5,0 5,0 5,0 5,0 5,0
72

Os resultados de score, tenso de sada, TC, LR, potncia consumida e
rea para as execues da Tabela 10, esto apresentados na Tabela 11. Foi
utilizado o modelo tpico para a simulao dos dispositivos.
Tabela 11 - Resultados encontrados para cada uma das cinco solues
encontradas pelo simulated annealing (modelo tpico). As linhas esto
relacionadas ao score, a tenso da sada, o coeficiente de temperatura, a
regulao de linha, a potncia consumida e a rea estimada e as colunas
mostram os resultados de cada uma das execues
R
e
s
u
l
t
a
d
o

S
A
1

E
x
e
c
u

o

1

S
A
2

E
x
e
c
u

0

2

S
A
3

E
x
e
c
u

o

3

S
A
4

E
x
e
c
u

o

4

S
A
5

E
x
e
c
u

o

5

S
c
o
r
e

0,47 0,42 0,58 0,37 0,58
T
C

(
p
p
m
/

C
)

14 13 14 13 14
L
R

(
p
p
m
/
V
)

250 250 250 250 250
V
R
E
F


(
V
)

0,49 0,49 0,48 0,52 0,48
P
o
t
*


(

W
)

5,6 5,3 6,5 4,9 6,5

r
e
a
*

(
m
m

)

0,021 0,022 0,020 0,021 0,020
* Potncia e rea estimada baseada nas dimenses do circuito
O simulated annealing produziu melhoras nas execues 1, 4 e 5.
Dentre as 15 solues obtidas, 10 atravs do algoritmo gentico e cinco
atravs do simulated annealing, o melhor resultado foi a SA4. Observe que
esta soluo possui parmetros consideravelmente diferentes da soluo GA4
que foi a soluo inicial utilizada para obter SA4.
73

Uma ltima otimizao foi realizada com algoritmo gentico e a partir da
soluo SA4. Neste caso, os limites inferior e superior das variveis Xis foram
escolhidos em torno dos valores determinados em SA4. A Tabela 12 mostra
estes limites, a soluo final encontrada, SF, e os resultados (score, tenso,
TC, LR, potncia e rea) a que ela leva.
Tabela 12 Valores das variveis para a soluo SA4, limites inferior e
superior aplicados ao algoritmo gentico, valores das variveis para SF e
resultados encontrados para a soluo SF
P
a
r

m
e
t
r
o

d
o

c
i
r
c
u
i
t
o

S
o
l
u

o



S
A
4

L
i
m
i
t
e

i
n
f
e
r
i
o
r

d
o

p
a
r

m
e
t
r
o

L
i
m
i
t
e

s
u
p
e
r
i
o
r

d
o

p
a
r

m
e
t
r
o

S
F





(
S
o
l
u

o


f
i
n
a
l
)


R
e
s
u
l
t
a
d
o

S
F



(
S
o
l
u

o

f
i
n
a
l
)

X1 10 10 11 10
S
c
o
r
e

0,36 X2 3,6 3 4 3,6
X3 20,1 20 21 20
X4 6,8 6 7 6,8
T
C

(
p
p
m
/

C
)

15 X5 8,3 8 9 8,3
X6 26,9 26 27 26,9
X7 71,7 71 72 71,7
L
R

(
p
p
m
/
V
)

250 X8 29,6 29 30 29,6
X9 1,2 1 2 1
X10 189,5 189 190 189
V
R
E
F

(
V
)

0,51 X11 9 9 10 9
X12 1 1 2 1
X13 441 300 1000 448
P
o
t
*

(

W
)

4,8 X14 1585 700 1600 1600
X15 1268 700 1600 1231
X16 3 3 4 3

r
e
a
*

(
m
m

)

0,021
X17
5
5 6
5

Observe que nesta ltima otimizao houve uma maior variao nos
valores dos resistores, reduzindo o consumo de potncia e aproximando a
tenso de sada para 0,5 V custa de um pequeno acrscimo no TC.

74

Utilizando os valores das variveis da soluo SF foram realizadas
simulaes para analisar a dependncia da tenso de sada e da potncia
consumida com a temperatura e tenso de alimentao.
Na Figura 29, mostrado o grfico da tenso de sada em funo da
temperatura parametrizado pela tenso de alimentao que varia de 1,0 V a
2,5 V com incrementos de 0,25 V. Foi utilizado o modelo tpico. O melhor e o
pior TC, com a temperatura variando de 10 C a 90 C, foram de 15 e 16
ppm/C.
Figura 29 Grfico da tenso de sada (V) em funo da temperatura (C)
parametrizado pela tenso de alimentao que varia de 1,0 V a 2,5 V,
incrementos de 0,25 V. Foi utilizado o modelo tpico. O melhor e o pior
coeficiente de temperatura so 15 e 16 ppm/C, para a temperatura
variando de 10 C a 90 C
Na Figura 30, mostrado o grfico da tenso de sada em funo da
tenso de alimentao parametrizado pela temperatura que varia de 10 C a
90 C, incrementos de 10 C. Foi utilizado o modelo tpico. O melhor e o pior
RL, com a tenso de alimentao variando de 1,0 V a 2,5 V, foram de 200 e
440 ppm/V.
Na Figura 31, mostrado a potncia consumida em funo da
temperatura parametrizado pela tenso de alimentao que varia de 1,0 V a
2,5 V, incrementos de 0,25 V. Foi utilizado o modelo tpico. A potncia
consumida em 27 C e 1,0 V de tenso de alimentao foi de 2,76 W.

75


Figura 30 Grfico da tenso de sada (V) em funo da tenso de
alimentao (V) parametrizado pela temperatura que varia de 10 C a 90
C, incrementos de 10 C. Foi utilizado o modelo tpico. A melhor e pior
regulao de linha so de 200 e 440 ppm/V, com a tenso de alimentao
variando de 1,0 V a 2,5 V

Figura 31 Grfico da potncia consumida (W) em funo da
temperatura (C) parametrizado pela tenso de alimentao que varia de
1,0 V a 2,5 V, incrementos de 0,25 V. Foi utilizado o modelo tpico
Por esses resultados, pode-se ver que a soluo encontrada atravs dos
algoritmos metaheursticos apresenta desempenho que est dentro das
especificaes e possui TC e LR baixos. Observe que as curvas de tenso da
Figura 29 tem comportamento similar e so prximas para as diversas tenses
de alimentao. Isso uma consequncia do fato da regulao de linha ser
baixa e garante que o valor do TC seja praticamente independente da tenso
de alimentao.

76

Para se ter uma ideia do tempo necessrio para se realizar as
otimizaes, podemos considerar o circuito apresentado na Figura 20,
otimizado em um computador com as caractersticas bsicas apresentadas na
Tabela 13, com o algoritmo gentico, especificaes apresentadas na Tabela
7. Esta otimizao dura, em mdia, cinco horas.
Caso desejemos aplicar na otimizao simulaes de Monte Carlo, ao
invs de simulaes simples, para tornar o resultado mais robusto a variaes
de parmetros, podemos estimar a durao da otimizao: considerando que
as simulaes tenham todas a mesma durao, sejam elas feitas com o
modelo tpico ou com modelos gerados pelo Monte Carlo, e que 100 amostras
sejam suficientes para validar cada anlise, resultaria ento em uma execuo
de 20 dias e 20 horas. Um valor com certeza muito elevado.
Tabela 13 Informaes bsicas do computador utilizado na execuo
dos algoritmos metaheursticos
Processador Intel Core2 DUO E7500 2,93GHz
Memria RAM Kingston KVR800D2N6/2G 2Gb 800MHz (2x)*
Disco rgido Samsung HD502LI 5400rpm 500Gb
*O computador possui 2 memrias RAM do mesmo modelo, totalizando, assim, 4 Gb
Apesar da configurao do computador utilizado ser um pouco
ultrapassada, dado que configuraes atuais possuem mais de quatro ncleos,
clock de maior frequncia, memrias RAM maiores e com menor tempo de
acesso e discos rgidos de maior rotao, no deve haver grande reduo no
tempo de otimizao caso seja utilizado um computador mais moderno. Isso
acontece porque a tarefa mais lenta - o gargalo do processo - a simulao
eltrica que faz pouco uso de mltiplos ncleos. Testes realizados com
computadores mais potentes, oito ncleos de processamento, 24,0 Gb de
memria RAM de 2,0 GHz e disco rgido de estado slido, apresentaram ganho
no tempo de execuo da ordem de duas vezes apenas. Isso resultaria, no
exemplo de Monte Carlo acima mencionado, em um tempo de otimizao de
10 dias e 10 horas.
5.2 Bloco de ajuste de coeficiente de temperatura (TCA)
Os primeiros passos para o projeto do bloco TCA so determinar o
intervalo de variao da corrente, a distribuio das correntes neste intervalo e
77

nmero de bits utilizados para o controle. Neste projeto ser utilizado um
subcircuito digital de codificao para evitar desperdcio de potncia.
Para encontrar o intervalo de variao de corrente do bloco TCA foi
utilizado o mtodo apresentado no item 4.5. A Figura 32 apresenta O TC
versus a corrente do bloco TCA, para mil resultados gerados por Monte Carlo,
o TCA com seis bits (64 pontos), homogeneamente distribuda incluindo os
valores

e zero e a corrente variando de -0,4 a 0,4 mA. Cada uma das


curvas representada por um tipo de marcador, como o exemplificado ().
Podemos observar que para cada resultado do Monte Carlo existe um valor de
corrente gerada pelo bloco TCA que minimiza o TC. Por exemplo, na curva
indicada na Figura 32 (), a corrente gerada pelo bloco TCA de valor -0,08 mA
minimiza o valor do TC para 40 ppm/C.
Para obter o intervalo de corrente de -0,4 mA (cerca de 2/3 da corrente
de MN1, Figura 33) a 0,4 mA (prximo do dobro da corrente de MP2, Figura 33)
com seis bits de ajuste, as relaes (W/L) utilizadas nos transistores MNAi e
MPAi do bloco TCA foram de cerca de
2
3
.
1
2
61
( )
1
e 2.
1
2
61
( )
2
,
respectivamente.

78


Figura 32 Grfico do coeficiente de temperatura (ppm/C) versus
corrente gerada pelo bloco TCA de 6 bits (mA) para cada uma das mil
amostras geradas pela simulao Monte Carlo

Figura 33 Grfico da corrente (mA) em funo da temperatura (C) nos
transistores MN1 e MP2

79

O intervalo de variao de corrente do bloco TCA escolhido foi de -
0,1mA (cerca de 1/6 da corrente de MN1) a 0,1 mA (metade da corrente de
MP2), visto que praticamente todos os circuitos gerados pelo Monte Carlo tem
valor mnimo de TC quando a corrente do bloco TCA est entre esses valores.
A distribuio das correntes escolhida foi a homogeneamente
distribudas incluindo os valores

e zero, pois se observa que muitos


circuitos no necessitam da operao do TCA para atingir o valor mnimo de
TC. A relao (W/L) dos transistores MNAi e MPAi do bloco TCA, portanto,
devem ser
1
6
.
1
2

1
( )
1
e 0,5.
1
2

1
( )
2
.
A partir das escolhas do intervalo de corrente e do tipo de distribuio
pode-se aplicar o procedimento descrito no item 4.5 para determinar o nmero
de bits de controle. Para isso foram executadas simulaes de Monte Carlo
com mil amostras para as seguintes configuraes da fonte de tenso: sem
bloco TCA, bloco com um bit, dois bits, trs, quatro e cinco bits. O grfico da
Figura 34 mostra as curvas porcentagem de circuitos com TC mnimo inferior a
TC em funo do valor do TC para as seis configuraes (Apndice E).

Figura 34 Grfico das curvas de porcentagem de circuitos com TC
mnimo inferior a TC em funo do valor do TC para as seis
configuraes: sem bloco TCA, bloco com um bit, dois bits, trs, quatro e
cinco bits. Cada uma das curvas foi construda usando mil amostras e
simulaes Monte Carlo. A tenso de alimentao utilizada foi de 1,0 V
80

Na Figura 34, nota-se que quanto maior o nmero de bits, maior a
porcentagem de circuitos que atendem as especificaes de TC. Assim, por
exemplo, para um TC 30 ppm/C, observamos que aproximadamente 77% dos
circuitos utilizado um bloco TCA de um bit atingem esse valor, enquanto, para
circuitos com bloco de quatro bits, a porcentagem sobe para mais de 90% A
cada bit que se aumenta no controle, a curva se desloca mais para esquerda e
cresce mais abruptamente, o que representa mais circuitos com menores
valores de TC. A taxa de melhora, entretanto, decresce conforme o nmero de
bits maior, de tal forma que no existe grande diferena entre as curvas de
quatro e cinco bits e, provavelmente, menor diferena ocorria para nmeros
maiores de bits.
Um ponto a ser observado a diferena entre os resultados obtidos com
simulaes Monte Carlo e com o modelo tpico. Como os valores dos
parmetros, especialmente os valores dos resistores, foram projetados com a
utilizao do modelo tpico, de se esperar que os resultados da simulao
Monte Carlo para o circuito apresentem um TC diferente do procurado durante
o projeto. Mesmo com a adio de um bloco TCA de trs bits, o TC de mais de
60% das amostras superior a 15 ppm/C.
Escolheu-se trs bits de controle para o bloco TCA, devido pequena
melhora que as curvas de quatro e cinco bits representam e s dificuldades
que o aumento do nmero de bits acarreta para a implementao e os ajustes
da configurao do TCA.
A Figura 35 mostra o histograma do TC mnimo para o circuito com bloco
TCA de trs bits (mil amostras). A Figura 36 mostra o histograma do TC para o
circuito sem bloco TCA (Apndice E).
81


Figura 35 Histograma do TC mnimo (ppm/C) do circuito com bloco TCA
de trs bits, calculado com mil amostras. O TC mdio 18 ppm/C e o
desvio padro 4,8 ppm/C. As faixas do histograma tem largura de
2,0 ppm/C. A tenso de alimentao utilizada foi de 1,0 V

Figura 36 Histograma do TC (ppm/C) do circuito sem bloco TCA,
calculado com mil amostras. O TC mdio de 24 ppm/C e o desvio
padro de 10 ppm/C. As faixas do histograma tem largura de 2,0 ppm/C.
A tenso de alimentao utilizada foi de 1,0 V

82

O circuito com bloco TCA de trs bits no s tem uma mdia menor,
mas, principalmente, tem um desvio padro menor, mostrando ser mais
robusto s variaes do processo de fabricao.

O circuito final e as dimenses dos transistores do bloco TCA esto
apresentados na Figura 37 e na Tabela 14.

V
REF
M
PS1
M
NS1
M
P2
M
N1
M
PA0
M
PA1
M
PA2
M
NA1
M
NA2 M
NA3
M
PS0 M
PS2
M
NS2
M
NS3
SP0
SP1
SP2

SN1

SN2
SN3

SN0

M
NA0
M
NS0

Figura 37 Circuito do bloco de ajuste de coeficiente de temperatura de
trs bits utilizado no projeto
Tabela 14 Dimenses dos transistores do bloco TCA de trs bits
projetado
Parmetro W (m) L (m)
MPA0, MPA1, MPA2 e MPA3 4,5 10
MPS0, MPS1, MPS2 e MPS3 4,5 0,35
MNS0, MNS1 e MNS2 3,9 0,35
MNA0, MNA1 e MNA2 3,9 6,8

As dimenses L de MNA1, MNA2 e MNA3 so iguais ao L de MN1 e as
dimenses W so iguais ao W de MN1 dividido por
1
6
.
1
2
3
. Nas chaves MNS1, MNS2
e MNS3 foram utilizados Ls com o menor valor da tecnologia (0,35 m) e o W foi
igual ao W dos transistores MNAi para simplificar o layout. Da mesma forma, as
dimenses L de MPA1, MPA2 e MPA3 so iguais ao L de MP2 e as dimenses W
83

so iguais ao W de MP2 dividido por 0,5.
1
2
3
. As chaves MPS1, MPS2 e MPS3 tem Ls
de 0,35 m e o mesmo W dos transistores MPAi.

5.3 Influncia do bloco de ajuste de coeficiente de temperatura sobre a
tenso de sada e a regulao de linha
Apesar do bloco TCA reduzir o TC da fonte de referncia, como foi visto,
preciso avaliar a influncia desse bloco sobre outras caractersticas do
circuito tais como tenso de sada e regulao de linha.
A Figura 38 mostra o histograma da tenso de sada para o circuito com
bloco TCA de trs bits, aps ajuste do valor dos bits para obter mnimo TC, e a
Figura 39, o histograma da tenso de sada para o circuito sem bloco TCA
(Monte Carlo com 1000 amostras).

Figura 38 Histograma da tenso de sada (V) do circuito com bloco TCA
de trs bits, calculado com mil amostras. A tenso de sada medida
aps o ajuste dos bits para obter mnimo TC. A tenso de sada mdia
504 mV e o desvio padro 7,0 mV. As faixas do histograma tem largura de
1,0 mV. A tenso de alimentao utilizada foi de 1,0V

84


Figura 39 Histograma da tenso de sada (V) do circuito sem bloco TCA,
calculado com mil amostras. A tenso de sada mdia 506 mV e o desvio
padro 7,5 mV. As faixas do histograma tem largura de 1,0 mV
Analisando as duas figuras vemos que a introduo do bloco TCA pouco
altera o comportamento da tenso de sada.

A Figura 40 mostra o histograma da regulao de linha para o circuito
com bloco TCA de trs bits, aps ajuste do valor dos bits para obter mnimo
TC, e a Figura 41, o histograma do circuito sem bloco TCA (Monte Carlo com
5000 amostras).
85


Figura 40 Histograma da regulao de linha (ppm/V) do circuito com
bloco TCA de trs bits, calculado com 5000 amostras. O RL medido
aps o ajuste dos bits para obter mnimo TC. O LR mdio 475 ppm/C e
o desvio padro 222 ppm/C. As faixas do histograma tem largura de
36 ppm/V. A tenso de alimentao utilizada foi de 1,0 V


Figura 41 Histograma da regulao de linha (ppm/V) do circuito sem
bloco TCA, calculado com 5000 amostras. O LR mdio 476 ppm/C e o
desvio padro 230 ppm/C. As faixas do histograma tem largura de
36 ppm/V. A tenso de alimentao utilizada foi de 1,0 V
86

Analisando as duas figuras vemos que a introduo do bloco TCA
tambm pouco altera o comportamento da regulao de linha da fonte de
referncia.
Nota-se tambm que o LR mdio da anlise de Monte Carlo para o
circuito sem bloco TCA 90% maior que o valor projetado (250ppm/V),
mostrando o efeito das variaes no processo de fabricao sobre os circuitos.
Como concluso, os resultados mostram que o bloco TCA de trs bits
traz melhoras ao coeficiente de temperatura do circuito sem afetar a regulao
de linha ou a tenso de sada.
5.4 Mtodo de configurao dos bits de controle num circuito real
Como vimos anteriormente, a configurao dos bits de controle do bloco
TCA pode inviabilizar seu uso caso tenha-se que realizar com medidas em
muitos pontos de temperatura. No mtodo simples proposto, a configurao de
bits feita com medidas apenas nas temperaturas extremas da faixa de
operao (-10 e 90 C). Para testar o mtodo simples foram determinadas as
curvas porcentagem de circuitos com TC mnimo inferior a TC (%) em funo
do valor do TC (ppm/C) para circuitos com bits ajustados pelo mtodo direto,
onde o TC calculado com medidas em muitos pontos de temperatura, e pelo
mtodo simplificado. Foram utilizadas mil amostras na simulao de Monte
Carlo. O grfico das Figura 42, Figura 43, Figura 44, Figura 45 e Figura 46
mostram os resultados para cinco diferentes nmeros de bits (Apndice E).
87



Figura 42 - Grfico das curvas de porcentagem de circuitos com TC
mnimo inferior a TC em funo do valor do TC (ppm/C) para os mtodos
direto e simplificado em um bloco TCA de 1 bit. Em cada curva foram
usadas mil amostras. O erro quadrtico mdio entre curvas 0,24 ppm/C

Figura 43 - Grfico das curvas de porcentagem de circuitos com TC
mnimo inferior a TC em funo do valor do TC (ppm/C) para os mtodos
direto e simplificado em um bloco TCA de 2 bits. Em cada curva foram
usadas mil amostra. O erro quadrtico mdio entre curvas 0,078 ppm/C

88


Figura 44 - Grfico das curvas de porcentagem de circuitos com TC
mnimo inferior a TC em funo do valor do TC (ppm/C) para os mtodos
direto e simplificado em um bloco TCA de 3 bits. Em cada curva foram
usadas mil amostras. O erro quadrtico mdio entre curvas 0,020
ppm/C

Figura 45 - Grfico das curvas de porcentagem de circuitos com TC
mnimo inferior a TC em funo do valor do TC (ppm/C) para os mtodos
direto e simplificado em um bloco TCA de 4 bits. Em cada curva foram
usadas mil amostras. O erro quadrtico mdio entre curvas 0,009
ppm/C
89


Figura 46 - Grfico das curvas de porcentagem de circuitos com TC
mnimo inferior a TC em funo do valor do TC (ppm/C) para os mtodos
direto e simplificado em um bloco TCA de 5 bits. Em cada curva foram
usadas mil amostras. O erro quadrtico mdio entre curvas 0,006
ppm/C
Podemos observar que ambas as curvas esto muito prximas, de modo
que pouca degradao ocorrer ao se trocar o mtodo direto pelo simplificado.
Essas curvas se aproximam ainda mais quando utilizados TCAs com um maior
nmero de bits de controle.
5.5 Subcircuito digital do TCA e subcircuito de start-up
O subcircuito digital do TCA de trs bits, que converte as trs entradas
em sete sadas para as chaves, foi projetado usando VHDL (do ingls, Very
High Speed Integrated Circuits) e sintetizado usando o software Leonardo
Spectrum (Apndice C).
O diagrama de blocos sintetizado est apresentado na Figura 47.

90

Figura 47 Subcircuito digital do TCA sintetizado pelo software Leonardo
Spectrum
Os blocos utilizados pertencem biblioteca de componentes da AMS.
As dimenses utilizadas no circuito de start-up da Figura 21 esto
apresentadas na Tabela 15.
Tabela 15 Dimenses do circuito de start-up projetado
Parmetro W (m) L (m)
MPCAP 3 0,8
M
NCAP
3 20
MPO 20 0,35
MNO 10 0,35
C1* 23,18 9,77
C2* 23,18 9,77
* capacitncia de aproximadamente 200 fF, usando o capacitor CPOLY
As dimenses dos transistores MPO e MNO foram escolhidas para que os
capacitores C1 e C2 no tivessem grandes dimenses. Os capacitores so de
91

CPOLY, que apresenta uma capacitncia por rea de 0,86 fF/m. Os
transistores PMOS tem relao W/L maior que os NMOS, pois eles so mais
lentos, procurando-se, deste modo, fazer com que os dois blocos que
compem o subcircuito de start-up (Figura 21) desativem no mesmo intervalo
de tempo.
5.6 Esquemticos e layouts
Com o circuito de fonte de referncia dimensionado, pode-se desenha-
los.
Foram desenhados os subcircuitos CTAT e PTAT, o bloco de
polarizao, o bloco TCA de trs bits, o subcircuito digital do TCA e o
subcircuito de start-up.
Na Figura 48, apresentado o esquemtico, Design Architecture, do
circuito de fonte de referncia propriamente dita com os subcircuitos CTAT,
PTAT e de polarizao. Neste esquemtico, substituram-se os parmetros M,
N, S1 e S2 da Figura 28 (de valores 3, 1, 3 e 5, respectivamente) por
transistores em paralelo. A utilizao de transistores em paralelo um recurso
bsico para melhorar o matching de transistores (Hastings, 2001).
Na Figura 49, apresentado o esquemtico do bloco TCA de trs bits.
Neste esquemtico, existem quatro unidades de subtrao e quatro de adio,
no entanto, somente trs unidades de adio so utilizadas (uma das unidades
fica permanentemente desativada).
Na Figura 49, e Figura 50 esto apresentados os esquemticos do
subcircuito digital do TCA e do subcircuito de start-up.
Na Figura 52 est o circuito completo com todos os subcircuitos e
blocos, sendo a entrada do circuito as trs entradas de ajuste e a sada a
tenso de referncia.
92

Figura 48 Esquemtico da fonte de referncia propriamente dita, que
inclui os subcircuitos PTAT, CTAT e de polarizao
93

Figura 49 Esquemtico do bloco TCA de trs bits
94


Figura 50 Esquemtico do subcircuito digital do TCA

Figura 51 Esquemtico do subcircuito de start-up
95


Figura 52 Esquemtico do circuito completo. Os elementos
BANDGAPM2, TCBLOCK2, THERMOMETER2 e STARTUP2, representam,
respectivamente, o circuito de fonte de referncia propriamente dita, o
bloco TCA, o subcircuito digital do TCA e o subcircuito de start-up
Na Figura 53, est apresentada o layout do circuito de fonte de
referncia com 260 m x 305 m de rea. Durante o desenho foram tomados
alguns cuidados, especialmente para melhorar o matching de dispositivos
(Hastings, 2001), tais como:
manter os transistores MP2, MP1, MP4 e MP3 o mais prximo
possvel e com mesma largura de canal, uma vez que formam
espelhos de corrente;
manter os transistores MPC1, MPC3 e MPC2 o mais prximo possvel,
com mesma largura de canal e, tambm, prximos dos
transistores PMOS a qual cada um est conectado (transistores
MP1, MP4 e MP3, respectivamente);
manter, assim como os PMOS, os transistores MN2, MN1 e MNC o
mais prximo possvel, j que tambm formam espelhos de
corrente. Os transistores MN1 e MNC foram divididos em
transistores paralelos, para manter a simetria do layout;
96

manter os resistores prximos e com largura igual, priorizando os
resistores R1 e R2 no posicionamento;
colocar estruturas dummy ao redor dos resistores, para melhorar
o matching e
utilizar grandes planos de metal, principalmente de VDD e VSS,
para aumentar as capacitncias parasitas do circuito e, assim,
melhorar a robustez contra rudos.

97


Figura 53 Layout da fonte de referncia propriamente dita com o circuito
de polarizao. A rea de 260 m x 305 m. Desenhados em ordem, da
esquerda para direita, esto os PMOS MP3a, MP4a, MP4b, MP4c, MP1a, MP1b,
MP2, MP1c, MP4d, MP4e, MP3b e MP3c, embaixo os transistores Cascode MPC2a,
MPC3a, MPC3b, MPC3c, MPC1a, MPC1b, MPC1c, MPC3d, MPC3e, MPC2b e MPC2c, e mais
abaixo os NMOS MNCa, MN1a, MN2, MN1b e MNCb
Na Figura 54 est o layout do bloco TCA de trs bits com 27 m x 31 m
de rea.
98


Figura 54 Layout do bloco TCA de trs bits. A rea de 27 m x 31 m
Na Figura 55 est o layout do bloco digital do TCA de trs bits com 24
m x 35 m de rea. Uma vez que no o layout de um bloco analgico,
utilizou-se o roteamento automtico disponvel na ferramenta de CAD, tendo-
se cuidado com as linhas de VDD e VSS, que foram desenhadas largas.
99


Figura 55 Layout do subcircuito digital do TCA de trs bits. A rea de
24 m x 35 m
Na Figura 56 est o layout do subcircuito de start-up com 82,7 m x 19
m de rea.

100


Figura 56 Layout do subcircuito de start-up. A rea de 82,7 m x 19 m
Na Figura 57, est o layout do circuito completo com 345 m x 332 m
de rea. Observa-se que a rea da fonte de referncia propriamente dita
muito maior que a dos outros subcircuitos. Pode-se notar por esse layout que
se procurou utilizar grandes planos de metal para aumentar as capacitncias
parasitas.

Figura 57 Layout do circuito completo. A rea de 345 m x 332 m
101

5.7 Resultado da extrao do layout
A partir do layout do circuito completo foi extrado um netlist com todos
os dispositivos e capacitncias parasitas (extrao do tipo C+CC) para se
avaliar o desempenho final do circuito projetado (Apndice B)
Foram realizadas simulaes no circuito extrado do layout para avaliar o
comportamento do TC, do RL, da potncia consumida e do intervalo de start-
up. Os resultados esto apresentados nas cinco prximas figuras.
A Figura 58 mostra a curva da tenso de sada em funo da
temperatura para os modelos tpico, worst-speed e worst-power (Anexo B e
Anexo C). A temperatura varia de -10C a 90C e a tenso de alimentao de
1,0 V. Os respectivos valores de TC so 15 ppm/C, 36 ppm/C e 89 ppm/C.
Os bits foram ajustados utilizando tanto o mtodo direto como o simplificado e
o resultado final foi igual.

Figura 58 - Grfico da curva tenso de sada (V) em funo da temperatura
(C) para os modelos tpico, worst-speed e worst-power, e a tenso de
alimentao de 1,0 V. Os respectivos valores de coeficiente de
temperatura so 15 ppm/C, 36 ppm/C e 89 ppm/C
A Figura 59 mostra o grfico da porcentagem de circuitos com TC
mnimo inferior a TC em funo do valor do TC (ppm/C), sendo o ajuste de bits
do bloco TCA dado pelo mtodo direto (grficos gerados a partir do netlist
extrado do layout e do esquemtico). Observamos que os resultados obtidos
com o esquemtico so similares a aqueles do layout e que o circuito com o
bloco TCA de trs bits superior ao circuito sem ele. Os resultados foram
obtidos com simulao de Monte Carlo e 100 amostras.

102


Figura 59 - Grfico que mostra porcentagem de circuitos com TC mnimo
inferior a TC (%) em funo do valor do TC (ppm/C), obtida atravs de
simulao Monte Carlo com 100 amostras. Simulaes realizadas com os
netlists extrados do esquemtico e do layout, com e sem o bloco TCA de
trs bits. Os bits foram ajustados pelo mtodo direto
A Figura 60 mostra o grfico da curva da tenso de sada em funo da
tenso de alimentao para os modelos tpico, worst-speed e worst-power. A
tenso de alimentao varia de 1,0 V a 2,5V e a temperatura de 27C. Os
respectivos valores de LR so 263 ppm/V, 967 ppm/V e 343 ppm/V. Pode-se
observar que no modelo worst speed, o circuito tem dificuldades em manter a
tenso de sada para tenses de alimentao prxima de 1,0 V. Lembremos
que para o modelo worst-speed os valores de VTH0 dos transistores NMOS e
PMOS so 0,6 V e 0,8 V, respectivamente.

Figura 60 - Grfico da curva da tenso de sada (V) em funo da tenso
de alimentao (V) para os modelos tpico, worst-speed e worst-power, e
temperatura de 27C. Os respectivos valores de regulao de linha so
263 ppm/V, 967 ppm/V e 343 ppm/V
103

A Figura 61 mostra o grfico da curva da potncia consumida em funo
da temperatura para os modelos tpico, worst-speed e worst-power. A
temperatura varia de -10C a 90C e tenso de alimentao de 1,0 V. Os
respectivos valores de potncia consumida, para 27C, so 2,76 W, 2,24 W
e 3,53 W.

Figura 61 - Grfico da curva de potncia consumida (W) em funo da
temperatura (C) para os modelos tpico, worst-speed e worst-power, e
tenso de alimentao 1,0 V
A Figura 62 mostra curvas tenso de sada (V) pelo tempo (s), obtidas
atravs de simulao Monte Carlo com mil amostras e tenso de alimentao
de 1,0 V. Em todas as curvas, a condio inicial dada foi: VDD no drain dos
transistores MP2 (Figura 48) e MNCAP (Figura 51) e VSS no drain dos transistores
MN1 (Figura 48) e MPCAP (Figura 51). Apenas quando a curva estabiliza em
valor prximo a 0,5 V dito que o circuito funciona. Por estes resultados,
podemos concluir que, no pior caso, o circuito leva aproximadamente 0,77s
para funcionar devido ao bloco de start-up.
104


Figura 62 Grfico das curvas tenso de sada (V) pelo tempo (s), obtidas
atravs de simulao Monte Carlo com mil amostras com tenso de
alimentao de 1,0 V. No pior caso, curva marcada no grfico, o circuito
inicia em 0,77 s
Esses resultados mostram que o circuito do layout funciona com
esperado.
5.8 Comparao com outros circuitos
Para se ter uma melhor ideia sobre os resultados obtidos, foram criadas
as Tabela 16, Tabela 17 e Tabela 18 que mostram os resultados do circuito
deste trabalho e de outros da literatura. Nas tabelas, a linha:
Referncia juntamente com a linha Ano/Local de publicao
determinam unicamente o artigo na lista de referncias;
Ano/Local de publicao mostram a relevncia do artigo comparado; e
Tipo mostra caractersticas do circuito, sendo:
o bandgap: fonte de referncia que usa o VBE de um transistor
bipolar como grandeza CTAT;
o CTAT = VGS: fonte de referncia que usa o VGS de um transistor
MOS como grandeza CTAT
o All MOS: circuito que composto somente por transistores MOS;
e
o Compensao de VTHN e VTHP: fonte de referncia que usa a
diferena entre o VTHN e o VTHP de um transistor MOS como
grandeza CTAT.
105

O circuito apresentado neste trabalho tem um coeficiente de temperatura
de 15ppm/C que compatvel com o dos outros circuitos da literatura,
possvel tambm garantir um coeficiente de temperatura de at 30 ppm/C para
mais de 90% dos circuitos produzidos.
A tenso de alimentao mnima baixa, e, mesmo assim, possvel
obter uma baixa regulao de linha, caracterstica que outros circuitos de baixa
tenso de alimentao no conseguem obter (o menor deles dez vezes
maior). Devido a essa baixa regulao de linha, tambm possvel alterar a
tenso de alimentao sem afetar muito sobre a qualidade do coeficiente de
temperatura.
A potncia consumida uma das menores, podendo ser reduzida ainda
mais, caso se aumente as dimenses dos resistores, que foram limitados em
at 1600 m de comprimento com 4 m de largura (480 k).
A tenso de sada varia pouco entre os circuitos produzidos,
especialmente se for realizado um trimming de resistor, diferente do que
acontece quando usam transistores em trodo, como (Ueno, CMOS Voltage
and Current Reference Circuits consisting of Subthreshold MOSFETs
Micropower Circuit Components for Power-aware LSI Applications , 2010).
Alm disso, essa tenso de sada de 0,5 V pode ser facilmente alterada
trocando o valor da resistncia R3 (Figura 20) sem grandes implicaes no
desempenho do circuito.












106

Tabela 16 Resultados do circuito apresentado no trabalho e de outros
da literatura. Na tabela indica variao, CTAT=VGS indica que a grandeza
CTAT do circuito foi obtida a partir de VGS de um MOS e VREF a tenso de
referncia
Referncia Este trabalho* Leung & Mok
Vita &
Iannaccone
Ueno, Hirose,
Asai, &
Amemiya
Ano/Local de
publicao
2014 2003/JSSC 2005/CICC 2009/JSSC
Tecnologia
CMOS (m)
0,35 0,6 0,35 0,35
VTHN e |VTHP|
(V)
0,5 e 0,7 0,9 e 0,9 0,45 e 0,75 -
temperatura
(C)
-10 a 90 0 a 100 0 a 80 -20 a 80
VDD
(V)
1,0 a 2,5 1,4 a 3,0 1,5 a 4,3 1,4 a 3,0
VREF
(V)
0,5 0,309 0,168 0,745
Potncia
(W)
2,7
(2,7A x 1V
27 C)
13,6
(9,7A x 1,4V)

3,6
(2,4A x 1,5V
80 C)
0,3
(0,2A x 1,5V
27 C)
TC
(ppm/C)
15 (1,0 V) 36,9 25 7,0
RL
(ppm/V)
263 (27C) 830 (25C) 9524 20
rea
(mm)
0,11 0,055 0,08 0,052
Tipo Bandgap CTAT = VGS CTAT = VGS All CMOS
*Resultado obtido atravs de simulao eltrica



107

Tabela 17 - Resultados do circuito apresentado no trabalho e de outros da
literatura. Na tabela indica variao, CTAT=VGS indica que a grandeza
CTAT do circuito foi obtida a partir de VGS de um MOS e VREF a tenso de
referncia
Referncia Banba, et al. Zhou, et al.
Vita &
Iannaccone
Leung & Mok
Ano/Local de
publicao
1999/JSSC 2012/TCS-II 2007/JSSC 2002/JSSC
Tecnologia
CMOS (m)
0,4 0,35 0,35 0,6
VTHN e |VTHP|
(V)
0,4 e 0,3 0,596 e 0,68 0,498* e 0,75 0,9 e 0,9
temperatura
(C)
27 a 125 0 a 130 0 a 80 0 a 100
VDD
(V)
2,2 a 4,4 1,8 a 4,5 0,9 a 4,0 0,98 a 1,5
VREF
(V)
0,518 0,8475 0,67 0,603
Potncia
(W)
4,8
(2,2A x 2,2V)
12,8
(8A x 1,8V)
0,036
(40nA x 0,9V)
17,6
(18A x 0,98V)
TC
(ppm/C)
117 11,8 10 15
RL
(ppm/V)
2145 185 2700 7016 (27C)
rea
(mm)
0,1 0,011 0,045 0,24
Tipo Bandgap
Compensao
de VTHN e VTHP
All CMOS e
CTAT = VGS
Bandgap
* O artigo usa tambm um NMOS de VTHN de 0,7 V



108

Tabela 18 - Resultados do circuito apresentado no trabalho e de outros da
literatura. Na tabela indica variao, CTAT=VGS indica que a grandeza
CTAT do circuito foi obtida a partir de VGS de um MOS e VREF a tenso de
referncia
Referncia
Neuteboom,
Kup, &
Jassens
Huang & Lin
Chang, Lo,
Chen, Wu, &
Hung
Li & Guo
Ano/Local de
publicao
1997/JSSC 2006/TCS-II 2007/ISCAS 2009/ASICON
Tecnologia
CMOS (m)
0,8 0,18 0,18 0,6
VTHN e |VTHP|
(V)
0,5 e 0,7 0,461 e 0,439 - 0,78 e 0,98
temperatura
(C)
- -20 a 120 -20 a 120 0 a 100
VDD
(V)
0,7 a - 0,9 a 2,5 1,5 a 3,0 2,0 a 5,0
VREF
(V)
0,67 0,221 0,621 0,39
Potncia
(W)
14
(20A x 0,7V)

3,3
(3,9A x 0,85V
27 C)
17,3
(11,5A x 1,5V
27 C)
18
(9A x 2V
100 C)
TC
(ppm/C)
- 194 11,5 (1,5V) 15 (2V)
RL
(ppm/V)
- 9050 9661 136
rea
(mm)
0,15 0,0238 0,132 0,07
Tipo Bandgap CTAT = VGS CTAT = VGS Bandgap

109

Concluso
Esta dissertao apresenta o projeto de uma fonte de tenso de
referncia do tipo bandgap. Dentre as particularidades desse projeto est o uso
de uma topologia original e um circuito de start-up especfico para ele, o
dimensionamento dos dispositivos usando algoritmos metaheursticos, a
introduo de um bloco de ajuste de coeficiente de temperatura e um mtodo
de configurao desse bloco de ajuste.
Ao decorrer do texto listou-se diferentes alternativas de circuitos que
poderiam ser utilizados no projeto, e, gradualmente, incrementou-se um
simples circuito de bandgap at ele se tornar o proposto neste trabalho.
O resultado alcanado foi uma fonte de tenso de referncia do tipo
bandgap de 0,5 V de tenso de referncia, 15 ppm/C de coeficiente de
temperatura em um intervalo de temperatura de -10C a 90C 1,0 V de
tenso de alimentao, 263 ppm/V de regulao de linha temperatura
ambiente, 2,7 A de consumo de corrente na tenso mnima de 1,0 V e uma
rea de 0,11mm. Medidas provenientes de uma anlise Monte Carlo e
tecnologia CMOS de 0,35 m da AMS, cujo VTH0 para o NMOS e PMOS de
0,5 V e -0,7 V para o caso tpico e 0,6V e -0,8V para o worst-speed. A
introduo do bloco de ajuste de coeficiente de temperatura permite que mais
que 90% dos circuitos produzidos tenham um coeficiente de temperatura de at
30 ppm/C.
A topologia apontou um bom coeficiente de temperatura e uma boa
regulao de linha devido, respectivamente, ao bom dimensionamento e o uso
de transistores na configurao Cascode, podendo funcionar em at 1,0 V.
possvel tambm alterar a tenso de sada, simplesmente trocando o valor de
um resistor, ou a tenso de alimentao, devido boa regulao de linha, sem
grandes implicaes sobre a qualidade do circuito.
Percebeu-se tambm o quanto o processo de dimensionamento dos
dispositivos foi acelerado usando algoritmos metaheursticos, economizando
tempo pra melhorar outras caractersticas do circuito, como o coeficiente de
temperatura.
110

O bloco de ajuste de coeficiente de temperatura mostrou de grande valor
quando pode reduzir a caracterstica sem deteriorar a regulao de linha ou a
variao da tenso de sada. Alm disso, o bloco tornou-se vivel para o uso
real devido a possibilidade de ser configurado de maneira prtica.
Utilizou-se um bloco de start-up especifico para o problema devido
introduo dos transistores Cascode, garantindo um incio de operao de pelo
menos 0,77 s.
Houve uma pesquisa e compilao de informaes de outras fontes de
referncia na literatura no s para melhor compreender as fontes de
referncia, mas tambm para se inspirar e comparar com o circuito projetado.
Durante o projeto avaliou-se tambm o uso de um bloco de ajuste de
tenso de sada, cuja finalidade reduzir a variao da tenso de referncia
entre os diversos CIs de um wafer. Entretanto, apesar de reduzir
consideravelmente o desvio padro das amostras, tal bloco piora o coeficiente
de temperatura ocasionando a perda de interesse.
Por fim, como sugestes de trabalhos futuros, ficam: fabricar o circuito e
testar as suas caractersticas, bem como avaliar o mtodo de configurao de
bits criado; o uso de simulao Monte Carlo nos algoritmos metaheursticos,
para isso necessrio que, alm de melhores computadores, execues
paralelas sejam realizadas; substituir o transistor BJT por um diodo Schottky
(que possui uma queda de tenso menor, entorno de 0,3 V); aumentar as
dimenses dos capacitores do bloco de start-up para garantir um incio de
operao mais rpido; e refazer o circuito projetado utilizando uma tecnologia
que possua transistores MOS com tenses de threshold menores.


Referncia
Austria Micro Systems. (6 de October de 2003). 0.35 um CMOS C35 Design
Rules. Schloss Premsttten, Styria, Austria.
Austria Micro Systems. (12 de March de 2003). 0.35 um CMOS C35 Process
Parameters. Schloss Premstatten, Styria, Austria.
Austria Micro Systems. (13 de March de 2003). 0.35um CMOS C35 Matching
Parameters. Schloss Premsttten, Styria, Austria.
Banba, H., Shiga, H., Umezawa, A., Miyaba, T., Tanzawa, T., Atsumi, S., &
Sakui, K. (1999). A CMOS Bandgap Reference Circuit with Sub-1-V
Operation. IEEE Journal of Solid-State Circuits, 670-674.
Bondyopadhyay, P. K. (1998). Moores Law Governs the Silicon Revolution.
Proceedings of the IEEE, 78-81 .
Brewer, J. E. (1998). A New and Improved Roadmap. IEEE Circuits and
Devices Magazine, 13-18 .
Brinkman, W. F. (1997). A History of the Invention of the Transistor and Where
It Will Lead Us. IEEE Journal of Solid-State Circuits, 1858-1865 .
Brokaw, A. P. (1974). A Simple Three-Terminal IC Bandgap Reference. IEEE
Journal of Solid-State Circuits, 388- 393 .
Chang, C.-W., Lo, T.-Y., Chen, C.-m., Wu, K.-H., & Hung, C.-C. (2007). A Low-
Power CMOS Voltage Reference Circuit Based On Subthreshold
Operation. ISCAS 2007. IEEE International Symposium on Circuits and
Systems, 2007 (pp. 3844-3847 ). New Orleans: IEEE.
Cheng, Y., & Hu, C. (1999). MOSFET Modeling & BSIM3 Users Guide. New
York: Kluwer.
Cheng, Y., & Hu, C. (1999). MOSFET Modeling & BSIM3 Users Guide. New
York: Kluwer.
Fayomi, C. J., Wirth, G. I., Achigui, H. F., & Matsuzawa, A. (2010). Sub 1 V
CMOS bandgap reference design techniques: a survey. Analog
Integrated Circuits and Signal Processing, 141157.
Giustolisi, G., Palumbo, G., Criscione, M., & Cutr, F. (2003). A Low-Voltage
Low-Power Voltage Reference Based on Subthreshold MOSFETs. IEEE
JOURNAL OF SOLID-STATE CIRCUITS, 151-154.


Hastings, A. (2001). The Art of Analog Layout. New Jersey: Prentice Hall.
Huang, P.-H., & Lin, H. (2006). A Simple Subthreshold CMOS Voltage
Reference Circuit With Channel- Length Modulation Compensation. IEEE
Transactions on Circuits and Systems-II: Express Briefs, 882-885.
Ishibe, E. I. (15 de Junho de 2010). Projeto de Fonte de Tenso de Referncia
de Baixa Tenso e Consumo. Fonte: Trabalhos Acadmicos:
http://www.tcc.sc.usp.br/tce/disponiveis/18/182000/tce-09092010-
164655/
Ishibe, E., & Navarro, J. (2013). A Bandgap Circuit with a Temperature
Coefficient Adjustment Block. 2013 IEEE 56th International Midwest
Symposium on Circuits and Systems (MWSCAS) (pp. 101- 104).
Columbus: IEEE.
Ishibe, E., & Navarro, J. (2013). A CMOS Bandgap Reference Circuit with a
Temperature Coefficient Adjustment Block. 2013 26th Symposium on
Integrated Circuits and Systems Design (SBCCI) (pp. 1- 6). Curitiba:
IEEE.
Kuijk, K. E. (1973). A Precision Referece Voltage Source. IEEE Journal of
Solid-State Circuits, 222 - 226.
Leung, K. N., & Mok, P. K. (2002). A Sub-1-V 15-ppm/C CMOS Bandgap
Voltage Reference Without Requiring Low Threshold Voltage Device.
IEEE Journal of Solid-State Circuits, 526-530.
Leung, K. N., & Mok, P. K. (January de 2003). A CMOS Voltage Reference
Based on Weighted VGS for CMOS Low-Dropout Linear Regulators.
IEEE Journal of Solid-State Circuits, 146-150.
Li, W., & Guo, L. (2009). A Low Power CMOS Bandgap Voltage Reference with
Enhanced Power Supply Rejection. IEEE 8th International Conference
on ASIC, 2009. ASICON '09 (pp. 300-304). Changsha: IEEE.
Luke, S. (7 de February de 2009). cs.gmu.edu. Fonte: Essentials of
Metaheuristics: http://cs.gmu.edu/~sean/book/metaheuristics/
Mathworks. (s.d.). Documentation Center. Fonte: Mathworks Web site:
http://www.mathworks.com/help/matlab/index.html
Mathworks. (s.d.). Genetic Algorithm Options. Fonte: Mathworks Web Page:
http://www.mathworks.com/help/gads/genetic-algorithm-options.html


Mathworks. (s.d.). Global Optimization Toolbox. Fonte: Mathworks Web site:
http://www.mathworks.com/products/global-optimization/
Mathworks. (s.d.). Simulated Annealing Options. Fonte: Mathworks web site:
http://www.mathworks.com/help/gads/simulated-annealing-options.html
Melliar-Smith, C. M., Borrus, M. G., Haggan, D. E., Lowrey, T., Vincentelli, A.
S., & Troutman, W. W. (1998). The Transistor: An Invention Becomes a
Big Business. Proceedings of the IEEE , 86-110 .
Mentor Graphics. (2006). Calibre Verification Users Manual. Wilsonville,
Oregon, United States of America.
Mentor Graphics. (2008). IC Station Reference Manual. Wilsonville, Oregon,
United States of America.
Mentor Graphics. (2008). IC Station User Interface Manual. Wilsonville, Oregon,
United States of America.
Mentor Graphics. (2008). IC Station Users Manual. Wilsonville, Oregon, United
States of America.
Mentor Graphics. (2009). Eldo Device Equations Manual. Wilsonville, Oregon,
United States of America.
Mentor Graphics. (2009). Eldo Users Manual. Wilsonville, Oregon, United
States of America.
Mentor Graphics. (2009). EZwave Users and Reference Manual. Wilsonville,
Oregon, United States of America.
Navarro, J., & Ishibe, E. (2011 ). A Simple CMOS Bandgap Reference Circuit
with Sub-1-V Operation. 2011 IEEE International Symposium on Circuits
and Systems (ISCAS) (pp. 2289-2292 ). Rio de Janeiro: IEEE.
Needham, W. (1998). Just How Real is the SIA Roadmap. International Test
Conference, 1998. Proceedings (p. 1151). IEEE.
Neuteboom, H., Kup, B. M., & Janssens, M. (1997). A DSP-Based Hearing
Instrument IC. IEEE Journal of Solid-State Circuits, 17901806.
Pelgrom, M. J., Duinmaijer, A. C., & Welbers, A. P. (1989). Matching Properties
of MOS Transistors. IEEE Journal of Solid-State Circuits, 1433-1440.
Razavi, B. (2001). Design of Analog CMOS Integrated Circuits. New York:
McGraw-Hill Higher Education.
Rincn-Mora, G. A. (2002). Voltage References. Piscataway: IEEE.


Ross, I. M. (1998). The Invention of the Transistor. Proceedings of the IEEE , 7-
28 .
Sansen, W. M. (2006). Analog Design Essentials. Dordrecht: Springer.
Semiconductor Industry Association. (2006). The National Technology
Roadmap for Semiconductors. San Jose: Semiconductor Industry
Association.
Semiconductor Industry Association. (4 de December de 2012). Semiconductor
Industry Association. Fonte: Semiconductor Industry Association:
http://www.semiconductors.org/news/2012/12/04/global_sales_report_20
12/global_semiconductor_sales_increase_in_october_remain_above_se
asonal_rate/
Semiconductor Industry Association. (3 de February de 2014). Semiconductor
Industry Association. Fonte: Semiconductor Industry Association:
http://www.semiconductors.org/news/2014/02/03/global_sales_report_20
13/semiconductor_industry_posts_record_sales_in_2013/
Talbi, E.-G. (2009). Metaheuristics From Design to Implementation. Hoboken:
John Wiley & Sons, Inc.
Texas Instruments. (13 de August de 2008). Voltage Reference Selection
Basics. Dallas, Texas, United States of America.
Tsividis, Y. (1999). Operation and modeling of the MOS transistor. Boston:
McGraw Hill.
Tsividis, Y. P. (1980). Accurate Analysis of Temperature Effects in IC-VBE
Characteristics with Application to Bandgap Reference Sources. IEEE
Journal of Solid-State Circuits, 1076-1084.
Tsividis, Y., & McAndrew, C. (2012). The MOS Transistor. New York: Oxford
University Press.
Ueno, K. (2010). CMOS Voltage and Current Reference Circuits consisting of
Subthreshold MOSFETs Micropower Circuit Components for Power-
aware LSI Applications . Em J. W. Swart, Solid State Circuits
Technologies (pp. 1-24). InTech.
Ueno, K., Hirose, T., Asai, T., & Amemiya, Y. (2009). A 0.3-W, 7 ppm/C
CMOS Voltage Reference Circuit for On-Chip Process Monitoring in
Analog Circuits. IEEE Journal of Solid-State Circuits, 2047-2054.


Vita, G. D., & Iannaccone, G. (2005). An Ultra-Low-Power, Temperature
Compensated Voltage Reference Generator. IEEE 2005 Custom
Integrated Circuits Conference (pp. 751-754). San Jose, CA, USA: IEEE.
Vita, G. D., & Iannaccone, G. (2007). A Sub-1-V, 10 ppm/C, Nanopower
VoltageReference Generator. IEEE Journal of Solid-State Circuits, 1536-
1542.
Vittoz, E. A., & Neyroud, O. (1979). A Low-Voltage CMOS Bandgap Reference.
IEEE Journal of Solid-State Circuits, 573-577.
Vittoz, E., & Fellrath, J. (1977). CMOS Analog Integrated Circuits Based on
Weak Inversion Operation. IEEE Journal of Solid-State Circuits, 224-231.
Widlar, R. J. (1971). New Developments in IC Voltage Regulators. IEEE Journal
of Solid-State Circuits, 2- 7.
Yan, S., & Snchez-Sinencio, E. (2000). Low Voltage Analog Circuit Design
Techniques: A Tutorial. IEICE Transactions on Fundamentals of
Electronics, Communications and Computer Sciences, 179-196.
Zhou, Z.-k., Zhu, P.-s., Shi, Y., Wang, H.-y., Ma, Y.-q., Xu, X.-z., . . . Zhang, B.
(2012). A CMOS Voltage Reference Based on Mutual Compensation of
Vtn and Vtp. IEEE Transactions on Circuits and SystemsII: Express
Briefs, 341-345.






Apndice A
Arquivo de descrio do circuito
************************************************
.include param
************************************************
*.option precise anal
.option SST_MTHREAD=1
* Do not allow SOR, always use Newton method:
.OPTIONS NEWTON
* Set Newton accuracy options:
.OPTIONS VNTOL=1.e-6 ITOL=0.1e-7 RELTOL=1.0e-4
* TRANSIENT OPTIONS
* Set LTE time-step control:
.OPTIONS QTRUNC
* Set Gear integration with LTE time-step control:
.OPTIONS GEAR MAXORD=3 LVLTIM=2 TRTOL=7.0 QTRUNC
* Set Accuracy options for LTE time-step control
.OPTIONS NGTOL=1.0e-5 CHGTOL=1.0e-14 FLXTOL=10.e-12 RELTRUNC=1.e-3
************************************************
Mp1 vd b f vd MODP W='X7*1u' L= 'X1*1u' M='M1'
Mp2 vd b b vd MODP W='X7*1u' L= 'X1*1u'
Mp3 f a d vd MODP W='X7*1u' L= 'X2*1u' M='M1'
Mp4 vd b c vd MODP W='X8*1u' L= 'X1*1u'
Mp5 a a vd vd MODP W='X9*1u' L= 'X3*1u'
Mn1 0 d d 0 MODN W='X10*1u' L= 'X4*1u'
Mn2 j d e 0 MODN W='X10*1u' L= 'X4*1u'
Mn3 e c b 0 MODN W='X10*1u' L= 'X5*1u'
Mn4 0 d a 0 MODN W='X11*1u' L= 'X4*1u'
Mn5 0 c c 0 MODN W='X12*1u' L= 'X6*1u'
XrR1 j 0 RPOLYH W=4e-06 L='X13*1E-6'
M10 vd b t vd MODP W='X7*1u' L='X1*1u' M='int(X17)'
M11 vd b y vd MODP W='X7*1u' L='X1*1u' M='int(X16)'
Mc10 s a t vd MODP W='X7*1u' L='X2*1u' M='int(X17)'
M12 u a y vd MODP W='X7*1u' L='X2*1u' M='int(X16)'
XrR2 u s RPOLYH W=4e-06 L='X14*1E-6'
XrR3 s 0 RPOLYH W=4e-06 L='X15*1E-6'
Q1 0 0 u Vert10
************************************************
Vdd vd 0 1
************************************************
.end
Arquivo de simulao do circuito
************************************************
* modelo Monte Carlo
*.include ./modelMC.mod
* modelo Tpico
*.include ./ modelMC.mod
************************************************
.Param X1= 10.000 X2= 3.600 X3= 20.000 X4= 6.800 X5= 8.300 X6= 26.900
.Param X7= 71.700 X8= 29.600 X9= 1.000 X10= 189.000 X11= 9.000 X12= 1.000 X13= 448
.Param X14= 1600 X15= 1231 X16= 3.945 X17= 5.426
.Param M1= 3.00
************************************************
* Para o anlise Monte-Carlo
.MC 1000 NBBINS=20


.probe DC V(s)
************************************************
* Medio do Coeficiente de temperatura
.alter
.DC TEMP -20.0 100.0 1.0
.meas DC PPTC PP V(s) from=-10 to=90
.meas DC AVGVS AVG V(s) from=-10 to=90
.extract LABEL=PICOMIN MIN(MEAS(PPTC))
************************************************
* Medio da Regulao de linha
.alter
.DC Vdd 0V 3V 0.01V
.meas DC PPTC PP V(s) from=1.0 to=2.5
************************************************
* Comando utilizdo para testar o mtodo direto e o simplificado
*.MC 1000 OUTER NBBINS=20 PPTC PPTCprev
*.DC temp -20 100 1
*.extract LABEL=PPTCprev 'abs(yval(V(s),-10)-yval(V(s),90))'
*.meas DC PPTC PP V(s) from=-10 to=90



Apndice B
Arquivo C+CC extrado do layout
************************************************
* modelo Monte Carlo
*.include ./modelMC.mod
* modelo Tpico
*.include ./ modelMC.mod
************************************************
.subckt COMPLETE VREF VDD VSS IN[2] IN[1] IN[0]
*
mM0 VSS VDD 18 VSS MODN L=2e-05 W=3e-06 AD=2.85e-12 AS=2.55e-12 PD=4.9e-06
+ PS=4.7e-06 NRD=0.141667 NRS=0.141667
mM1 1 18 VSS VSS MODN L=3.5e-07 W=1e-05 AD=8.5e-12 AS=9.5e-12 PD=1.17e-05
+ PS=1.19e-05 NRD=0.0425 NRS=0.0425
mM2 20 2 VSS VSS MODN L=6.8e-06 W=3.9e-06 AD=1.95e-12 AS=3.705e-12 PD=1e-06
+ PS=5.8e-06 NRD=0.108974 NRS=0.108974
mM3 21 2 VSS VSS MODN L=6.8e-06 W=3.9e-06 AD=1.95e-12 AS=3.705e-12 PD=1e-06
+ PS=5.8e-06 NRD=0.108974 NRS=0.108974
mM4 22 2 VSS VSS MODN L=6.8e-06 W=3.9e-06 AD=1.95e-12 AS=3.705e-12 PD=1e-06
+ PS=5.8e-06 NRD=0.108974 NRS=0.108974
mM5 23 2 VSS VSS MODN L=6.8e-06 W=3.9e-06 AD=1.95e-12 AS=3.705e-12 PD=1e-06
+ PS=5.8e-06 NRD=0.108974 NRS=0.108974
mM6 VREF 9 20 VSS MODN L=3.5e-07 W=3.9e-06 AD=3.315e-12 AS=1.95e-12 PD=5.6e-06
+ PS=1e-06 NRD=0.108974 NRS=0.108974
mM7 VREF 11 21 VSS MODN L=3.5e-07 W=3.9e-06 AD=3.315e-12 AS=1.95e-12
+ PD=5.6e-06 PS=1e-06 NRD=0.108974 NRS=0.108974
mM8 VREF 5 22 VSS MODN L=3.5e-07 W=3.9e-06 AD=3.315e-12 AS=1.95e-12 PD=5.6e-06
+ PS=1e-06 NRD=0.108974 NRS=0.108974
mM9 VREF 10 23 VSS MODN L=3.5e-07 W=3.9e-06 AD=3.315e-12 AS=1.95e-12
+ PD=5.6e-06 PS=1e-06 NRD=0.108974 NRS=0.108974
mM10 5 IN[2] VSS VSS MODN L=3.5e-07 W=1e-06 AD=5.16667e-13 AS=8.5e-13
+ PD=1.03333e-06 PS=2.7e-06 NRD=0.425 NRS=0.425
mM11 30 IN[0] 5 VSS MODN L=3.5e-07 W=2e-06 AD=4.5e-13 AS=1.03333e-12
+ PD=4.5e-07 PS=2.06667e-06 NRD=0.2125 NRS=0.2125
mM12 VSS IN[1] 30 VSS MODN L=3.5e-07 W=2e-06 AD=1.7e-12 AS=4.5e-13 PD=3.7e-06
+ PS=4.5e-07 NRD=0.2125 NRS=0.2125
mM13 29 IN[2] 7 VSS MODN L=3.5e-07 W=2e-06 AD=1e-12 AS=1.7e-12 PD=1e-06
+ PS=3.7e-06 NRD=0.2125 NRS=0.2125
mM14 VSS IN[0] 29 VSS MODN L=3.5e-07 W=2e-06 AD=1e-12 AS=1e-12 PD=1e-06
+ PS=1e-06 NRD=0.2125 NRS=0.2125
mM15 29 IN[1] VSS VSS MODN L=3.5e-07 W=2e-06 AD=1.7e-12 AS=1e-12 PD=3.7e-06
+ PS=1e-06 NRD=0.2125 NRS=0.2125
mM16 19 VSS VDD VDD MODP L=8e-07 W=3e-06 AD=2.55e-12 AS=2.85e-12 PD=4.7e-06
+ PS=4.9e-06 NRD=0.141667 NRS=0.141667
mM17 2 19 VDD VDD MODP L=3.5e-07 W=2e-05 AD=1.7e-11 AS=1.9e-11 PD=2.17e-05
+ PS=2.19e-05 NRD=0.02125 NRS=0.02125
mM18 24 7 VREF VDD MODP L=3.5e-07 W=4.5e-06 AD=2.25e-12 AS=3.825e-12 PD=1e-06
+ PS=6.2e-06 NRD=0.0944444 NRS=0.0944444
mM19 25 6 VREF VDD MODP L=3.5e-07 W=4.5e-06 AD=2.25e-12 AS=3.825e-12 PD=1e-06
+ PS=6.2e-06 NRD=0.0944444 NRS=0.0944444
mM20 26 8 VREF VDD MODP L=3.5e-07 W=4.5e-06 AD=2.25e-12 AS=3.825e-12 PD=1e-06
+ PS=6.2e-06 NRD=0.0944444 NRS=0.0944444
mM21 27 VDD VREF VDD MODP L=3.5e-07 W=4.5e-06 AD=2.25e-12 AS=3.825e-12
+ PD=1e-06 PS=6.2e-06 NRD=0.0944444 NRS=0.0944444
mM22 VDD 1 24 VDD MODP L=1e-05 W=4.5e-06 AD=4.275e-12 AS=2.25e-12 PD=6.4e-06
+ PS=1e-06 NRD=0.0944444 NRS=0.0944444
mM23 VDD 1 25 VDD MODP L=1e-05 W=4.5e-06 AD=4.275e-12 AS=2.25e-12 PD=6.4e-06


+ PS=1e-06 NRD=0.0944444 NRS=0.0944444
mM24 VDD 1 26 VDD MODP L=1e-05 W=4.5e-06 AD=4.275e-12 AS=2.25e-12 PD=6.4e-06
+ PS=1e-06 NRD=0.0944444 NRS=0.0944444
mM25 VDD 1 27 VDD MODP L=1e-05 W=4.5e-06 AD=4.275e-12 AS=2.25e-12 PD=6.4e-06
+ PS=1e-06 NRD=0.0944444 NRS=0.0944444
mM26 28 IN[2] 5 VDD MODP L=3.5e-07 W=3.2e-06 AD=1.6e-12 AS=2.72e-12 PD=1e-06
+ PS=4.9e-06 NRD=0.132812 NRS=0.132812
mM27 VDD IN[0] 28 VDD MODP L=3.5e-07 W=3.2e-06 AD=1.6e-12 AS=1.6e-12 PD=1e-06
+ PS=1e-06 NRD=0.132812 NRS=0.132812
mM28 28 IN[1] VDD VDD MODP L=3.5e-07 W=3.2e-06 AD=2.72e-12 AS=1.6e-12
+ PD=4.9e-06 PS=1e-06 NRD=0.132812 NRS=0.132812
mM29 7 IN[2] VDD VDD MODP L=3.5e-07 W=1.6e-06 AD=8e-13 AS=1.36e-12 PD=1.2e-06
+ PS=3.3e-06 NRD=0.265625 NRS=0.265625
mM30 31 IN[0] 7 VDD MODP L=3.5e-07 W=3.2e-06 AD=7.2e-13 AS=1.6e-12 PD=4.5e-07
+ PS=2.4e-06 NRD=0.132812 NRS=0.132812
mM31 VDD IN[1] 31 VDD MODP L=3.5e-07 W=3.2e-06 AD=2.72e-12 AS=7.2e-13
+ PD=4.9e-06 PS=4.5e-07 NRD=0.132812 NRS=0.132812
XcC32 VDD 18 CPOLY !A=226.2e-12 !P=65.9e-06
XcC33 VSS 19 CPOLY !A=226.2e-12 !P=65.9e-06
XrX34_R0 VSS VREF RPOLYH w=4e-06 l=0.00123156
XrX34_R1 VSS X34_4 RPOLYH w=4e-06 l=0.00044819
XrX34_R2 VREF X34_2 RPOLYH w=4e-06 l=0.00160101
qX34_Q3 VSS VSS X34_2 VERT10
mX34_M4 1 X34_12 X34_5 VSS MODN L=8.3e-06 W=4.725e-05 AD=2.3625e-11
+ AS=4.01625e-11 PD=1e-06 PS=4.895e-05 NRD=0.00899471 NRS=0.00899471
mX34_M5 X34_5 X34_12 1 VSS MODN L=8.3e-06 W=4.725e-05 AD=4.01625e-11
+ AS=2.3625e-11 PD=4.895e-05 PS=1e-06 NRD=0.00899471 NRS=0.00899471
mX34_M6 X34_5 2 X34_4 VSS MODN L=6.8e-06 W=4.725e-05 AD=2.3625e-11
+ AS=4.01625e-11 PD=1e-06 PS=4.895e-05 NRD=0.00899471 NRS=0.00899471
mX34_M7 X34_4 2 X34_5 VSS MODN L=6.8e-06 W=4.725e-05 AD=4.01625e-11
+ AS=2.3625e-11 PD=4.895e-05 PS=1e-06 NRD=0.00899471 NRS=0.00899471
mX34_M8 2 2 VSS VSS MODN L=6.8e-06 W=4.725e-05 AD=2.3625e-11 AS=4.48875e-11
+ PD=1e-06 PS=4.915e-05 NRD=0.00899471 NRS=0.00899471
mX34_M9 VSS 2 2 VSS MODN L=6.8e-06 W=4.725e-05 AD=4.48875e-11 AS=2.3625e-11
+ PD=4.915e-05 PS=1e-06 NRD=0.00899471 NRS=0.00899471
mX34_M10 2 2 VSS VSS MODN L=6.8e-06 W=4.725e-05 AD=2.3625e-11 AS=4.48875e-11
+ PD=1e-06 PS=4.915e-05 NRD=0.00899471 NRS=0.00899471
mX34_M11 VSS 2 2 VSS MODN L=6.8e-06 W=4.725e-05 AD=4.48875e-11 AS=2.3625e-11
+ PD=4.915e-05 PS=1e-06 NRD=0.00899471 NRS=0.00899471
mX34_M12 X34_5 2 X34_4 VSS MODN L=6.8e-06 W=4.725e-05 AD=2.3625e-11
+ AS=4.01625e-11 PD=1e-06 PS=4.895e-05 NRD=0.00899471 NRS=0.00899471
mX34_M13 X34_4 2 X34_5 VSS MODN L=6.8e-06 W=4.725e-05 AD=4.01625e-11
+ AS=2.3625e-11 PD=4.895e-05 PS=1e-06 NRD=0.00899471 NRS=0.00899471
mX34_M14 1 X34_12 X34_5 VSS MODN L=8.3e-06 W=4.725e-05 AD=2.3625e-11
+ AS=4.01625e-11 PD=1e-06 PS=4.895e-05 NRD=0.00899471 NRS=0.00899471
mX34_M15 X34_5 X34_12 1 VSS MODN L=8.3e-06 W=4.725e-05 AD=4.01625e-11
+ AS=2.3625e-11 PD=4.895e-05 PS=1e-06 NRD=0.00899471 NRS=0.00899471
mX34_M16 VSS X34_12 X34_12 VSS MODN L=2.69e-05 W=1e-06 AD=9.5e-13 AS=8.5e-13
+ PD=2.9e-06 PS=2.7e-06 NRD=0.425 NRS=0.425
mX34_M17 X34_9 2 VSS VSS MODN L=6.8e-06 W=9e-06 AD=7.65e-12 AS=8.55e-12
+ PD=1.07e-05 PS=1.09e-05 NRD=0.0472222 NRS=0.0472222
mX34_M18 VDD 1 X34_VREF VDD MODP L=1e-05 W=7.17e-05 AD=6.8115e-11
+ AS=6.0945e-11 PD=7.36e-05 PS=7.34e-05 NRD=0.00592748 NRS=0.00592748
mX34_M19 X34_2 X34_9 X34_VREF VDD MODP L=3.6e-06 W=7.17e-05 AD=3.585e-11
+ AS=6.0945e-11 PD=1e-06 PS=7.34e-05 NRD=0.00592748 NRS=0.00592748
mX34_M20 X34_VREF X34_9 X34_2 VDD MODP L=3.6e-06 W=7.17e-05 AD=6.0945e-11
+ AS=3.585e-11 PD=7.34e-05 PS=1e-06 NRD=0.00592748 NRS=0.00592748
mX34_M21 X34_VREF 1 VDD VDD MODP L=1e-05 W=7.17e-05 AD=6.0945e-11
+ AS=6.8115e-11 PD=7.34e-05 PS=7.36e-05 NRD=0.00592748 NRS=0.00592748
mX34_M22 VDD 1 X34_10 VDD MODP L=1e-05 W=7.17e-05 AD=6.8115e-11 AS=6.0945e-11
+ PD=7.36e-05 PS=7.34e-05 NRD=0.00592748 NRS=0.00592748


mX34_M23 X34_10 X34_9 VREF VDD MODP L=3.6e-06 W=7.17e-05 AD=3.585e-11
+ AS=6.0945e-11 PD=1e-06 PS=7.34e-05 NRD=0.00592748 NRS=0.00592748
mX34_M24 VREF X34_9 X34_10 VDD MODP L=3.6e-06 W=7.17e-05 AD=6.0945e-11
+ AS=3.585e-11 PD=7.34e-05 PS=1e-06 NRD=0.00592748 NRS=0.00592748
mX34_M25 X34_10 1 VDD VDD MODP L=1e-05 W=7.17e-05 AD=6.0945e-11 AS=6.8115e-11
+ PD=7.34e-05 PS=7.36e-05 NRD=0.00592748 NRS=0.00592748
mX34_M26 VDD 1 X34_6 VDD MODP L=1e-05 W=7.17e-05 AD=6.8115e-11 AS=6.0945e-11
+ PD=7.36e-05 PS=7.34e-05 NRD=0.00592748 NRS=0.00592748
mX34_M27 2 X34_9 X34_6 VDD MODP L=3.6e-06 W=7.17e-05 AD=3.585e-11
+ AS=6.0945e-11 PD=1e-06 PS=7.34e-05 NRD=0.00592748 NRS=0.00592748
mX34_M28 X34_6 X34_9 2 VDD MODP L=3.6e-06 W=7.17e-05 AD=6.0945e-11
+ AS=3.585e-11 PD=7.34e-05 PS=1e-06 NRD=0.00592748 NRS=0.00592748
mX34_M29 X34_6 1 VDD VDD MODP L=1e-05 W=7.17e-05 AD=6.0945e-11 AS=6.8115e-11
+ PD=7.34e-05 PS=7.36e-05 NRD=0.00592748 NRS=0.00592748
mX34_M30 VDD 1 1 VDD MODP L=1e-05 W=7.17e-05 AD=6.8115e-11 AS=6.0945e-11
+ PD=7.36e-05 PS=7.34e-05 NRD=0.00592748 NRS=0.00592748
mX34_M31 2 X34_9 X34_6 VDD MODP L=3.6e-06 W=7.17e-05 AD=6.0945e-11
+ AS=6.0945e-11 PD=7.34e-05 PS=7.34e-05 NRD=0.00592748 NRS=0.00592748
mX34_M32 X34_6 1 VDD VDD MODP L=1e-05 W=7.17e-05 AD=6.0945e-11 AS=6.8115e-11
+ PD=7.34e-05 PS=7.36e-05 NRD=0.00592748 NRS=0.00592748
mX34_M33 VDD 1 X34_10 VDD MODP L=1e-05 W=7.17e-05 AD=6.8115e-11 AS=6.0945e-11
+ PD=7.36e-05 PS=7.34e-05 NRD=0.00592748 NRS=0.00592748
mX34_M34 X34_10 X34_9 VREF VDD MODP L=3.6e-06 W=7.17e-05 AD=3.585e-11
+ AS=6.0945e-11 PD=1e-06 PS=7.34e-05 NRD=0.00592748 NRS=0.00592748
mX34_M35 VREF X34_9 X34_10 VDD MODP L=3.6e-06 W=7.17e-05 AD=6.0945e-11
+ AS=3.585e-11 PD=7.34e-05 PS=1e-06 NRD=0.00592748 NRS=0.00592748
mX34_M36 X34_10 1 VDD VDD MODP L=1e-05 W=7.17e-05 AD=6.0945e-11 AS=6.8115e-11
+ PD=7.34e-05 PS=7.36e-05 NRD=0.00592748 NRS=0.00592748
mX34_M37 VDD 1 X34_12 VDD MODP L=1e-05 W=2.96e-05 AD=2.812e-11 AS=2.516e-11
+ PD=3.15e-05 PS=3.13e-05 NRD=0.0143581 NRS=0.0143581
mX34_M38 VREF X34_9 X34_10 VDD MODP L=3.6e-06 W=7.17e-05 AD=6.0945e-11
+ AS=6.0945e-11 PD=7.34e-05 PS=7.34e-05 NRD=0.00592748 NRS=0.00592748
mX34_M39 VDD 1 X34_10 VDD MODP L=1e-05 W=7.17e-05 AD=6.8115e-11 AS=6.0945e-11
+ PD=7.36e-05 PS=7.34e-05 NRD=0.00592748 NRS=0.00592748
mX34_M40 X34_2 X34_9 X34_VREF VDD MODP L=3.6e-06 W=7.17e-05 AD=6.0945e-11
+ AS=6.0945e-11 PD=7.34e-05 PS=7.34e-05 NRD=0.00592748 NRS=0.00592748
mX34_M41 X34_VREF 1 VDD VDD MODP L=1e-05 W=7.17e-05 AD=6.0945e-11
+ AS=6.8115e-11 PD=7.34e-05 PS=7.36e-05 NRD=0.00592748 NRS=0.00592748
mX34_M42 VDD X34_9 X34_9 VDD MODP L=2e-05 W=1e-06 AD=9.5e-13 AS=8.5e-13
+ PD=2.9e-06 PS=2.7e-06 NRD=0.425 NRS=0.425
mX35_M0 13 6 VSS VSS MODN L=3.5e-07 W=8e-07 AD=7.4e-13 AS=7.4e-13 PD=2.65e-06
+ PS=2.65e-06 NRD=0.53125 NRS=0.53125
mX35_M1 13 6 VDD VDD MODP L=3.5e-07 W=1.6e-06 AD=1.48e-12 AS=1.48e-12
+ PD=3.45e-06 PS=3.45e-06 NRD=0.265625 NRS=0.265625
mX36_M0 10 IN[2] VSS VSS MODN L=3.5e-07 W=8e-07 AD=7.4e-13 AS=7.4e-13
+ PD=2.65e-06 PS=2.65e-06 NRD=0.53125 NRS=0.53125
mX36_M1 10 IN[2] VDD VDD MODP L=3.5e-07 W=1.6e-06 AD=1.48e-12 AS=1.48e-12
+ PD=3.45e-06 PS=3.45e-06 NRD=0.265625 NRS=0.265625
mX37_M0 17 11 VSS VSS MODN L=3.5e-07 W=8e-07 AD=7.4e-13 AS=7.4e-13 PD=2.65e-06
+ PS=2.65e-06 NRD=0.53125 NRS=0.53125
mX37_M1 17 11 VDD VDD MODP L=3.5e-07 W=1.6e-06 AD=1.48e-12 AS=1.48e-12
+ PD=3.45e-06 PS=3.45e-06 NRD=0.265625 NRS=0.265625
mX38_M0 X38_4 IN[1] VSS VSS MODN L=3.5e-07 W=2e-06 AD=1e-12 AS=1.7e-12
+ PD=1e-06 PS=3.7e-06 NRD=0.2125 NRS=0.2125
mX38_M1 6 IN[2] X38_4 VSS MODN L=3.5e-07 W=2e-06 AD=1.7e-12 AS=1e-12
+ PD=3.7e-06 PS=1e-06 NRD=0.2125 NRS=0.2125
mX38_M2 6 IN[1] VDD VDD MODP L=3.5e-07 W=1.6e-06 AD=8e-13 AS=1.36e-12 PD=1e-06
+ PS=3.3e-06 NRD=0.265625 NRS=0.265625
mX38_M3 VDD IN[2] 6 VDD MODP L=3.5e-07 W=1.6e-06 AD=1.36e-12 AS=8e-13
+ PD=3.3e-06 PS=1e-06 NRD=0.265625 NRS=0.265625
mX39_M0 X39_4 IN[0] VSS VSS MODN L=3.5e-07 W=2e-06 AD=1e-12 AS=1.7e-12


+ PD=1e-06 PS=3.7e-06 NRD=0.2125 NRS=0.2125
mX39_M1 8 13 X39_4 VSS MODN L=3.5e-07 W=2e-06 AD=1.7e-12 AS=1e-12 PD=3.7e-06
+ PS=1e-06 NRD=0.2125 NRS=0.2125
mX39_M2 8 IN[0] VDD VDD MODP L=3.5e-07 W=1.6e-06 AD=8e-13 AS=1.36e-12 PD=1e-06
+ PS=3.3e-06 NRD=0.265625 NRS=0.265625
mX39_M3 VDD 13 8 VDD MODP L=3.5e-07 W=1.6e-06 AD=1.36e-12 AS=8e-13 PD=3.3e-06
+ PS=1e-06 NRD=0.265625 NRS=0.265625
mX40_M0 9 IN[0] VSS VSS MODN L=3.5e-07 W=1e-06 AD=5e-13 AS=8.5e-13 PD=1e-06
+ PS=2.7e-06 NRD=0.425 NRS=0.425
mX40_M1 VSS 17 9 VSS MODN L=3.5e-07 W=1e-06 AD=8.5e-13 AS=5e-13 PD=2.7e-06
+ PS=1e-06 NRD=0.425 NRS=0.425
mX40_M2 X40_4 IN[0] VDD VDD MODP L=3.5e-07 W=3.2e-06 AD=1.6e-12 AS=2.72e-12
+ PD=1e-06 PS=4.9e-06 NRD=0.132812 NRS=0.132812
mX40_M3 9 17 X40_4 VDD MODP L=3.5e-07 W=3.2e-06 AD=2.72e-12 AS=1.6e-12
+ PD=4.9e-06 PS=1e-06 NRD=0.132812 NRS=0.132812
mX41_M0 11 IN[1] VSS VSS MODN L=3.5e-07 W=1e-06 AD=5e-13 AS=8.5e-13 PD=1e-06
+ PS=2.7e-06 NRD=0.425 NRS=0.425
mX41_M1 VSS IN[2] 11 VSS MODN L=3.5e-07 W=1e-06 AD=8.5e-13 AS=5e-13 PD=2.7e-06
+ PS=1e-06 NRD=0.425 NRS=0.425
mX41_M2 X41_4 IN[1] VDD VDD MODP L=3.5e-07 W=3.2e-06 AD=1.6e-12 AS=2.72e-12
+ PD=1e-06 PS=4.9e-06 NRD=0.132812 NRS=0.132812
mX41_M3 11 IN[2] X41_4 VDD MODP L=3.5e-07 W=3.2e-06 AD=2.72e-12 AS=1.6e-12
+ PD=4.9e-06 PS=1e-06 NRD=0.132812 NRS=0.132812
c_27 1 0 164.133f
c_58 2 0 97.9594f
c_85 VREF 0 133.218f
c_116 VSS 0 807.493f
c_137 5 0 1.36974f
c_156 6 0 1.20573f
c_172 7 0 0.501717f
c_188 8 0 0.397206f
c_209 9 0 1.3998f
c_226 10 0 0.755318f
c_244 11 0 2.76092f
c_278 VDD 0 4.97726p
c_289 13 0 2.11854f
c_306 IN[2] 0 5.34298f
c_325 IN[0] 0 3.07813f
c_344 IN[1] 0 3.18602f
c_353 17 0 0.841612f
c_357 18 0 1.21573f
c_363 19 0 0.403541f
c_369 20 0 0.0465307f
c_376 21 0 0.0284875f
c_383 22 0 0.0246319f
c_388 23 0 0.051056f
c_432 29 0 0.179987f
c_448 X34_2 0 18.6009f
c_461 X34_4 0 76.4875f
c_467 X34_5 0 6.30169f
c_477 X34_6 0 3.00773f
c_487 X34_9 0 4.39716f
c_497 X34_10 0 21.2956f
c_504 X34_12 0 181.826f
c_514 X34_VREF 0 49.0466f

.include "complete.Layout3.pex.netlist.COMPLETE.LAYOUT3.pxi"
.ends
************************************************
X_COMPLETE VREF VDD VSS VDD VSS VSS COMPLETE
************************************************


VD VDD 0 1
VS VSS 0 0
************************************************
* Teste de start-up
.ic V(X_COMPLETE.19)=0
.ic V(X_COMPLETE.18)=1
.ic V(X_COMPLETE.1)=1
.ic V(X_COMPLETE.2)=0
************************************************
* Anlise de Monte Carlo
.MC 1000 OUTER NBBINS=20 PPTC
.probe DC V(VREF) I(VD)
************************************************
* Medio do Coeficiente de Temperatura
.alter
.DC temp -20 100 1
.meas DC PPTC PP V(VREF) from=-10 to=90
.extract LABEL=PICOMIN MIN(MEAS(PPTC))
************************************************
* Medio da Regulao de linha
.alter
.DC VD 0.00V 3.00V 0.01V
.meas DC PPTC PP V(VREF) from=1.0 to=2.5
************************************************
* Medio do tempo de start-up
*.alter 3
*.tran 1n 2 0
*.probe tran V(VREF)
.end
Arquivo de capacitncias parasitas do arquivo extrado do
layout
* File: complete.Layout3.pex.netlist.COMPLETE.LAYOUT3.pxi
* Created: Tue Jan 28 17:12:49 2014
*
cc_1 2 1 25.2165f
cc_2 VREF 1 984.586f
cc_3 VSS 1 90.8679f
cc_4 5 1 0.248642f
cc_5 6 1 1.20957f
cc_6 7 1 1.8492f
cc_7 8 1 0.406886f
cc_8 9 1 0.350093f
cc_9 10 1 0.200204f
cc_10 11 1 0.305291f
cc_11 VDD 1 738.986f
cc_12 18 1 0.463885f
cc_13 19 1 0.133067f
cc_14 20 1 7.91897e-22
cc_15 24 1 0.0360577f
cc_16 25 1 0.0347448f
cc_17 26 1 0.0347371f
cc_18 27 1 0.0347371f
cc_19 X34_2 1 70.9574f
cc_20 X34_4 1 42.5979f
cc_21 X34_5 1 28.983f
cc_22 X34_6 1 207.845f
cc_23 X34_9 1 4.49736f
cc_24 X34_10 1 263.978f
cc_25 X34_12 1 103.043f


cc_26 X34_VREF 1 149.461f
cc_27 VREF 2 66.1307f
cc_28 VSS 2 143.53f
cc_29 5 2 3.07428f
cc_30 6 2 0.953466f
cc_31 7 2 0.718671f
cc_32 8 2 1.69974f
cc_33 9 2 2.7234f
cc_34 10 2 2.52463f
cc_35 11 2 3.33135f
cc_36 VDD 2 234.167f
cc_37 IN[1] 2 0.0202585f
cc_38 17 2 0.0126947f
cc_39 19 2 0.254688f
cc_40 20 2 0.175382f
cc_41 21 2 0.148115f
cc_42 22 2 0.143106f
cc_43 23 2 0.168576f
cc_44 24 2 0.159189f
cc_45 25 2 0.135653f
cc_46 26 2 0.119107f
cc_47 27 2 0.0837311f
cc_48 X34_2 2 4.91209f
cc_49 X34_4 2 467.227f
cc_50 X34_5 2 111.169f
cc_51 X34_6 2 23.1348f
cc_52 X34_9 2 55.1173f
cc_53 X34_10 2 30.925f
cc_54 X34_12 2 29.2297f
cc_55 X34_VREF 2 36.6335f
cc_56 VSS VREF 8.53872f
cc_57 5 VREF 0.228229f
cc_58 6 VREF 0.0830517f
cc_59 7 VREF 0.0830336f
cc_60 8 VREF 0.0922428f
cc_61 9 VREF 0.278082f
cc_62 10 VREF 0.690991f
cc_63 11 VREF 0.266078f
cc_64 VDD VREF 1.24524p
cc_65 19 VREF 0.648871f
cc_66 20 VREF 0.27099f
cc_67 21 VREF 0.268195f
cc_68 22 VREF 0.25866f
cc_69 23 VREF 0.23266f
cc_70 24 VREF 0.312681f
cc_71 25 VREF 0.309885f
cc_72 26 VREF 0.306951f
cc_73 27 VREF 0.261909f
cc_74 X34_2 VREF 52.004f
cc_75 X34_4 VREF 21.1342f
cc_76 X34_6 VREF 34.686f
cc_77 X34_9 VREF 134.162f
cc_78 X34_10 VREF 70.208f
cc_79 X34_VREF VREF 18.7638f
cc_80 5 VSS 1.93859f
cc_81 6 VSS 1.22079f
cc_82 7 VSS 0.0758939f
cc_83 8 VSS 0.315561f
cc_84 9 VSS 0.365713f
cc_85 10 VSS 0.183525f
cc_86 11 VSS 0.906851f


cc_87 VDD VSS 1.04878p
cc_88 13 VSS 1.42704f
cc_89 IN[2] VSS 2.97756f
cc_90 IN[0] VSS 2.46016f
cc_91 IN[1] VSS 0.78698f
cc_92 17 VSS 0.0884246f
cc_93 18 VSS 63.2462f
cc_94 19 VSS 59.4591f
cc_95 21 VSS 3.03754e-19
cc_96 22 VSS 0.00477404f
cc_97 25 VSS 3.03754e-19
cc_98 26 VSS 0.00477404f
cc_99 29 VSS 0.229415f
cc_100 30 VSS 0.0262023f
cc_101 X34_2 VSS 5.76009f
cc_102 X34_4 VSS 224.903f
cc_103 X34_5 VSS 122.616f
cc_104 X34_12 VSS 19.6856f
cc_105 X38_4 VSS 0.131137f
cc_106 X39_4 VSS 0.131137f
cc_107 6 5 0.256187f
cc_108 7 5 0.309695f
cc_109 8 5 0.0158683f
cc_110 9 5 0.216715f
cc_111 10 5 1.89516f
cc_112 11 5 0.146123f
cc_113 VDD 5 1.09244f
cc_114 13 5 0.00103758f
cc_115 IN[2] 5 0.48667f
cc_116 IN[0] 5 0.632054f
cc_117 IN[1] 5 0.0712687f
cc_118 22 5 0.141577f
cc_119 23 5 0.0153811f
cc_120 26 5 0.0493254f
cc_121 28 5 0.239652f
cc_122 30 5 0.0234891f
cc_123 7 6 0.00553528f
cc_124 8 6 0.329994f
cc_125 9 6 0.00237641f
cc_126 10 6 0.355194f
cc_127 11 6 1.21508f
cc_128 VDD 6 3.72879f
cc_129 13 6 0.629472f
cc_130 IN[2] 6 0.347209f
cc_131 IN[0] 6 0.00788375f
cc_132 IN[1] 6 0.293165f
cc_133 25 6 0.0911339f
cc_134 26 6 0.0153811f
cc_135 X38_4 6 0.0493691f
cc_136 9 7 1.01302f
cc_137 VDD 7 1.64254f
cc_138 IN[2] 7 0.444431f
cc_139 IN[0] 7 0.191948f
cc_140 IN[1] 7 0.421752f
cc_141 24 7 0.0911262f
cc_142 25 7 0.0153811f
cc_143 28 7 0.0626098f
cc_144 29 7 0.0808403f
cc_145 10 8 1.50733f
cc_146 VDD 8 1.71055f
cc_147 13 8 0.37139f


cc_148 IN[2] 8 0.0449326f
cc_149 IN[0] 8 0.0727764f
cc_150 IN[1] 8 0.278547f
cc_151 26 8 0.0665591f
cc_152 27 8 0.00751924f
cc_153 X39_4 8 0.0493691f
cc_154 11 9 0.00336097f
cc_155 VDD 9 0.758393f
cc_156 IN[2] 9 0.343024f
cc_157 IN[0] 9 0.376037f
cc_158 IN[1] 9 0.238916f
cc_159 17 9 0.312486f
cc_160 20 9 0.03243f
cc_161 21 9 5.48914e-19
cc_162 24 9 7.2517e-19
cc_163 25 9 0.00204806f
cc_164 29 9 0.141572f
cc_165 30 9 0.00965158f
cc_166 X40_4 9 0.218635f
cc_167 11 10 0.0950998f
cc_168 VDD 10 1.14848f
cc_169 13 10 0.167198f
cc_170 IN[2] 10 0.496931f
cc_171 IN[0] 10 0.213158f
cc_172 IN[1] 10 0.167288f
cc_173 22 10 0.033319f
cc_174 23 10 0.14188f
cc_175 26 10 0.0397654f
cc_176 VDD 11 2.2281f
cc_177 IN[2] 11 1.97915f
cc_178 IN[0] 11 0.676219f
cc_179 IN[1] 11 0.582813f
cc_180 17 11 0.42092f
cc_181 21 11 0.13926f
cc_182 22 11 0.0153811f
cc_183 25 11 0.053165f
cc_184 X41_4 11 0.219915f
cc_185 13 VDD 1.20251f
cc_186 IN[2] VDD 4.51313f
cc_187 IN[0] VDD 3.42732f
cc_188 IN[1] VDD 8.29947f
cc_189 17 VDD 2.84208f
cc_190 18 VDD 1.66871f
cc_191 19 VDD 1.39902f
cc_192 24 VDD 0.0336045f
cc_193 25 VDD 0.0246619f
cc_194 26 VDD 0.0313488f
cc_195 27 VDD 0.433561f
cc_196 28 VDD 0.867848f
cc_197 31 VDD 0.0272217f
cc_198 X34_2 VDD 183.015f
cc_199 X34_4 VDD 863.984f
cc_200 X34_6 VDD 150.585f
cc_201 X34_9 VDD 351.239f
cc_202 X34_10 VDD 253.336f
cc_203 X34_12 VDD 2.24101f
cc_204 X34_VREF VDD 330.239f
cc_205 X40_4 VDD 0.273338f
cc_206 X41_4 VDD 0.292444f
cc_207 IN[2] 13 0.503238f
cc_208 IN[0] 13 0.162243f


cc_209 IN[1] 13 0.0523368f
cc_210 X39_4 13 0.215223f
cc_211 IN[0] IN[2] 2.02468f
cc_212 IN[1] IN[2] 1.12315f
cc_213 28 IN[2] 0.0456998f
cc_214 29 IN[2] 0.239013f
cc_215 X38_4 IN[2] 0.215223f
cc_216 X41_4 IN[2] 0.0406632f
cc_217 IN[1] IN[0] 1.09761f
cc_218 17 IN[0] 0.365516f
cc_219 28 IN[0] 0.188029f
cc_220 29 IN[0] 0.284862f
cc_221 30 IN[0] 0.0064165f
cc_222 X39_4 IN[0] 0.00540355f
cc_223 X40_4 IN[0] 0.02393f
cc_224 17 IN[1] 0.0143114f
cc_225 28 IN[1] 0.618631f
cc_226 29 IN[1] 0.160311f
cc_227 X38_4 IN[1] 0.00540355f
cc_228 X41_4 IN[1] 0.0252103f
cc_229 X40_4 17 0.382115f
cc_230 21 20 0.00684172f
cc_231 27 26 0.00380096f
cc_232 X34_4 X34_2 15.5427f
cc_233 X34_6 X34_2 28.0132f
cc_234 X34_9 X34_2 58.7969f
cc_235 X34_10 X34_2 8.89221f
cc_236 X34_VREF X34_2 22.7469f
cc_237 X34_5 X34_4 52.348f
cc_238 X34_6 X34_4 13.3453f
cc_239 X34_9 X34_4 1.68471f
cc_240 X34_10 X34_4 1.40246f
cc_241 X34_12 X34_4 14.7118f
cc_242 X34_VREF X34_4 1.0986f
cc_243 X34_12 X34_5 70.8332f
cc_244 X34_9 X34_6 110.742f
cc_245 X34_10 X34_6 38.7317f
cc_246 X34_VREF X34_6 16.5401f
cc_247 X34_10 X34_9 109.441f
cc_248 X34_VREF X34_9 82.5013f
cc_249 X34_VREF X34_10 47.0431f






Apndice C
Arquivo VHDL do bloco digital
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY Thermometer_Code IS
GENERIC (
BITS : in natural := 3);
PORT ( d : IN STD_LOGIC_VECTOR(BITS-1 downto 0));
X : OUT STD_LOGIC_VECTOR(2**BITS-1 downto 0);

END ENTITY Thermometer_Code;

ARCHITECTURE behavior OF Thermometer_Code IS
BEGIN

PROCESS(d)

BEGIN
CASE (d) IS
When "000"=> X(2**BITS-1 downto 0) <= "00000000";
When "001"=> X(2**BITS-1 downto 0) <= "00000001";
When "010"=> X(2**BITS-1 downto 0) <= "00000011";
When "011"=> X(2**BITS-1 downto 0) <= "00000111";
When "100"=> X(2**BITS-1 downto 0) <= "00001111";
When "101"=> X(2**BITS-1 downto 0) <= "00011111";
When "110"=> X(2**BITS-1 downto 0) <= "00111111";
When "111"=> X(2**BITS-1 downto 0) <= "01111111";
-- X<=std_logic_vector(2**unsigned(d)-1);
END CASE;

END PROCESS;
END ARCHITECTURE behavior





Apndice D
Arquivo de fitness do algoritmo metaheuristico
function [sc,sci] = fitness(x)
%% Dados da especificao do projeto
global V_alvo ;
global Vmin;
global Vmax;
global Tmin;
global Tmax;
global precisao;
global genesLB;
global dif
global RL;
global TC;
global circuito
global pesos;
global pPot;
%% Gerando arquivo que ser executado pelo simulador
xr = x.*dif+genesLB;
ito '/param'],'w');
param_mod(arq, xr);
fclose(arq);

%% Removendo arquivos antigos gerados pelo simulador
if(exist('log.out','file'))
!rm log.out
end
if(exist([circuito '/ELDO.OUT'],'file'))
[~,~] = unix(['rm ' circuito '/ELDO.OUT']);
end
%% Executando arquivo pelo simulador
[~,~] = unix(['eldo -b -nocou -nochi -nojwdb -jwdb_nocomplex -l log.out -i ' circuito
'/circuito &']);
% Garantindo que a simulao encerrou
a=0;
while (a==0 || (exist([circuito '/ELDO.OUT'],'file')==0))
[a,~] = system('ps -a | grep eldo');
end
%% Obtendo dados do simulador
Meas = file2table4([circuito
'/ELDO.OUT'],'M1_DELTAT','M2_VREF','M3_DELTAV','M4_AVGV','M5_CUR');
%% Verifica se o simulador conseguiu gerar os dados
if length(Meas) >= (5)
sc = inf('double');
sci = [inf('double') inf('double') inf('double') inf('double') inf('double')
inf('double')];
fprintf('Score=%.3g \n\n',sc);
return;
end
%% Calculando contribuio do Coeficiente de Temperatura
deltaT = Tmax - Tmin;
if (Meas(2) <= 0)
FTC = Inf('double');
else
TCm = (Meas(1)/Meas(2))*(1/deltaT)*(1e6);
FTC = (TCm-TC)/TC;
if(TCm < TC)


FTC = 0;
end
end
%% Calculando contribuio da Tenso de Sada
if (Meas(2) <= 0)
FVref = Inf('double');
else FVref = abs((Meas(2)-V_alvo)/Meas(2));
if (FVref <= precisao)
FVref = 0;
end;
end

%% Calculando contribuio da Regulao de Linha
deltaV = Vmax - Vmin;
if (Meas(3) <= 0)
FRL = Inf('double');
else
RLm = (abs(Meas(3))/deltaV)*(1/Meas(4))*1e6;
FRL = (RLm-RL)/RL;
if (RLm < RL)
FRL = 0;
end
end
%% Calculando contribuio da Potncia Consumida
Fpot= -1.0e6*Meas(5)*(Vmax+Vmin)/(pPot*2);

%% Calculando contribuio da Area
Area =
(4*(xr(1)*xr(7))+(xr(1)*xr(8))+3*(xr(2)*xr(7))+(xr(3)*xr(9))+2*(xr(4)*xr(10))+(xr(4)*xr(1
1))+(xr(5)*xr(10))+(xr(6)*xr(12))+4*(xr(13)+xr(14)+xr(15)));
AreaRel = Area/1.8464e+04;

%% Calculando Score
sci = [FRL,FTC,FVref,Fpot,Weakin,AreaRel];
sc = ( pesos(1)*FRL + pesos(2)*FTC + pesos(3)*FVref + pesos(4)*Fpot + pesos(5)*Weakin +
pesos(6)*AreaRel)^2;
end
Arquivo da funo param_mod usada pela fitness
function param_mod(arq,x)
%% Dados da especificao do projeto
global modelo;
global Vmin;
global Vmax;
global Tmin;
global Tmax;
global const;
global nvars;
global nconst;
global V_alvo;
%% Escreve o modelo usado
fprintf(arq, '.include %s\r\n', modelo);
%% Escreve as dimenses dos parmetros
fprintf(arq,'.Param ');
for i = 1:nvars
if (mod(i, 7) == 0) fprintf(arq,'\r\n.Param ');
end
fprintf(arq,'X%i= %1.3f ', i, x(i));
end;
fprintf(arq,'\r\n');
%% Escreve as constantes


fprintf(arq,'.Param ');
for i = 1:nconst
if (mod(i, 7) == 0) fprintf(arq,'\r\n.Param ');
end
fprintf(arq,'M%i= %1.2f ', i, const(i));
end;
fprintf(arq,'\r\n');

%% Escreve os comandos de medio
fprintf(arq,'.alter\r\n');

% Medio do Coeficiente de Temperatura
converg = (Tmax-Tmin)/10;
fprintf(arq, '.DC TEMP %1.1f %1.1f %1.1f\r\n', Tmin-converg, Tmax+converg,
(Tmax+converg - Tmin-converg)/100);
fprintf(arq, '.meas DC PPTC PP V(s) from=%1.1f to=%1.1f\r\n', Tmin, Tmax);
fprintf(arq, '.meas DC AVGTC AVG V(s) from=%1.1f to=%1.1f\r\n', Tmin, Tmax);
fprintf(arq, '.extract DC LABEL=m1_deltaT FILE=Eldo.out MEAS(PPTC)\r\n');
fprintf(arq, '.extract DC LABEL=m2_Vref FILE=Eldo.out MEAS(AVGTC)\r\n');
% Medio da Regulao de Linha
fprintf(arq,'.alter\r\n');
converg = (Vmax-Vmin)/10;
fprintf(arq, '.DC Vdd %1.2fV %1.2fV %1.2fV\r\n', Vmin-converg, Vmax+converg,
(Vmax+converg - Vmin-converg)/100);
fprintf(arq, '.meas DC PPRL PP V(s) from=%1.2f to=%1.2f\r\n', Vmin,Vmax);
fprintf(arq, '.meas DC AVGRL AVG V(s) from=%1.2f to=%1.2f\r\n', Vmin,Vmax);
fprintf(arq, '.extract DC LABEL=m3_deltaV FILE=Eldo.out MEAS(PPRL)\r\n');
fprintf(arq, '.extract DC LABEL=m4_avgV FILE=Eldo.out MEAS(AVGRL)\r\n');
% Medio da Potncia Consumida
fprintf(arq, '.extract DC LABEL=m5_cur FILE=Eldo.out yval(I(Vdd),%1.2f)\r\n',
(Vmax+Vmin)/2);

end
Arquivo da funo file2table4 usada pela fitness
function [IM] = file2table4(file,varargin)
%% Adquire todas as palavras do arquivo
f = fopen(file,'r');
words = fscanf(f,'%c');
fclose(f);
%% Procura pelo arquivo os dados passados como parmetro
IM= [];
if (nargin<=1) % Se no for especificado os parmetros, retorna todos
% Procura por todos os valores
values = regexp(words,'-?[0-9]*\.[0-9]*E[\+|-][0-9]*','match');
IM = zeros(1,length(values));
% Retorna um vetor com todos os valores encontrados
for i=1:length(values)
IM(i) = str2num(values{i});
end
else
j=0;
for i=1:nargin-1 % Para cada um dos parmetros
% Procura pelo parmetro e seu valor
values = regexp(words,['*' varargin{i} '\s*=\s*-?[0-9]*\.[0-9]*E[\+|-][0-
9]*'],'match');
if length(values) > 0
% Extrai o valor do parmetro
value= regexp(values{1},['-?[0-9]*\.[0-9]*E[\+|-][0-9]*'],'match');
if length(value) > 0
% Adiciona o valor ao vetor de sada


j=j+1;
IM(j)= str2num(value{1});
end
end
end
end
end


Apndice E
Arquivo da funo porfunc usada para gerar os grficos de
porcentagem de circuitos vlidos contra coeficiente de
temperatura
% Datasize a quantidade de amostras de Monte Carlo utilizada
% Em varargin, listado os endereos dos arquivos gerados pelo simulador
function y = porfunc(datasize,varargin)
for j=1:(nargin-1) % Para cada um dos arquivos de entrada
f = fopen(varargin{j},'r');
i=1;
while i<datasize
textscan(f,['<SaveWaveformDatabase/%s/EXT>PPTC_alter:1_%d']);
% Adquire os dados X e Y do arquivo
PPTCdata = textscan(f,'%f %f');
if(i==1)
xTC = PPTCdata{1}';
yTC = PPTCdata{2}';
if(j==1)
% Adquire os valores da abscissa de um dos arquivos para
% criar o dado "sem bloco TCA"
index0bit = (size(PPTCdata{2}',2))/2;
end
else
% Corrige possiveis defeitos do arquivo
if (size(PPTCdata{2}',2)~=size(yTC,2))
fprintf('%d corrigido\n',i);
aux = zeros(1,size(yTC,2))-1;
aux(int16(PPTCdata{1})+1) = PPTCdata{2}';
for ip = 1:length(aux)
if(aux(ip)==-1)
aux(ip) = (aux(ip-1)+aux(ip+1))/2;
end
end
yTC = [yTC;aux];
else
yTC = [yTC;PPTCdata{2}'];
end
end
i =i+1;
end
fclose(f);

% Calcula o Coeficiente de temperatura
yTC = yTC*1e6/50;

% Rejeita curvas com erros de convergncia
picominPPTC(:,j) = sort(min(yTC,[],2));
ninvalid(j) = sum(picominPPTC(:,j)<2);

% Cria o dado "sem bloco TCA"
if(j==1)
picomin0bit = sort(yTC(:,index0bit));
ninvalid0 = sum(picomin0bit<2);
end


end

% Prepara as legendas
strArray = java_array('java.lang.String', nargin);
strArray(1) = java.lang.String('0BIT');
for j=2:(nargin)
strArray(j) = java.lang.String(varargin{j-1}(1:end-4));
end
cellArray = cell(strArray);

% Possveis marcadores e cores para as curvas
plotColor = ['g' 'b' 'r' 'k' 'c' 'm'];
plotLineStyle = ['-' ':' '-.' '--' '' '-'];

% Desenha as curvas
hold on;
plot(picomin0bit(ninvalid0:end),[1:size(picomin0bit(ninvalid0:end))]*100./size(picomin0bi
t(ninvalid0:end),1),'Color', [rand rand rand]);
for j=1:(nargin-1)

plot(picominPPTC(ninvalid(j):end,j),[1:size(picominPPTC(ninvalid(j):end,j))]*100./size(pi
cominPPTC(ninvalid(j):end,j),1),'Color', plotColor(j));

end
legend(cellArray,'Location','southeast');
hold off;
grid minor;
end
Arquivo da funo posproc usada para gerar os histogramas
% Datasize a quantidade de amostras de Monte Carlo utilizada
% FILE o endereo do arquivo a ser analisado
function [xTC,yTC,xVs,yVs,V] = posproc(datasize,FILE)
f = fopen(FILE,'r');
i=1;
while i<datasize
textscan(f,['<' FILE(1:end-4 ) '/.runs/run_%d/EXT>AVGVS_alter:1']);
% Adquire os dados X e Y do arquivo
AVGdata = textscan(f,'%f %f');
textscan(f,['<' FILE(1:end-4 ) '/.runs/run_%d/EXT>PPTC_alter:1']);
% Adquire os dados X e Y do arquivo
PPTCdata = textscan(f,'%f %f');
if(i==1)
xTC = PPTCdata{1}';
yTC = PPTCdata{2}'
xVs = AVGdata{1}';
yVs = AVGdata{2}';
else
yTC = [yTC;PPTCdata{2}'];
yVs = [yVs;AVGdata{2}'];

end
i =i+1;
end
fclose(f);

% Calcula o Coeficiente de Temperatura
yTC = yTC*1e6/50;

% Rejeita curvas com erros de convergncia
picominPPTC = min(yTC,[],2);


picominAVG = min(yVs,[],2);
picomaxPPTC = max(yTC,[],2);
picomaxAVG = max(yVs,[],2);
validPPTC = (picominPPTC>0.9 & picomaxPPTC<1000);
validAVG = 1+0*(picominAVG>0.1 & picomaxAVG<10);
yTC = yTC(validPPTC & validAVG,:);
yVs = yVs(validPPTC & validAVG,:);

% Desenha as curvas de Coeficiente de Temperatura
figure
plot(xTC,yTC);
figure
plot(xVs,yVs);
min(yTC,[],2);
[~,picomin]= min(yTC,[],2);

TC= zeros(1,length(picomin));
for i=1:length(picomin);
TC(i) = yTC(i,picomin(i));
end

% Desenha os histogramas
figure;
hist(TC,50);
title([FILE '(TC)-' ' Media:' num2str(mean(TC)) ' Desvio Padrao:' num2str(std(TC))]);

for i=1:size(picomin);
V(i) = yVs(i,picomin(i));
end
figure
hist(V,50);
title([FILE '(Vs)-' ' Media:' num2str(mean(V)) ' Desvio Padrao:' num2str(std(V))]);
end
Arquivo da funo posprocP usada para testar o mtodo
direto e o simplificado
% Datasize a quantidade de amostras de Monte Carlo utilizada
% FILE o endereo do arquivo a ser analisado
function posprocP(FILE,datasize)
f = fopen(FILE,'r');
i=1;
while i<datasize
% Adquire os dados X e Y do arquivo (mtodo direto)
textscan(f,['<',FILE(1:end-4),'/.runs/run_%d/EXT>PPTC']);
PPTC = textscan(f,'%f %f');
% Adquire os dados X e Y do arquivo (Metodo simplificado)
textscan(f,['<',FILE(1:end-4),'/.runs/run_%d/EXT>PPTCPREV']);
PPTCprev = textscan(f,'%f %f');

if(i==1)
xTCprev = PPTCprev{1}';
yTCprev = PPTCprev{2}';
xTC = PPTC{1}';
yTC = PPTC{2}';
else
yTCprev = [yTCprev;PPTCprev{2}'];
yTC = [yTC;PPTC{2}'];

end
i =i+1;


end
fclose(f);
% Calcula o Coeficiente de Temperatura
yTC = (yTC*1e6/50);
yTCprev = (yTCprev*1e6/50);

% Rejeita curvas com erros de convergncia
picominPPTCprev = min(yTCprev,[],2);
picominPPTC = min(yTC,[],2);
picomaxPPTCprev = max(yTCprev,[],2);
picomaxPPTC = max(yTC,[],2);
validPPTCprev = (picominPPTCprev>2 & picomaxPPTCprev<1000);
validPPTC = (picominPPTC>2 & picomaxPPTC<1000);
yTCprev = yTCprev(validPPTCprev & validPPTC,:);
yTC = yTC(validPPTCprev & validPPTC,:);

% Adquire o Coeficiente de Temperatura escolhido pelo mtodo simplificado
[~,picominprev]= min(yTCprev,[],2);
[~,picomin]= min(yTC,[],2);
picominprev = (picominprev);
picomin = (picomin);
for i=1:length(picominprev);
TCprev(i) = yTC(i,picominprev(i));
end

for i=1:length(picomin);
TC(i) = yTC(i,picomin(i));
end
TC = sort(TC);
TCprev = sort(TCprev);

hold on;
% Desenha as curvas
plot(TC,[1:size(TC,2)]*100./size(TC,2),'b');
plot(TCprev,[1:size(TCprev,2)]*100./size(TCprev,2),'r');
hold off;



Anexo A
Este modelos usam o arquivo profile.opt do Anexo E.
Modelo tpico do resistor RPOLYH
.MODEL RPOLYH RES MODTYPE=ELDO
*-----------------------------------------------------------------------
* Owner: austriamicrosystems
* HIT-Kit: Digital
* *********************** SIMULATION PARAMETERS ************************
* ----------------------------------------------------------------------
* format : SPECTRE (SPECTRE DIRECT)
* model : RESISTOR
* process : C35[A-B][3-4][A-C][2-3]
* revision : 5.0;
* extracted : C35LVTH matching testchip; 2007-01; wpf (4518)
* doc# : ENG-182
* ----------------------------------------------------------------------
* TYPICAL MEAN CONDITION
* ----------------------------------------------------------------------
* VARIABLES: W,L = device width and length [m]
*
.SUBCKT RPOLYH N1 N2 PARAM: W=1 L=1
R1 N1 N2 RPOLYH VALUE={(1.204e+03*(L-(0))/(W-(2.022e-07)))*(1+7.745e-05*(-7.468e-
4)*W**(1.000e+00)*(V(N1,N2)/L)**2*(1/(1+50**((29.8u-L)*1e+06)))*(1/(1+50**(4.8-L/W))))}
+TC1=-7.468e-4 TC2=3.821e-06
.ENDS RPOLYH
* ----------------------------------------------------------------------
Modelo tpico do capacitor CPOLY
.SUBCKT CPOLY N1 N2 PARAM: AREA=0 PERI=0
* ----------------------------------------------------------------------
************************* SIMULATION PARAMETERS ************************
* ----------------------------------------------------------------------
* format : ELDO, AccusimII, Continuum
* model : CAPACITOR
* process : C35[A-B][3-4][B-C][0-3]
* revision : 4.1;
* extracted : B11004.L2 2002-11; hhl(5481)
* doc# : Eng-182
* ----------------------------------------------------------------------
* TYPICAL MEAN CONDITION
* ----------------------------------------------------------------------
* VARIABLES: AREA [m^2], PERI [m].
*
.PARAM CEFF={0.860e-03*AREA+0.086e-09*PERI}
C1 N1 N2 CPOLYCAPMOD {0.860e-03*AREA+0.086e-09*PERI} TC1=3.00e-5
.ENDS CPOLY
Modelo tpico do capacitor CPOLYCAPMOD
.MODEL CPOLYCAPMOD C POLY 1 85e-6
*-----------------------------------------------------------------------
* Owner: austriamicrosystems
* HIT-Kit: Digital
* *********************** SIMULATION PARAMETERS ************************
* ----------------------------------------------------------------------
* format : ELDO


* model : RF CAPACITOR CPOLYRF
* process : C35B4
* revision : 4.1;
* extracted : C35 B23118.XL1 ; 2005-02; kmo
* doc# : Eng-188 REV_5
* ----------------------------------------------------------------------
* ----------------------------------------------------------------------
*
* Syntax: parameters area peri
* ap1 pp1 aw1 pw1
*
* ctot = CA*W*L+CP(2(W+L))
Modelo tpico do NMOS
.MODEL MODN NMOS LEVEL=53 MODTYPE=ELDO
* ----------------------------------------------------------------------
************************* SIMULATION PARAMETERS ************************
* ----------------------------------------------------------------------
* format : ELDO, AccusimII, Continuum
* model : MOS BSIM3v3
* process : C35
* revision : 4.0;
* extracted : B10866 ; 2002-12; ese(5487)
* doc# : ENG-182 REV_6
* ----------------------------------------------------------------------
* TYPICAL MEAN CONDITION
* ----------------------------------------------------------------------
*
+THMLEV =0
* *** Flags ***
+NOIMOD =3 FLKLEV =0
+MOBMOD =1.000e+00 CAPMOD =2.000e+00 VERSION=3.240e+00 NQSMOD =0.000e+00
+DERIV =1
* *** Threshold voltage related model parameters ***
+K1 =5.0296e-01
+K2 =3.3985e-02 K3 =-1.136e+00 K3B =-4.399e-01
+NPEAK =2.611e+17 VTH0 =4.979e-01
+VOFF =-8.925e-02 DVT0 =5.000e+01 DVT1 =1.039e+00
+DVT2 =-8.375e-03 KETA =2.032e-02
+PSCBE1 =1.000e+30 PSCBE2 =1.000e-06
+DVT0W =1.089e-01 DVT1W =6.671e+04 DVT2W =-1.352e-02
* *** Mobility related model parameters ***
+UA =4.705e-12 UB =2.137e-18 UC =1.000e-20
+U0 =4.758e+02
* *** Subthreshold related parameters ***
+DSUB =5.000e-01 ETA0 =1.415e-02 ETAB =-1.221e-01
+NFACTOR=4.136e-01
* *** Saturation related parameters ***
+EM =4.100e+07 PCLM =6.948e-01
+PDIBLC1=3.571e-01 PDIBLC2=2.065e-03 DROUT =5.000e-01
+A0 =2.541e+00 A1 =0.000e+00 A2 =1.000e+00
+PVAG =0.000e+00 VSAT =1.338e+05 AGS =2.408e-01
+B0 =4.301e-09 B1 =0.000e+00 DELTA =1.442e-02
+PDIBLCB=3.222e-01
* *** Geometry modulation related parameters ***
+W0 =2.673e-07 DLC =3.0000e-08
+DWC =9.403e-08 DWB =0.000e+00 DWG =0.000e+00
+LL =0.000e+00 LW =0.000e+00 LWL =0.000e+00
+LLN =1.000e+00 LWN =1.000e+00 WL =0.000e+00
+WW =-1.297e-14 WWL =-9.411e-21 WLN =1.000e+00
+WWN =1.000e+00


* *** Temperature effect parameters ***
+AT =3.300e+04 UTE =-1.800e+00
+KT1 =-3.302e-01 KT2 =2.200e-02 KT1L =0.000e+00
+UA1 =0.000e+00 UB1 =0.000e+00 UC1 =0.000e+00
+PRT =0.000e+00
* *** Overlap capacitance related and dynamic model parameters ***
+CGSO =1.200e-10 CGDO =1.200e-10 CGBO =1.100e-10
+CGDL =1.310e-10 CGSL =1.310e-10 CKAPPA =6.000e-01
+CF =0.000e+00 ELM =5.000e+00
+XPART =1.000e+00 CLC =1.000e-15 CLE =6.000e-01
+NOFF =1.000e+00 VOFFCV =0.000e+00
* *** Parasitic resistance and capacitance related model parameters ***
+RDSW =3.449e+02
+CDSC =0.000e+00 CDSCB =1.500e-03 CDSCD =1.000e-03
+PRWB =-2.416e-01 PRWG =0.000e+00 CIT =4.441e-04
* *** Process and parameters extraction related model parameters ***
+TOX =7.575e-09 NGATE =0.000e+00
+NLX =1.888e-07
+XL =0.000e+00 XW =0.000e+00
* *** Substrate current related model parameters ***
+ALPHA0 =2.600e-06 ALPHA1 =5.000e+00 BETA0 =2.100e+01
* *** Noise effect related model parameters ***
+AF =1.507e+00 KF =2.170e-26 EF =1.000e+00
+NOIA =1.121e+19 NOIB =5.336e+04 NOIC =-5.892e-13
* *** Common extrinsic model parameters ***
+ALEV =2 RLEV =2
+RD =0.000e+00 RS =0.000e+00 RSH =7.000e+01
+RDC =0.000e+00 RSC =0.000e+00 LD =-5.005e-08
+WD =9.403e-08
+LDIF =0.000e+00 HDIF =8.000e-07 WMLT =1.000e+00
+LMLT =1.000e+00 DEL =0.000e+00 XJ =3.000e-07
+DIOLEV =4 JS =5.100e-07 JSW =0.600e-12
+IS =0.000e+00 N =1.000e+00
+DCAPLEV=2 CBD =0.000e+00 CBS =0.000e+00
+CJ =8.400e-04 CJSW =2.500e-10 FC =0.000e+00
+MJ =3.400e-01 MJSW =2.300e-01 TT =0.000e+00
+XTI =2.026e+00 PB =6.900e-01 PBSW =6.900e-01
* ----------------------------------------------------------------------
Modelo tpico do PMOS
.MODEL MODP PMOS LEVEL=53 MODTYPE=ELDO
* ----------------------------------------------------------------------
************************* SIMULATION PARAMETERS ************************
* ----------------------------------------------------------------------
* format : ELDO, AccusimII, Continuum
* model : MOS BSIM3v3
* process : C35
* revision : 4.1;
* extracted : C64685 ; 2002-12; ese(5487)
* doc# : ENG-182 REV_6
* ----------------------------------------------------------------------
* TYPICAL MEAN CONDITION
* ----------------------------------------------------------------------
*
+THMLEV =0
* *** Flags ***
+NOIMOD =3 FLKLEV =0
+MOBMOD =1.000e+00 CAPMOD =2.000e+00 VERSION=3.24e+00 NQSMOD =0.000e+00
+DERIV =1
* *** Threshold voltage related model parameters ***
+K1 =5.9959e-01


+K2 =-6.038e-02 K3 =1.103e+01 K3B =-7.580e-01
+NPEAK =9.240e+16 VTH0 =-6.915e-01
+VOFF =-1.170e-01 DVT0 =1.650e+00 DVT1 =3.868e-01
+DVT2 =1.659e-02 KETA =-1.440e-02
+PSCBE1 =1.000e+30 PSCBE2 =1.000e-06
+DVT0W =1.879e-01 DVT1W =7.335e+04 DVT2W =-6.312e-03
* *** Mobility related model parameters ***
+UA =5.394e-10 UB =1.053e-18 UC =1.000e-20
+U0 =1.482e+02
* *** Subthreshold related parameters ***
+DSUB =5.000e-01 ETA0 =2.480e-01 ETAB =-3.917e-03
+NFACTOR=1.214e+00
* *** Saturation related parameters ***
+EM =4.100e+07 PCLM =3.184e+00
+PDIBLC1=1.000e-04 PDIBLC2=1.000e-20 DROUT =5.000e-01
+A0 =5.850e-01 A1 =0.000e+00 A2 =1.000e+00
+PVAG =0.000e+00 VSAT =1.158e+05 AGS =2.468e-01
+B0 =8.832e-08 B1 =0.000e+00 DELTA =1.000e-02
+PDIBLCB=1.000e+00
* *** Geometry modulation related parameters ***
+W0 =1.000e-10 DLC =2.4500e-08
+DWC =3.449e-08 DWB =0.000e+00 DWG =0.000e+00
+LL =0.000e+00 LW =0.000e+00 LWL =0.000e+00
+LLN =1.000e+00 LWN =1.000e+00 WL =0.000e+00
+WW =1.894e-16 WWL =-1.981e-21 WLN =1.000e+00
+WWN =1.040e+00
* *** Temperature effect parameters ***
+AT =3.300e+04 UTE =-1.300e+00
+KT1 =-5.403e-01 KT2 =2.200e-02 KT1L =0.000e+00
+UA1 =0.000e+00 UB1 =0.000e+00 UC1 =0.000e+00
+PRT =0.000e+00
* *** Overlap capacitance related and dynamic model parameters ***
+CGSO =8.600e-11 CGDO =8.600e-11 CGBO =1.100e-10
+CGDL =1.080e-10 CGSL =1.080e-10 CKAPPA =6.000e-01
+CF =0.000e+00 ELM =5.000e+00
+XPART =1.000e+00 CLC =1.000e-15 CLE =6.000e-01
+NOFF =1.000e+00 VOFFCV =0.000e+00
* *** Parasitic resistance and capacitance related model parameters ***
+RDSW =1.033e+03
+CDSC =2.589e-03 CDSCB =2.943e-04 CDSCD =4.370e-04
+PRWB =-9.731e-02 PRWG =1.477e-01 CIT =0.000e+00
* *** Process and parameters extraction related model parameters ***
+TOX =7.754e-09 NGATE =0.000e+00
+NLX =1.770e-07
+XL =0.000e+00 XW =0.000e+00
* *** Substrate current related model parameters ***
+ALPHA0 =1.000e-09 ALPHA1 =1.500e+00 BETA0 =3.250e+01
* *** Noise effect related model parameters ***
+AF =1.461e+00 KF =1.191e-26 EF =1.000e+00
+NOIA =5.245e+17 NOIB =4.816e+03 NOIC =8.036e-13
* *** Common extrinsic model parameters ***
+ALEV =2 RLEV =2
+RD =0.000e+00 RS =0.000e+00 RSH =1.290e+02
+RDC =0.000e+00 RSC =0.000e+00 LD =-7.130e-08
+WD =3.449e-08
+LDIF =0.000e+00 HDIF =8.000e-07 WMLT =1.000e+00
+LMLT =1.000e+00 DEL =0.000e+00 XJ =3.000e-07
+DIOLEV =4 JS =2.800e-07 JSW =3.700e-13
+IS =0.000e+00 N =1.000e+00
+DCAPLEV=2 CBD =0.000e+00 CBS =0.000e+00
+CJ =1.360e-03 CJSW =3.500e-10 FC =0.000e+00


+MJ =5.400e-01 MJSW =4.600e-01 TT =0.000e+00
+XTI =1.973e+00 PB =1.020e+00 PBSW =1.020e+00
* ----------------------------------------------------------------------
Modelo tpico do BJT
.MODEL VERT10 PNP MODTYPE=ELDO
* ----------------------------------------------------------------------
************************* SIMULATION PARAMETERS ************************
* ----------------------------------------------------------------------
* format : ELDO, AccusimII, Continuum
* model : BJT
* process : C35
* revision : 5.0;
* extracted : C35[A-B][3-4][A-C][1-3] B11264.L2; 2002-11; hhl (5481)
* doc# : Eng-182 Rev. 6
* ----------------------------------------------------------------------
* TYPICAL MEAN CONDITION
* ----------------------------------------------------------------------
*
+IS =2.3330e-17
+IRB =4.3770e-06
+IKF =1.3760e-03 BF =5.9810e+00
+NF =9.9250e-01
+ISE =6.5290e-16 NE =1.7760e+00 VAF =1.9420e+02
+IKR =1.9410e-04 BR =9.8740e-02 NR =9.9470e-01
+ISC =2.8430e-14 NC =1.1490e+00 VAR =1.0320e+01
+RBM =1.0000e+00
+RB =2.1380e+02
+RE =9.7360e+00 AF =1.300e+00
+RC =4.5400e+01 KF =9.100e-15
+TF =6.4800e-10
+
+EG =1.1150e+00 XTI =5.5300e+00 XTB =2.2500e+00
+CJE =1.4880e-13 VJE =1.0200e+00 MJE =5.4882e-01
+CJC =4.3387e-14 VJC =5.3000e-01 MJC =3.1214e-01
+
* ----------------------------------------------------------------------






Anexo B
Este modelos usam o arquivo profile.opt do Anexo E.
Modelo worst-power do resistor RPOLYH
.MODEL RPOLYH RES MODTYPE=ELDO
*-----------------------------------------------------------------------
* Owner: austriamicrosystems
* HIT-Kit: Digital
* *********************** SIMULATION PARAMETERS ************************
* ----------------------------------------------------------------------
* format : SPECTRE (SPECTRE DIRECT)
* model : RESISTOR
* process : C35[A-B][3-4][A-C][2-3]
* revision : 5.0;
* extracted : C35LVTH matching testchip; 2007-01; wpf (4518)
* doc# : ENG-182
* ----------------------------------------------------------------------
* WORST CASE POWER CONDITION
* ----------------------------------------------------------------------
* VARIABLES: W,L = device width and length [m]
*
.SUBCKT RPOLYH N1 N2 PARAM: W=1 L=1
R1 N1 N2 RPOLYH VALUE={(1.000e+03*(L-(0))/(W-(1.000e-07)))*(1+7.745e-05*(-7.468e-
4)*W**(1.000e+00)*(V(N1,N2)/L)**2*(1/(1+50**((29.8u-L)*1e+06)))*(1/(1+50**(4.8-L/W))))}
+TC1=-7.468e-4 TC2=3.821e-06
.ENDS RPOLYH
* ----------------------------------------------------------------------
Modelo worst-power do capacitor CPOLY
.SUBCKT CPOLY N1 N2 PARAM: AREA=0 PERI=0
* ----------------------------------------------------------------------
************************* SIMULATION PARAMETERS ************************
* ----------------------------------------------------------------------
* format : ELDO, AccusimII, Continuum
* model : CAPACITOR
* process : C35[A-B][3-4][B-C][0-3]
* revision : 4.1;
* extracted : B11004.L2 2002-11; hhl(5481)
* doc# : Eng-182
* ----------------------------------------------------------------------
* WORST CASE POWER CONDITION
* ----------------------------------------------------------------------
* VARIABLES: AREA [m^2], PERI [m].
*
.PARAM CEFF={0.780e-03*AREA+0.083e-09*PERI}
C1 N1 N2 CPOLYCAPMOD {0.780e-03*AREA+0.083e-09*PERI} TC1=3.00e-5
.ENDS CPOLY
Modelo worst-power do capacitor CPOLYCAPMOD
.MODEL CPOLYCAPMOD C POLY 1 85e-6
*-----------------------------------------------------------------------
* Owner: austriamicrosystems
* HIT-Kit: Digital
* *********************** SIMULATION PARAMETERS ************************
* ----------------------------------------------------------------------
* format : ELDO


* model : RF CAPACITOR CPOLYRF
* process : C35B4
* revision : 4.1;
* extracted : C35 B23118.XL1 ; 2005-02; kmo
* doc# : Eng-188 REV_5
* ----------------------------------------------------------------------
* ----------------------------------------------------------------------
*
* Syntax: parameters area peri
* ap1 pp1 aw1 pw1
*
* ctot = CA*W*L+CP(2(W+L))
Modelo worst-power do NMOS
.MODEL MODN NMOS LEVEL=53 MODTYPE=ELDO
* ----------------------------------------------------------------------
************************* SIMULATION PARAMETERS ************************
* ----------------------------------------------------------------------
* format : ELDO, AccusimII, Continuum
* model : MOS BSIM3v3
* process : C35
* revision : 4.0;
* extracted : B10866 ; 2002-12; ese(5487)
* doc# : ENG-182 REV_5
* ----------------------------------------------------------------------
* WORST CASE POWER CONDITION
* ----------------------------------------------------------------------
*
+THMLEV =0
* *** Flags ***
+NOIMOD =3 FLKLEV =0
+MOBMOD =1.000e+00 CAPMOD =2.000e+00 VERSION=3.240e+00 NQSMOD =0.000e+00
+DERIV =1
* *** Threshold voltage related model parameters ***
+K1 =3.5516e-01
+K2 =4.6758e-02 K3 =-1.136e+00 K3B =-4.399e-01
+NPEAK =2.128e+17 VTH0 =3.579e-01
+VOFF =-8.925e-02 DVT0 =5.000e+01 DVT1 =1.039e+00
+DVT2 =-8.375e-03 KETA =2.032e-02
+PSCBE1 =1.000e+30 PSCBE2 =1.000e-06
+DVT0W =1.089e-01 DVT1W =6.671e+04 DVT2W =-1.352e-02
* *** Mobility related model parameters ***
+UA =4.705e-12 UB =2.137e-18 UC =1.000e-20
+U0 =5.002e+02
* *** Subthreshold related parameters ***
+DSUB =5.000e-01 ETA0 =1.415e-02 ETAB =-1.221e-01
+NFACTOR=4.136e-01
* *** Saturation related parameters ***
+EM =4.100e+07 PCLM =6.948e-01
+PDIBLC1=3.571e-01 PDIBLC2=2.065e-03 DROUT =5.000e-01
+A0 =2.541e+00 A1 =0.000e+00 A2 =1.000e+00
+PVAG =0.000e+00 VSAT =1.338e+05 AGS =2.408e-01
+B0 =4.301e-09 B1 =0.000e+00 DELTA =1.442e-02
+PDIBLCB=3.222e-01
* *** Geometry modulation related parameters ***
+W0 =2.673e-07 DLC =3.0000e-08
+DWC =9.403e-08 DWB =0.000e+00 DWG =0.000e+00
+LL =0.000e+00 LW =0.000e+00 LWL =0.000e+00
+LLN =1.000e+00 LWN =1.000e+00 WL =0.000e+00
+WW =-1.297e-14 WWL =-9.411e-21 WLN =1.000e+00
+WWN =1.000e+00


* *** Temperature effect parameters ***
+AT =3.300e+04 UTE =-1.800e+00
+KT1 =-3.302e-01 KT2 =2.200e-02 KT1L =0.000e+00
+UA1 =0.000e+00 UB1 =0.000e+00 UC1 =0.000e+00
+PRT =0.000e+00
* *** Overlap capacitance related and dynamic model parameters ***
+CGSO =1.200e-10 CGDO =1.200e-10 CGBO =1.000e-10
+CGDL =1.15e-10 CGSL =1.15e-10 CKAPPA =6.000e-01
+CF =0.000e+00 ELM =5.000e+00
+XPART =1.000e+00 CLC =1.000e-15 CLE =6.000e-01
+NOFF =1.000e+00 VOFFCV =0.000e+00
* *** Parasitic resistance and capacitance related model parameters ***
+RDSW =3.449e+02
+CDSC =0.000e+00 CDSCB =1.500e-03 CDSCD =1.000e-03
+PRWB =-2.416e-01 PRWG =0.000e+00 CIT =4.441e-04
* *** Process and parameters extraction related model parameters ***
+TOX =7.100e-09 NGATE =0.000e+00
+NLX =1.888e-07
+XL =-5.000e-08 XW =0.500e-07
* *** Substrate current related model parameters ***
+ALPHA0 =2.600e-06 ALPHA1 =5.000e+00 BETA0 =2.100e+01
* *** Noise effect related model parameters ***
+AF =1.376e+00 KF =3.396e-26 EF =1.000e+00
+NOIA =1.399e+20 NOIB =2.707e+05 NOIC =-4.680e-12
* *** Common extrinsic model parameters ***
+ALEV =2 RLEV =2
+RD =0.000e+00 RS =0.000e+00 RSH =5.500e+01
+RDC =0.000e+00 RSC =0.000e+00 LD =-5.005e-08
+WD =9.403e-08
+LDIF =0.000e+00 HDIF =8.000e-07 WMLT =1.000e+00
+LMLT =1.000e+00 DEL =0.000e+00 XJ =3.000e-07
+DIOLEV =4 JS =5.100e-07 JSW =0.600e-12
+IS =0.000e+00 N =1.000e+00
+DCAPLEV=2 CBD =0.000e+00 CBS =0.000e+00
+CJ =8.270e-04 CJSW =2.200e-10 FC =0.000e+00
+MJ =3.400e-01 MJSW =2.300e-01 TT =0.000e+00
+XTI =2.026e+00 PB =6.900e-01 PBSW =6.900e-01
* ----------------------------------------------------------------------
Modelo worst-power do PMOS
.MODEL MODP PMOS LEVEL=53 MODTYPE=ELDO
* ----------------------------------------------------------------------
************************* SIMULATION PARAMETERS ************************
* ----------------------------------------------------------------------
* format : ELDO, AccusimII, Continuum
* model : MOS BSIM3v3
* process : C35
* revision : 4.1;
* extracted : C64685 ; 2002-12; ese(5487)
* doc# : ENG-182 REV_5
* ----------------------------------------------------------------------
* WORST CASE POWER CONDITION
* ----------------------------------------------------------------------
*
+THMLEV =0
* *** Flags ***
+NOIMOD =3 FLKLEV =0
+MOBMOD =1.000e+00 CAPMOD =2.000e+00 VERSION=3.24e+00 NQSMOD =0.000e+00
+DERIV =1
* *** Threshold voltage related model parameters ***
+K1 =4.5027e-01


+K2 =-4.451e-02 K3 =1.103e+01 K3B =-7.580e-01
+NPEAK =7.022e+16 VTH0 =-5.715e-01
+VOFF =-1.170e-01 DVT0 =1.650e+00 DVT1 =3.868e-01
+DVT2 =1.659e-02 KETA =-1.440e-02
+PSCBE1 =1.000e+30 PSCBE2 =1.000e-06
+DVT0W =1.879e-01 DVT1W =7.335e+04 DVT2W =-6.312e-03
* *** Mobility related model parameters ***
+UA =5.394e-10 UB =1.053e-18 UC =1.000e-20
+U0 =1.581e+02
* *** Subthreshold related parameters ***
+DSUB =5.000e-01 ETA0 =2.480e-01 ETAB =-3.917e-03
+NFACTOR=1.214e+00
* *** Saturation related parameters ***
+EM =4.100e+07 PCLM =3.184e+00
+PDIBLC1=1.000e-04 PDIBLC2=1.000e-20 DROUT =5.000e-01
+A0 =5.850e-01 A1 =0.000e+00 A2 =1.000e+00
+PVAG =0.000e+00 VSAT =1.158e+05 AGS =2.468e-01
+B0 =8.832e-08 B1 =0.000e+00 DELTA =1.000e-02
+PDIBLCB=1.000e+00
* *** Geometry modulation related parameters ***
+W0 =1.000e-10 DLC =2.4500e-08
+DWC =3.449e-08 DWB =0.000e+00 DWG =0.000e+00
+LL =0.000e+00 LW =0.000e+00 LWL =0.000e+00
+LLN =1.000e+00 LWN =1.000e+00 WL =0.000e+00
+WW =1.894e-16 WWL =-1.981e-21 WLN =1.000e+00
+WWN =1.040e+00
* *** Temperature effect parameters ***
+AT =3.300e+04 UTE =-1.300e+00
+KT1 =-5.403e-01 KT2 =2.200e-02 KT1L =0.000e+00
+UA1 =0.000e+00 UB1 =0.000e+00 UC1 =0.000e+00
+PRT =0.000e+00
* *** Overlap capacitance related and dynamic model parameters ***
+CGSO =8.600e-11 CGDO =8.600e-11 CGBO =1.000e-10
+CGDL =0.95e-10 CGSL =0.95e-10 CKAPPA =6.000e-01
+CF =0.000e+00 ELM =5.000e+00
+XPART =1.000e+00 CLC =1.000e-15 CLE =6.000e-01
+NOFF =1.000e+00 VOFFCV =0.000e+00
* *** Parasitic resistance and capacitance related model parameters ***
+RDSW =1.033e+03
+CDSC =2.589e-03 CDSCB =2.943e-04 CDSCD =4.370e-04
+PRWB =-9.731e-02 PRWG =1.477e-01 CIT =0.000e+00
* *** Process and parameters extraction related model parameters ***
+TOX =7.100e-09 NGATE =0.000e+00
+NLX =1.770e-07
+XL =-6.000e-08 XW =0.800e-07
* *** Substrate current related model parameters ***
+ALPHA0 =1.000e-09 ALPHA1 =1.500e+00 BETA0 =3.250e+01
* *** Noise effect related model parameters ***
+AF =1.405e+00 KF =1.827e-26 EF =1.000e+00
+NOIA =7.091e+18 NOIB =6.074e+04 NOIC =3.779e-13
* *** Common extrinsic model parameters ***
+ALEV =2 RLEV =2
+RD =0.000e+00 RS =0.000e+00 RSH =1.000e+02
+RDC =0.000e+00 RSC =0.000e+00 LD =-7.130e-08
+WD =3.449e-08
+LDIF =0.000e+00 HDIF =8.000e-07 WMLT =1.000e+00
+LMLT =1.000e+00 DEL =0.000e+00 XJ =3.000e-07
+DIOLEV =4 JS =2.800e-07 JSW =3.700e-13
+IS =0.000e+00 N =1.000e+00
+DCAPLEV=2 CBD =0.000e+00 CBS =0.000e+00
+CJ =1.197e-03 CJSW =2.810e-10 FC =0.000e+00


+MJ =5.400e-01 MJSW =4.600e-01 TT =0.000e+00
+XTI =1.973e+00 PB =1.020e+00 PBSW =1.020e+00
* ----------------------------------------------------------------------
Modelo high-beta do BJT
.MODEL VERT10 PNP MODTYPE=ELDO
* ----------------------------------------------------------------------
************************* SIMULATION PARAMETERS ************************
* ----------------------------------------------------------------------
* format : ELDO, AccusimII, Continuum
* model : BJT
* process : C35
* revision : 5.0;
* extracted : C35[A-B][3-4][A-C][1-3] B11264.L2; 2002-11; hhl (5481)
* doc# : Eng-238 Rev. 3
* ----------------------------------------------------------------------
* LOW SPEED HIGH BETA CONDITION
* ----------------------------------------------------------------------
*
+IS =2.3330e-17
+IRB =4.3770e-06
+IKF =1.3760e-03 BF =9.5696e+00
+NF =9.9250e-01
+ISE =6.5290e-16 NE =1.7760e+00 VAF =1.1652e+02
+IKR =1.9410e-04 BR =9.8740e-02 NR =9.9470e-01
+ISC =2.8430e-14 NC =1.1490e+00 VAR =1.0320e+01
+RBM =2.0000e+00
+RB =4.2760e+02
+RE =1.3387e+01 AF =1.300e+00
+RC =6.2425e+01 KF =9.100e-15
+TF =6.4800e-10
+
+EG =1.1150e+00 XTI =5.5300e+00 XTB =2.2500e+00
+CJE =1.7856e-13 VJE =1.0200e+00 MJE =5.4882e-01
+CJC =5.2065e-14 VJC =5.3000e-01 MJC =3.1214e-01
+
* ----------------------------------------------------------------------





Anexo C
Este modelos usam o arquivo profile.opt do Anexo E.
Modelo worst-speed do resistor RPOLYH
.MODEL RPOLYH RES MODTYPE=ELDO
*-----------------------------------------------------------------------
* Owner: austriamicrosystems
* HIT-Kit: Digital
* *********************** SIMULATION PARAMETERS ************************
* ----------------------------------------------------------------------
* format : SPECTRE (SPECTRE DIRECT)
* model : RESISTOR
* process : C35[A-B][3-4][A-C][2-3]
* revision : 5.0;
* extracted : C35LVTH matching testchip; 2007-01; wpf (4518)
* doc# : ENG-182
* ----------------------------------------------------------------------
* WORST CASE SPEED CONDITION
* ----------------------------------------------------------------------
* VARIABLES: W,L = device width and length [m]
*
.SUBCKT RPOLYH N1 N2 PARAM: W=1 L=1
R1 N1 N2 RPOLYH VALUE={(1.400e+03*(L-(0))/(W-(3.000e-07)))*(1+7.745e-05*(-7.468e-
4)*W**(1.000e+00)*(V(N1,N2)/L)**2*(1/(1+50**((29.8u-L)*1e+06)))*(1/(1+50**(4.8-L/W))))}
+TC1=-7.468e-4 TC2=3.821e-06
.ENDS RPOLYH
Modelo worst-speed do capacitor CPOLY
.SUBCKT CPOLY N1 N2 PARAM: AREA=0 PERI=0
* ----------------------------------------------------------------------
************************* SIMULATION PARAMETERS ************************
* ----------------------------------------------------------------------
* format : ELDO, AccusimII, Continuum
* model : CAPACITOR
* process : C35[A-B][3-4][B-C][0-3]
* revision : 4.1;
* extracted : B11004.L2 2002-11; hhl(5481)
* doc# : Eng-182
* ----------------------------------------------------------------------
* WORST CASE SPEED CONDITION
* ----------------------------------------------------------------------
* VARIABLES: AREA [m^2], PERI [m].
*
.PARAM CEFF={0.960e-03*AREA+0.089e-09*PERI}
C1 N1 N2 CPOLYCAPMOD {0.960e-03*AREA+0.089e-09*PERI} TC1=3.00e-5
.ENDS CPOLY
Modelo worst-speed do capacitor CPOLYCAPMOD
.MODEL CPOLYCAPMOD C POLY 1 85e-6
*-----------------------------------------------------------------------
* Owner: austriamicrosystems
* HIT-Kit: Digital
* *********************** SIMULATION PARAMETERS ************************
* ----------------------------------------------------------------------
* format : ELDO
* model : RF CAPACITOR CPOLYRF


* process : C35B4
* revision : 4.1;
* extracted : C35 B23118.XL1 ; 2005-02; kmo
* doc# : Eng-188 REV_5
* ----------------------------------------------------------------------
* ----------------------------------------------------------------------
*
* Syntax: parameters area peri
* ap1 pp1 aw1 pw1
*
* ctot = CA*W*L+CP(2(W+L))
Modelo worst-speed do NMOS
.MODEL MODN NMOS LEVEL=53 MODTYPE=ELDO
* ----------------------------------------------------------------------
************************* SIMULATION PARAMETERS ************************
* ----------------------------------------------------------------------
* format : ELDO, AccusimII, Continuum
* model : MOS BSIM3v3
* process : C35
* revision : 4.0;
* extracted : B10866 ; 2002-12; ese(5487)
* doc# : ENG-182 REV_5
* ----------------------------------------------------------------------
* WORST CASE SPEED CONDITION
* ----------------------------------------------------------------------
*
+THMLEV =0
* *** Flags ***
+NOIMOD =3 FLKLEV =0
+MOBMOD =1.000e+00 CAPMOD =2.000e+00 VERSION=3.240e+00 NQSMOD =0.000e+00
+DERIV =1
* *** Threshold voltage related model parameters ***
+K1 =6.6008e-01
+K2 =2.1313e-02 K3 =-1.136e+00 K3B =-4.399e-01
+NPEAK =3.094e+17 VTH0 =5.579e-01
+VOFF =-8.925e-02 DVT0 =5.000e+01 DVT1 =1.039e+00
+DVT2 =-8.375e-03 KETA =2.032e-02
+PSCBE1 =1.000e+30 PSCBE2 =1.000e-06
+DVT0W =1.089e-01 DVT1W =6.671e+04 DVT2W =-1.352e-02
* *** Mobility related model parameters ***
+UA =4.705e-12 UB =2.137e-18 UC =1.000e-20
+U0 =4.671e+02
* *** Subthreshold related parameters ***
+DSUB =5.000e-01 ETA0 =1.415e-02 ETAB =-1.221e-01
+NFACTOR=4.136e-01
* *** Saturation related parameters ***
+EM =4.100e+07 PCLM =6.948e-01
+PDIBLC1=3.571e-01 PDIBLC2=2.065e-03 DROUT =5.000e-01
+A0 =2.541e+00 A1 =0.000e+00 A2 =1.000e+00
+PVAG =0.000e+00 VSAT =1.338e+05 AGS =2.408e-01
+B0 =4.301e-09 B1 =0.000e+00 DELTA =1.442e-02
+PDIBLCB=3.222e-01
* *** Geometry modulation related parameters ***
+W0 =2.673e-07 DLC =3.0000e-08
+DWC =9.403e-08 DWB =0.000e+00 DWG =0.000e+00
+LL =0.000e+00 LW =0.000e+00 LWL =0.000e+00
+LLN =1.000e+00 LWN =1.000e+00 WL =0.000e+00
+WW =-1.297e-14 WWL =-9.411e-21 WLN =1.000e+00
+WWN =1.000e+00
* *** Temperature effect parameters ***


+AT =3.300e+04 UTE =-1.800e+00
+KT1 =-3.302e-01 KT2 =2.200e-02 KT1L =0.000e+00
+UA1 =0.000e+00 UB1 =0.000e+00 UC1 =0.000e+00
+PRT =0.000e+00
* *** Overlap capacitance related and dynamic model parameters ***
+CGSO =1.200e-10 CGDO =1.200e-10 CGBO =1.200e-10
+CGDL =1.47e-10 CGSL =1.47e-10 CKAPPA =6.000e-01
+CF =0.000e+00 ELM =5.000e+00
+XPART =1.000e+00 CLC =1.000e-15 CLE =6.000e-01
+NOFF =1.000e+00 VOFFCV =0.000e+00
* *** Parasitic resistance and capacitance related model parameters ***
+RDSW =3.449e+02
+CDSC =0.000e+00 CDSCB =1.500e-03 CDSCD =1.000e-03
+PRWB =-2.416e-01 PRWG =0.000e+00 CIT =4.441e-04
* *** Process and parameters extraction related model parameters ***
+TOX =8.100e-09 NGATE =0.000e+00
+NLX =1.888e-07
+XL =5.000e-08 XW =-1.000e-07
* *** Substrate current related model parameters ***
+ALPHA0 =2.600e-06 ALPHA1 =5.000e+00 BETA0 =2.100e+01
* *** Noise effect related model parameters ***
+AF =1.376e+00 KF =3.396e-26 EF =1.000e+00
+NOIA =1.399e+20 NOIB =2.707e+05 NOIC =-4.680e-12
* *** Common extrinsic model parameters ***
+ALEV =2 RLEV =2
+RD =0.000e+00 RS =0.000e+00 RSH =8.500e+01
+RDC =0.000e+00 RSC =0.000e+00 LD =-5.005e-08
+WD =9.403e-08
+LDIF =0.000e+00 HDIF =8.000e-07 WMLT =1.000e+00
+LMLT =1.000e+00 DEL =0.000e+00 XJ =3.000e-07
+DIOLEV =4 JS =5.100e-07 JSW =0.600e-12
+IS =0.000e+00 N =1.000e+00
+DCAPLEV=2 CBD =0.000e+00 CBS =0.000e+00
+CJ =1.052e-03 CJSW =2.800e-10 FC =0.000e+00
+MJ =3.400e-01 MJSW =2.300e-01 TT =0.000e+00
+XTI =2.026e+00 PB =6.900e-01 PBSW =6.900e-01
* ----------------------------------------------------------------------
Modelo worst-speed do PMOS
.MODEL MODP PMOS LEVEL=53 MODTYPE=ELDO
* ----------------------------------------------------------------------
************************* SIMULATION PARAMETERS ************************
* ----------------------------------------------------------------------
* format : ELDO, AccusimII, Continuum
* model : MOS BSIM3v3
* process : C35
* revision : 4.1;
* extracted : C64685 ; 2002-12; ese(5487)
* doc# : ENG-182 REV_5
* ----------------------------------------------------------------------
* WORST CASE SPEED CONDITION
* ----------------------------------------------------------------------
*
+THMLEV =0
* *** Flags ***
+NOIMOD =3 FLKLEV =0
+MOBMOD =1.000e+00 CAPMOD =2.000e+00 VERSION=3.24e+00 NQSMOD =0.000e+00
+DERIV =1
* *** Threshold voltage related model parameters ***
+K1 =6.2895e-01
+K2 =-4.725e-02 K3 =1.103e+01 K3B =-7.580e-01


+NPEAK =1.146e+17 VTH0 =-7.715e-01
+VOFF =-1.170e-01 DVT0 =1.650e+00 DVT1 =3.868e-01
+DVT2 =1.659e-02 KETA =-1.440e-02
+PSCBE1 =1.000e+30 PSCBE2 =1.000e-06
+DVT0W =1.879e-01 DVT1W =7.335e+04 DVT2W =-6.312e-03
* *** Mobility related model parameters ***
+UA =5.394e-10 UB =1.053e-18 UC =1.000e-20
+U0 =1.314e+02
* *** Subthreshold related parameters ***
+DSUB =5.000e-01 ETA0 =2.480e-01 ETAB =-3.917e-03
+NFACTOR=1.214e+00
* *** Saturation related parameters ***
+EM =4.100e+07 PCLM =3.184e+00
+PDIBLC1=1.000e-04 PDIBLC2=1.000e-20 DROUT =5.000e-01
+A0 =5.850e-01 A1 =0.000e+00 A2 =1.000e+00
+PVAG =0.000e+00 VSAT =1.158e+05 AGS =2.468e-01
+B0 =8.832e-08 B1 =0.000e+00 DELTA =1.000e-02
+PDIBLCB=1.000e+00
* *** Geometry modulation related parameters ***
+W0 =1.000e-10 DLC =2.4500e-08
+DWC =3.449e-08 DWB =0.000e+00 DWG =0.000e+00
+LL =0.000e+00 LW =0.000e+00 LWL =0.000e+00
+LLN =1.000e+00 LWN =1.000e+00 WL =0.000e+00
+WW =1.894e-16 WWL =-1.981e-21 WLN =1.000e+00
+WWN =1.040e+00
* *** Temperature effect parameters ***
+AT =3.300e+04 UTE =-1.300e+00
+KT1 =-5.403e-01 KT2 =2.200e-02 KT1L =0.000e+00
+UA1 =0.000e+00 UB1 =0.000e+00 UC1 =0.000e+00
+PRT =0.000e+00
* *** Overlap capacitance related and dynamic model parameters ***
+CGSO =8.600e-11 CGDO =8.600e-11 CGBO =1.200e-10
+CGDL =1.21e-10 CGSL =1.21e-10 CKAPPA =6.000e-01
+CF =0.000e+00 ELM =5.000e+00
+XPART =1.000e+00 CLC =1.000e-15 CLE =6.000e-01
+NOFF =1.000e+00 VOFFCV =0.000e+00
* *** Parasitic resistance and capacitance related model parameters ***
+RDSW =1.033e+03
+CDSC =2.589e-03 CDSCB =2.943e-04 CDSCD =4.370e-04
+PRWB =-9.731e-02 PRWG =1.477e-01 CIT =0.000e+00
* *** Process and parameters extraction related model parameters ***
+TOX =8.100e-09 NGATE =0.000e+00
+NLX =1.770e-07
+XL =4.000e-08 XW =-0.700e-07
* *** Substrate current related model parameters ***
+ALPHA0 =1.000e-09 ALPHA1 =1.500e+00 BETA0 =3.250e+01
* *** Noise effect related model parameters ***
+AF =1.405e+00 KF =1.827e-26 EF =1.000e+00
+NOIA =7.091e+18 NOIB =6.074e+04 NOIC =3.779e-13
* *** Common extrinsic model parameters ***
+ALEV =2 RLEV =2
+RD =0.000e+00 RS =0.000e+00 RSH =1.600e+02
+RDC =0.000e+00 RSC =0.000e+00 LD =-7.130e-08
+WD =3.449e-08
+LDIF =0.000e+00 HDIF =8.000e-07 WMLT =1.000e+00
+LMLT =1.000e+00 DEL =0.000e+00 XJ =3.000e-07
+DIOLEV =4 JS =2.800e-07 JSW =3.700e-13
+IS =0.000e+00 N =1.000e+00
+DCAPLEV=2 CBD =0.000e+00 CBS =0.000e+00
+CJ =1.523e-03 CJSW =3.580e-10 FC =0.000e+00
+MJ =5.400e-01 MJSW =4.600e-01 TT =0.000e+00


+XTI =1.973e+00 PB =1.020e+00 PBSW =1.020e+00

* ----------------------------------------------------------------------
Modelo low-beta do BJT
.MODEL VERT10 PNP MODTYPE=ELDO
* ----------------------------------------------------------------------
************************* SIMULATION PARAMETERS ************************
* ----------------------------------------------------------------------
* format : ELDO, AccusimII, Continuum
* model : BJT
* process : C35
* revision : 5.0;
* extracted : C35[A-B][3-4][A-C][1-3] B11264.L2; 2002-11; hhl (5481)
* doc# : Eng-238 Rev. 3
* ----------------------------------------------------------------------
* LOW SPEED LOW BETA CONDITION
* ----------------------------------------------------------------------
*
+IS =9.3320e-18
+IRB =4.3770e-06
+IKF =5.5040e-04 BF =2.3924e+00
+NF =9.9250e-01
+ISE =6.5290e-16 NE =1.7760e+00 VAF =3.2771e+02
+IKR =1.9410e-04 BR =9.8740e-02 NR =9.9470e-01
+ISC =2.8430e-14 NC =1.1490e+00 VAR =1.0320e+01
+RBM =2.0000e+00
+RB =4.2760e+02
+RE =1.3387e+01 AF =1.300e+00
+RC =6.2425e+01 KF =9.100e-15
+TF =1.2960e-09
+
+EG =1.1150e+00 XTI =5.5300e+00 XTB =2.2500e+00
+CJE =1.7856e-13 VJE =1.0200e+00 MJE =5.4882e-01
+CJC =5.2065e-14 VJC =5.3000e-01 MJC =3.1214e-01
+
* ----------------------------------------------------------------------





Anexo D
Este modelos usam o arquivo profile.opt do Anexo E.
Modelo Monte Carlo do resistor RPOLYH
.MODEL RPOLYH RES MODTYPE=ELDO
*-----------------------------------------------------------------------
* Owner: austriamicrosystems
* HIT-Kit: Digital
* *********************** SIMULATION PARAMETERS ************************
* ----------------------------------------------------------------------
* format : SPECTRE (SPECTRE DIRECT)
* model : RESISTOR
* process : C35[A-B][3-4][A-C][2-3]
* revision : 5.0;
* extracted : C35LVTH matching testchip; 2007-01; wpf (4518)
* doc# : ENG-182
* ----------------------------------------------------------------------
* MONTE-CARLO SIMULATION
* ----------------------------------------------------------------------
* VARIABLES: W,L = device width and length [m]
*
.SUBCKT RPOLYH N1 N2 PARAM: W=1 L=1
.PARAM
+RSH =1.204e+03
+WD =2.022e-07
+LD =0
R1 N1 N2 RPOLYH VALUE={((DELRPOLYH+RSH)*(L-LD)/(W-WD-(DELWDPOLYH))*(1+MR_RPOLYH*4.6e-
08/SQRT((L-LD)*(W-WD))))*(1+7.745e-05*(-7.468e-
4)*W**(1.000e+00)*(V(N1,N2)/L)**2*(1/(1+50**((29.8u-L)*1e+06)))*(1/(1+50**(4.8-L/W))))}
+TC1=-7.468e-4 TC2=3.821e-06
.ENDS RPOLYH
* ----------------------------------------------------------------------
Modelo Monte Carlo do capacitor CPOLY
.SUBCKT CPOLY N1 N2 PARAM: AREA=0 PERI=0
* ----------------------------------------------------------------------
************************* SIMULATION PARAMETERS ************************
* ----------------------------------------------------------------------
* format : ELDO, AccusimII, Continuum
* model : CAPACITOR
* process : C35[A-B][3-4][B-C][0-3]
* revision : 4.1;
* extracted : B11004.L2 2002-11; hhl(5481)
* doc# : Eng-182
* ----------------------------------------------------------------------
* MONTE-CARLO SIMULATION
* ----------------------------------------------------------------------
* VARIABLES: AREA [m^2], PERI [m].
*
.PARAM ARAND=0
.PARAM AREAEFF={AREA+ARAND}
+ CA =8.7000e-04 LOT/UNIFORM=9.0000e-05
+ CP =8.6000e-11 LOT/UNIFORM=3.0000e-12
+ CEFF2={CA*AREAEFF+CP*PERI}
C1 N1 N2 CPOLYCAPMOD {CA*AREAEFF+CP*PERI} TC1=3.00e-5
.ENDS CPOLY


Modelo Monte Carlo do capacitor CPOLYCAPMOD
.MODEL CPOLYCAPMOD C POLY 1 85e-6
+DEV/GAUSS={0.32e-08/SQRT(e(*,L)*e(*,W))}

* ----------------------------------------------------------------------

*-----------------------------------------------------------------------
* Owner: austriamicrosystems
* HIT-Kit: Digital
* *********************** SIMULATION PARAMETERS ************************
* ----------------------------------------------------------------------
* format : ELDO
* model : RF CAPACITOR CPOLYRF
* process : C35B4
* revision : 4.1;
* extracted : C35 B23118.XL1 ; 2005-02; kmo
* doc# : Eng-188 REV_5
* ----------------------------------------------------------------------
* ----------------------------------------------------------------------
*
* Syntax: parameters area peri
* ap1 pp1 aw1 pw1
*
* ctot = CA*W*L+CP(2(W+L))
*
Modelo Monte Carlo do NMOS
.MODEL MODN NMOS LEVEL=53 MODTYPE=ELDO
* ----------------------------------------------------------------------
************************* SIMULATION PARAMETERS ************************
* ----------------------------------------------------------------------
* format : ELDO, AccusimII, Continuum
* model : MOS BSIM3v3
* process : C35
* revision : 4.0;
* extracted : B10866 ; 2002-12; ese(5487)
* doc# : ENG-182 REV_6
* ----------------------------------------------------------------------
* MONTE-CARLO SIMULATION
* ----------------------------------------------------------------------
*
+THMLEV =0
* *** Flags ***
+NOIMOD =3 FLKLEV =0
+MOBMOD =1.000e+00 CAPMOD =2.000e+00 VERSION=3.240e+00 NQSMOD =0.000e+00
+DERIV =1
* *** Threshold voltage related model parameters ***
+K1 =4.9550e-01
+K2 =3.5770e-02
+K3 =-1.136e+00 K3B =-4.399e-01
+NPEAK =2.6110e+17 LOT/UNIFORM=4.8300e+16
+VTH0 ={4.979e-01+DELVTON} DEV/GAUSS={0.67e-08/SQRT(e(*,L)*e(*,W))}
+VOFF =-8.925e-02 DVT0 =5.000e+01 DVT1 =1.039e+00
+DVT2 =-8.375e-03 KETA =2.032e-02
+PSCBE1 =1.000e+30 PSCBE2 =1.000e-06
+DVT0W =1.089e-01 DVT1W =6.671e+04 DVT2W =-1.352e-02
* *** Mobility related model parameters ***
+UA =4.705e-12 UB =2.137e-18 UC =1.000e-20
+U0 ={4.758e+02+DELUON} DEV/GAUSS={({4.758e+02+DELUON})*4.9e-
9/SQRT(e(*,L)*e(*,W))}


* *** Subthreshold related parameters ***
+DSUB =5.000e-01 ETA0 =1.415e-02 ETAB =-1.221e-01
+NFACTOR=4.136e-01
* *** Saturation related parameters ***
+EM =4.100e+07
+PCLM =6.948e-01
+PDIBLC1=3.571e-01 PDIBLC2=2.065e-03 DROUT =5.000e-01
+A0 =2.541e+00
+A1 =0.000e+00 A2 =1.000e+00
+PVAG =0.000e+00 VSAT =1.338e+05 AGS =2.408e-01
+B0 =4.301e-09 B1 =0.000e+00 DELTA =1.442e-02
+PDIBLCB=3.222e-01
* *** Geometry modulation related parameters ***
+W0 =2.673e-07 DLC =3.0000e-08
+DWC =9.403e-08 DWB =0.000e+00 DWG =0.000e+00
+LL =0.000e+00 LW =0.000e+00 LWL =0.000e+00
+LLN =1.000e+00 LWN =1.000e+00 WL =0.000e+00
+WW =-1.297e-14 WWL =-9.411e-21 WLN =1.000e+00
+WWN =1.000e+00
* *** Temperature effect parameters ***
+AT =3.300e+04 UTE =-1.800e+00
+KT1 =-3.302e-01 KT2 =2.200e-02 KT1L =0.000e+00
+UA1 =0.000e+00 UB1 =0.000e+00 UC1 =0.000e+00
+PRT =0.000e+00
* *** Overlap capacitance related and dynamic model parameters ***
+CGSO =CGSDON CGDO =CGSDON CGBO =CGBON
+CGDL =1.310e-10 CGSL =1.310e-10 CKAPPA =6.000e-01
+CF =0.000e+00 ELM =5.000e+00
+XPART =1.000e+00 CLC =1.000e-15 CLE =6.000e-01
+NOFF =1.000e+00 VOFFCV =0.000e+00
* *** Parasitic resistance and capacitance related model parameters ***
+RDSW =3.449e+02
+CDSC =0.000e+00 CDSCB =1.500e-03 CDSCD =1.000e-03
+PRWB =-2.416e-01 PRWG =0.000e+00 CIT =4.441e-04
* *** Process and parameters extraction related model parameters ***
+TOX ={7.575e-09+DELTGOX}
+NGATE =0.000e+00
+NLX =1.888e-07
+XL ={0.000e+00+DELL} XW ={0.000e+00+DELW}
* *** Substrate current related model parameters ***
+ALPHA0 =2.600e-06 ALPHA1 =5.000e+00 BETA0 =2.100e+01
* *** Noise effect related model parameters ***
+AF =1.507e+00 KF =2.170e-26 EF =1.000e+00
+NOIA =1.121e+19 NOIB =5.336e+04 NOIC =-5.892e-13
* *** Common extrinsic model parameters ***
+ALEV =2 RLEV =2
+RD =0.000e+00
+RS =0.000e+00
+RSH ={7.000e+01+DELRDIFFN}
+RDC =0.000e+00
+RSC =0.000e+00
+LD =-5.005e-08
+WD =9.403e-08
+LDIF =0.000e+00
+HDIF =8.000e-07 WMLT =1.000e+00
+LMLT =1.000e+00 DEL =0.000e+00 XJ =3.000e-07
+DIOLEV =4 JS =5.100e-07
+JSW =0.600e-12
+IS =0.000e+00 N =1.000e+00
+DCAPLEV=2 CBD =0.000e+00 CBS =0.000e+00
+CJ =9.3950e-04 LOT/UNIFORM=1.1250e-04


+CJSW =2.5000e-10 LOT/UNIFORM=3.0000e-11
+FC =0.000e+00
+MJ =3.400e-01
+MJSW =2.300e-01
+TT =0.000e+00
+XTI =2.026e+00 PB =6.900e-01
+PBSW =6.900e-01
* ----------------------------------------------------------------------
Modelo Monte Carlo do PMOS
.MODEL MODP PMOS LEVEL=53 MODTYPE=ELDO
* ----------------------------------------------------------------------
************************* SIMULATION PARAMETERS ************************
* ----------------------------------------------------------------------
* format : ELDO, AccusimII, Continuum
* model : MOS BSIM3v3
* process : C35
* revision : 4.1;
* extracted : C64685 ; 2002-12; ese(5487)
* doc# : ENG-182 REV_6
* ----------------------------------------------------------------------
* MONTE-CARLO SIMULATION
* ----------------------------------------------------------------------
*
+THMLEV =0
* *** Flags ***
+NOIMOD =3 FLKLEV =0
+MOBMOD =1.000e+00 CAPMOD =2.000e+00 VERSION=3.24e+00 NQSMOD =0.000e+00
+DERIV =1
* *** Threshold voltage related model parameters ***
+K1 =5.5450e-01
+K2 =-4.721e-02
+K3 =1.103e+01 K3B =-7.580e-01
+NPEAK =9.2410e+16 LOT/UNIFORM=2.2190e+16
+VTH0 ={-6.915e-01+DELVTOP} DEV/GAUSS={1.03e-08/SQRT(e(*,L)*e(*,W))}
+VOFF =-1.170e-01 DVT0 =1.650e+00 DVT1 =3.868e-01
+DVT2 =1.659e-02 KETA =-1.440e-02
+PSCBE1 =1.000e+30 PSCBE2 =1.000e-06
+DVT0W =1.879e-01 DVT1W =7.335e+04 DVT2W =-6.312e-03
* *** Mobility related model parameters ***
+UA =5.394e-10 UB =1.053e-18 UC =1.000e-20
+U0 ={1.482e+02+DELUOP} DEV/GAUSS={({1.482e+02+DELUOP})*7.1e-
9/SQRT(e(*,L)*e(*,W))}
* *** Subthreshold related parameters ***
+DSUB =5.000e-01 ETA0 =2.480e-01 ETAB =-3.917e-03
+NFACTOR=1.214e+00
* *** Saturation related parameters ***
+EM =4.100e+07
+PCLM =3.184e+00
+PDIBLC1=1.000e-04 PDIBLC2=1.000e-20 DROUT =5.000e-01
+A0 =5.850e-01
+A1 =0.000e+00 A2 =1.000e+00
+PVAG =0.000e+00 VSAT =1.158e+05 AGS =2.468e-01
+B0 =8.832e-08 B1 =0.000e+00 DELTA =1.000e-02
+PDIBLCB=1.000e+00
* *** Geometry modulation related parameters ***
+W0 =1.000e-10 DLC =2.4500e-08
+DWC =3.449e-08 DWB =0.000e+00 DWG =0.000e+00
+LL =0.000e+00 LW =0.000e+00 LWL =0.000e+00
+LLN =1.000e+00 LWN =1.000e+00 WL =0.000e+00
+WW =1.894e-16 WWL =-1.981e-21 WLN =1.000e+00


+WWN =1.040e+00
* *** Temperature effect parameters ***
+AT =3.300e+04 UTE =-1.300e+00
+KT1 =-5.403e-01 KT2 =2.200e-02 KT1L =0.000e+00
+UA1 =0.000e+00 UB1 =0.000e+00 UC1 =0.000e+00
+PRT =0.000e+00
* *** Overlap capacitance related and dynamic model parameters ***
+CGSO =CGSDOP CGDO =CGSDOP CGBO =CGBOP
+CGDL =1.080e-10 CGSL =1.080e-10 CKAPPA =6.000e-01
+CF =0.000e+00 ELM =5.000e+00
+XPART =1.000e+00 CLC =1.000e-15 CLE =6.000e-01
+NOFF =1.000e+00 VOFFCV =0.000e+00
* *** Parasitic resistance and capacitance related model parameters ***
+RDSW =1.033e+03
+CDSC =2.589e-03 CDSCB =2.943e-04 CDSCD =4.370e-04
+PRWB =-9.731e-02 PRWG =1.477e-01 CIT =0.000e+00
* *** Process and parameters extraction related model parameters ***
+TOX ={7.754e-09+DELTGOX}
+NGATE =0.000e+00
+NLX =1.770e-07
+XL ={0.000e+00+DELL} XW ={0.000e+00+DELW}
* *** Substrate current related model parameters ***
+ALPHA0 =1.000e-09 ALPHA1 =1.500e+00 BETA0 =3.250e+01
* *** Noise effect related model parameters ***
+AF =1.461e+00 KF =1.191e-26 EF =1.000e+00
+NOIA =5.245e+17 NOIB =4.816e+03 NOIC =8.036e-13
* *** Common extrinsic model parameters ***
+ALEV =2 RLEV =2
+RD =0.000e+00
+RS =0.000e+00
+RSH ={1.290e+02+DELRDIFFP}
+RDC =0.000e+00
+RSC =0.000e+00
+LD =-7.130e-08
+WD =3.449e-08
+LDIF =0.000e+00
+HDIF =8.000e-07 WMLT =1.000e+00
+LMLT =1.000e+00 DEL =0.000e+00 XJ =3.000e-07
+DIOLEV =4 JS =2.800e-07
+JSW =3.700e-13
+IS =0.000e+00 N =1.000e+00
+DCAPLEV=2 CBD =0.000e+00 CBS =0.000e+00
+CJ =1.3600e-03 LOT/UNIFORM=1.6300e-04
+CJSW =3.1950e-10 LOT/UNIFORM=3.8500e-11
+FC =0.000e+00
+MJ =5.400e-01
+MJSW =4.600e-01
+TT =0.000e+00
+XTI =1.973e+00 PB =1.020e+00
+PBSW =1.020e+00
* ----------------------------------------------------------------------
Modelo Monte Carlo do BJT
.MODEL VERT10 PNP MODTYPE=ELDO
* ----------------------------------------------------------------------
************************* SIMULATION PARAMETERS ************************
* ----------------------------------------------------------------------
* format : ELDO, AccusimII, Continuum
* model : BJT
* process : C35
* revision : 5.0;


* extracted : C35[A-B][3-4][A-C][1-3] B11264.L2; 2002-11; hhl (5481)
* doc# : Eng-182 Rev. 6
* ----------------------------------------------------------------------
* MONTE CARLO SIMULATION
* ----------------------------------------------------------------------
*
+IS ={EXP(GUMMELPAR)*2.3330e-17*(1+MIS_VERT10*9.8e-4)}
+IRB =4.3770e-06
+IKF ={1.3760e-03*EXP(-GUMMELPAR)}
+BF ={EXP(GUMMELPAR-RECOMBPAR)*5.9810e+00*(1+MBF_VERT10*2.8e-3)}
+NF =9.9250e-01
+ISE ={EXP(RECOMBPAR)*6.5290e-16*(1+MISE_VERT10*0.09)}
+NE =1.7760e+00
+VAF ={EXP(-GUMMELPAR)/RATIOCJS*1.9420e+02}
+IKR =1.9410e-04
+BR ={EXP(GUMMELPAR-RECOMBPAR)*9.8740e-02}
+NR =9.9470e-01
+ISC ={EXP(RECOMBPAR)*2.8430e-14}
+NC =1.1490e+00
+VAR =1.0320e+01
+RBM ={RATIORWELL*1.0000e+00}
+
+RB ={RATIORWELL*2.1380e+02}
+
+RE =9.7360e+00 LOT/UNIFORM=3.6510e+00
+AF =1.300e+00
+RC =4.5400e+01 KF =9.100e-15
+TF =8.1000e-10 LOT/UNIFORM=4.8600e-10
+
+
+
+
+
+
+EG =1.1150e+00
+XTI =5.5300e+00
+XTB =2.2500e+00
+
+CJE =1.4880e-13 LOT/UNIFORM=2.9760e-14
+VJE =1.0200e+00
+MJE =5.4882e-01
+CJC ={4.3387e-14*RATIOCJS}
+VJC =5.3000e-01
+MJC =3.1214e-01
+
+
+
* ----------------------------------------------------------------------


Anexo E
Arquivo profile.opt usado pelos modelos
*============================================================
* H35 P R O F I L E
* Owner: Austria Mikro Systeme
* HIT-Kit: Digital
* (c) Austria Mikro Systeme Intl. AG %G% gra (5463)
*------------------------------------------------------------
* Format : ELDO
* Process : H35[A-B][3-4][B-C][0-3]
* By : gra (5463)
* Date : 2009-02-26
*------------------------------------------------------------
*
* PLEASE NOTE: This file contains important parameters
* to ensure SIMULATION ACCURACY and to
* enable MONTE CARLO SIMULATION for device
* models provided by AMS-AG.
*
* Be sure to include this file for any
* analog/mixed-signal circuit simulation.
*
* MONTE CARLO: Please note that in this implementation
* only the simulation of PROCESS VARIATIONS
* is supported.
* The Monte-Carlo simulation of DEVICE MISMATCH is
* only supported for the CADENCE Hit-Kit.
*
*=============================================================
.WIDTH OUT=80
.CHECKSOA
*
* Define minimum process diffusions:
.OPTIONS DEFAD=1.2100e-12 DEFAS=1.2100e-12
.OPTIONS DEFPD=4.4000e-06 DEFPS=4.4000e-06
.OPTIONS DEFNRD=0.0 DEFNRS=0.0 DEFW=0.0 DEFL=0.0
*
* ACCURACY: STANDARD OPTIONS
*
* DC OPTIONS
* Do not allow SOR, always use Newton method:
.OPTIONS NEWTON
* Set Newton accuracy options:
.OPTIONS VNTOL=1.e-6 ITOL=0.1e-4 RELTOL=1.0e-4
* TRANSIENT OPTIONS
* Set LTE time-step control:
.OPTIONS QTRUNC
* Set Gear integration with LTE time-step control:
.OPTIONS GEAR MAXORD=3 LVLTIM=2 TRTOL=7.0 QTRUNC
* Set Accuracy options for LTE time-step control
.OPTIONS NGTOL=1.0e-5 CHGTOL=1.0e-14 FLXTOL=10.e-12 RELTRUNC=1.e-3
* Either set EPS or all the parameters above
* .OPTIONS EPS=1.0e-5
* ACCURACY: CONSERVATIVE OPTIONS
*
* For critical circuits remove the STANDARD OPTIONS above
* and uncomment all the .OPTIONS - statements below !


* The following setting try to guarantuee a very stable solution
* together with high accuracy by selecting small time steps.
*
* DC OPTIONS
* Do not allow SOR, always use Newton method:
* .OPTIONS NEWTON
* Set Newton accuracy options:
* .OPTIONS VNTOL=1.e-6 ITOL=0.1e-4 RELTOL=1.0e-6
* TRANSIENT OPTIONS
* Set LTE time-step control:
* .OPTIONS QTRUNC
* Choose most stable algorithm:
* .OPTIONS BE
* Decrease time-step directly:
* .OPTIONS TRTOL=1.0
* .OPTIONS LVLTIM=2
* Allow more iterations for a time step
* .OPTIONS ITL4=50
* More restrictive bounds -> smaller time steps
* .OPTIONS NGTOL=1.0e-5 CHGTOL=1.0e-15 FLXTOL=1.e-12 RELTRUNC=1.e-5
* Either set EPS or all the parameters above
* .OPTIONS EPS=1.0e-7
* L, W and NRx MUST be specified. See Netlist Format Docum.!
*
* Set status and partitioning reports but no model echo:
* .OPTIONS STAT=3 SIMUDIV=10 NOMOD
*
* Define correlated process parameters for Monte-Carlo:
.PARAM DELTGOX=0 LOT/UNIFORM=0.500e-09
+ DELVTON=0 LOT/UNIFORM=0.105e+00 DELVTOP=0 LOT/UNIFORM=0.100e+00
+ DELUON =0 LOT/UNIFORM=16.50e+00 DELUOP =0 LOT/UNIFORM=13.50e+00
+ DELL =0 LOT/UNIFORM=0.050e-06 DELW =0 LOT/UNIFORM=0.075e-06
+ DELTGOXT=0 LOT/UNIFORM=0.500e-09
+ DELVTONT=0 LOT/UNIFORM=0.100e+00 DELVTOPT=0 LOT/UNIFORM=0.150e+00
+ DELUONT=0 LOT/UNIFORM=19.20e+00 DELUOPT=0 LOT/UNIFORM=8.30e+00
+ DELLT =0 LOT/UNIFORM=0.050e-06 DELWT =0 LOT/UNIFORM=0.075e-06
+ DELTGOXM=0 LOT/UNIFORM=0.500e-09
+ DELVTONM=0 LOT/UNIFORM=0.100e+00 DELVTOPM=0 LOT/UNIFORM=0.150e+00
+ DELUONM=0 LOT/UNIFORM=63.60e+00 DELUOPM=0 LOT/UNIFORM=17.20e+00
+ DELLM =0 LOT/UNIFORM=0.050e-06 DELWM =0 LOT/UNIFORM=0.075e-06
+ DELTGOXTLV=0 LOT/UNIFORM=0.500e-09
+ DELVTONTLV=0 LOT/UNIFORM=0.080e+00 DELVTOPTLV=0 LOT/UNIFORM=0.080e+00
+ DELUONTLV=0 LOT/UNIFORM=19.50e+00 DELUOPTLV=0 LOT/UNIFORM=13.00e+00
+ DELLTLV=0 LOT/UNIFORM=0.050e-06 DELWTLV=0 LOT/UNIFORM=0.150e-06
+ DELTGOXMLV=0 LOT/UNIFORM=0.500e-09
+ DELVTONMLV=0 LOT/UNIFORM=0.100e+00 DELVTOPMLV=0 LOT/UNIFORM=0.150e+00
+ DELUONMLV=0 LOT/UNIFORM=67.00e+00 DELUOPMLV=0 LOT/UNIFORM=15.00e+00
+ DELLMLV=0 LOT/UNIFORM=0.065e-06 DELWMLV=0 LOT/UNIFORM=0.150e-06
+ DELTGOXH=0
+ DELVTONH=0 DELVTOPH=0
+ DELVTONMH=0
+ DELUONH=0 DELUOPH=0
+ DELUONMH=0
+ DELLH =0 DELWH =0
+ DELRDIFFN=0 LOT/UNIFORM=10.0e+00 DELRDIFFP=0 LOT/UNIFORM=25.00e+00
+ DELRPJFET=0
+ RATIORWELL=1 LOT/UNIFORM=0.1e+00
+ DELRPOLYN=0 DELRPOLYP=0
+ DELWDPOLY1=0 LOT/UNIFORM=0.05e-06
+ DELRPOLY1=0 LOT/UNIFORM=5.00e+00
+ DELWDPOLY2=0 LOT/UNIFORM=0.030e-06 DELRPOLY2=0 LOT/UNIFORM=10.00e+00


+ DELRPOLY2P=0 LOT/UNIFORM=5.00e+00 DELRPOLY2PH=0 LOT/UNIFORM=6.00e+00
+ DELWDPOLYH=0 LOT/UNIFORM=0.05e-06 DELRPOLYH=0 LOT/UNIFORM=200.0e+00
+ CGSDON =0.120e-09 LOT/UNIFORM=0.015e-09
+ CGBON =0.110e-09 LOT/UNIFORM=0.010e-09
+ CGSONT =0 CGDONT =0
+ CGBONT =0
+ CGSONIT=0 CGDONIT=0
+ CGBONIT=0
+ CGSDONM=0.108e-09 LOT/UNIFORM=0.013e-09 CGBONM =0.110e-09 LOT/UNIFORM=0.010e-09
+ CGSONM50=0 CGDONM50=0
+ CGBONM50=0
+ CGSONIM50=0 CGDONIM50=0
+ CGBONIM50=0
+ CGSDONH=0 CGBONH =0
+ CGSDOP =0.086e-09 LOT/UNIFORM=0.010e-09
+ CGBOP =0.110e-09 LOT/UNIFORM=0.010e-09
+ CGSOPT =0 CGDOPT =0
+ CGBOPT =0
+ CGSDOPM=0.091e-09 LOT/UNIFORM=0.011e-09 CGBOPM =0.110e-09 LOT/UNIFORM=0.010e-09
+ CGSOPM50=0 CGDOPM50=0
+ CGBOPM50=0
+ CGSDOPH=0 CGBOPH =0
+ CGSDONLV=0.012e-09 LOT/UNIFORM=0.001e-09 CGBONLV=0.110e-09 LOT/UNIFORM=0.010e-09
+ CGSDONMLV=0.010e-10 LOT/UNIFORM=0.002e-10 CGBONMLV=0.110e-09 LOT/UNIFORM=0.010e-09
+ CGSDOPLV=0.056e-09 LOT/UNIFORM=0.006e-09 CGBOPLV=0.110e-09 LOT/UNIFORM=0.010e-09
+ CGSDOPMLV=0.064e-09 LOT/UNIFORM=0.008e-09 CGBOPMLV=0.110e-09 LOT/UNIFORM=0.010e-09
+ GUMMELBIC=0 LOT/UNIFORM=0.68e+00 RECOMBBIC=0 LOT/UNIFORM=0.31
+ RATIOCJE=1 LOT/UNIFORM=0.17e+00 RATIOCJC=1 LOT/UNIFORM=0.2e+00
+ RATIOCJS=1 LOT/UNIFORM=0.2e+00
+ RATIORC2BN=1 LOT/UNIFORM=0.3e+00 RATIORE2BN=1 LOT/UNIFORM=0.3e+00
+ EXTRAPAR=0 GUMMELPAR={log(RATIORWELL)+EXTRAPAR}
+ RECOMBPAR=0 LOT/UNIFORM=0.47e+00
+ NORMZENER=0.5 LOT/UNIFORM=0.5
+ RATIOBV=1 LOT/UNIFORM=0.001
+ RBETABI=0 LOT/UNIFORM=0.5e+00
+ MIS_VERT10 =0 DEV/GAUSS=1
+ MBF_VERT10 =0 DEV/GAUSS=1
+ MISE_VERT10 =0 DEV/GAUSS=1
+ MR_RPOLY1 =0 DEV/GAUSS=1
+ MR_RPOLY2 =0 DEV/GAUSS=1
+ MR_RPOLY2P =0 DEV/GAUSS=1
+ MR_RPOLY2PH =0 DEV/GAUSS=1
+ MR_RPOLYZ =0 DEV/GAUSS=1
+ MR_RPOLYH =0 DEV/GAUSS=1
+ MR_RPOLY2RF =0 DEV/GAUSS=1
+ MR_RPOLYHRF =0 DEV/GAUSS=1
+ MC_CPOLY =0 DEV/GAUSS=1
+ MC_CMIM =0 DEV/GAUSS=1
*============================================================

Вам также может понравиться