Академический Документы
Профессиональный Документы
Культура Документы
Winter 2005
Design Styles
Multi-Vdd/ Vth Designs
Website: http:/ / vlsicad.ucsd.edu/ courses/ ece260bw05
http:/ / vlsicad.ucsd.edu
Source: sematech97
A growing gap between design complexity and design productivity
ECE 260B CSE 241A Design Styles 2
http:/ / vlsicad.ucsd.edu
Design Methodology
http:/ / vlsicad.ucsd.edu
entity accumulator is
port (
DI : in integer;
DO : inout integer := 0;
CLK : in bit
);
end accumulator;
architecture behavior of accumulator is
begin
process(CLK)
variable X : integer := 0; -- intermediate variable
begin
if CLK = '1' then
X < = DO + D1;
DO <= X;
end if;
end process;
end behavior;
http:/ / vlsicad.ucsd.edu
entity accumulator is
port ( -- definition of input and output terminals
DI: in bit_vector(15 downto 0) -- a vector of 16 bit wide
DO: inout bit_vector(15 downto 0);
CLK: in bit
);
end accumulator;
architecture structure of accumulator is
component reg -- definition of register ports
port (
DI : in bit_vector(15 downto 0);
DO : out bit_vector(15 downto 0);
CLK : in bit
);
end component;
component add -- definition of adder ports
port (
IN0 : in bit_vector(15 downto 0);
IN1 : in bit_vector(15 downto 0);
OUT0 : out bit_vector(15 downto 0)
);
end component;
-- definition of accumulator structure
signal X : bit_vector(15 downto 0);
begin
add1 : add
port map (DI, DO, X); -- defines port connectivity
reg1 : reg
port map (X, DO, CLK);
end structure;
Implementation Methodologies
Semi-custom
Custom
Cell-Based
Standard Cells
Compiled Cells
Macro Cells
Array-Based
Pre-diffused
(Gate Arrays)
Pre-wired
(FPGA)
http:/ / vlsicad.ucsd.edu
Full Custom
http:/ / vlsicad.ucsd.edu
Symbolic Layout
D D
3
O ut
In
G N D
Stick diagram of inverter
ECE 260B CSE 241A Design Styles 8
http:/ / vlsicad.ucsd.edu
Standard Cells
Organized in rows
Cells made as full custom by
Logic Cell
Feedthrough Cell
Medium-high density
Medium-high performance
Reasonable design time
ECE 260B CSE 241A Design Styles 9
Rows of Cells
Routing
Channel
Functional
Module
(RAM,
multiplier, )
Routing channel
requirements are
reduced by presence
of more interconnect
layers
http:/ / vlsicad.ucsd.edu
[Brodersen92]
ECE 260B CSE 241A Design Styles 10
http:/ / vlsicad.ucsd.edu
http:/ / vlsicad.ucsd.edu
Random-logic layout
generated by CLEO
cell compiler (Digital)
ECE 260B CSE 241A Design Styles 12
http:/ / vlsicad.ucsd.edu
buffer
adder
reg1
reg0
bus2
mux
bus0
bus1
routing area
feed-through
bit-slice
http:/ / vlsicad.ucsd.edu
Macrocell-Based Design
High density
High performance
Short design time
Use standard on-chip busses
System on a chip (SOC)
ECE 260B CSE 241A Design Styles 14
Interconnect Bus
Routing Channel
http:/ / vlsicad.ucsd.edu
Floorplan:
Defines overall
topology of design,
relative placement of
modules, and global
routes of busses,
supplies, and clocks
SRAM
Routing Channel
SRAM
Data paths
Standard cells
Video-encoder chip
[Brodersen92]
ECE 260B CSE 241A Design Styles 15
http:/ / vlsicad.ucsd.edu
Gate Array
rows of
uncommitted
cells
routing
channel
http:/ / vlsicad.ucsd.edu
polysilicon
In1 In 2
In3 In4
VD D
metal
possible
contact
GND
Out
Uncommited
Cell
Committed
Cell
(4-input NOR)
http:/ / vlsicad.ucsd.edu
O x id e - i s o l a t io n
PM O S
PMOS
NM OS
NM OS
NM OS
Using oxide-isolation
Using gate-isolation
http:/ / vlsicad.ucsd.edu
Sea-of-gates
Random Logic
Memory
Subsystem
LSI Logic LEA300K
(0.6 m CMOS)
ECE 260B CSE 241A Design Styles 19
http:/ / vlsicad.ucsd.edu
Prewired Arrays
Programmable logic blocks
Programmable connections between logic blocks
No layers customized (standard devices)
Digital only
Low-medium performance
Low-medium density
Programmable: SRAM, EPROM, Flash,
Anti-fuse, etc.
PLA
ECE 260B CSE 241A Design Styles 21
PROM
PAL
http:/ / vlsicad.ucsd.edu
Primary inputs
Macrocell
http:/ / vlsicad.ucsd.edu
I/O B u ffe r s
P r o g r a m / T e s t / D ia g n o s t i c s
V e r ti c a l ro u te s
I/O B u ffe rs
I/O B u ffe r s
Standard-cell like
floorplan
R o w s o f lo g i c m o d u le s
R o u tin g c h a n n e ls
I/O B u ffe r s
http:/ / vlsicad.ucsd.edu
Interconnect
P r o g r a m m e d in t e r c o n n e c t io n
I n p u t/o u tp u t p in
C e ll
A n tifu s e
H o riz o n ta l
tra c k s
V e r t ic a l t r a c k s
CLB
CLB
switching matrix
Horizontal
routing
channel
Interconnect point
CLB
CLB
http:/ / vlsicad.ucsd.edu
C o m b in a tio n a l lo g ic
S to ra g e e l e m e n ts
R
A
B /Q 1 /Q 2
D
Any function of up to
4 variables
C /Q 1 /Q 2
in
B /Q 1 /Q 2
C /Q 1 /Q 2
CE
D
A
Q 1
Any function of up to
4 variables
Q 2
D
E
CE
C lo c k
C E
Courtesy of Xilinx
ECE 260B CSE 241A Design Styles 26
http:/ / vlsicad.ucsd.edu
RAM-based FPGA
Xilinx XC4025
ECE 260B CSE 241A Design Styles 27
http:/ / vlsicad.ucsd.edu
http:/ / vlsicad.ucsd.edu
Multi-Vdd
Static power analysis
Multi-Vth + Vdd + sizing
D. Sylvester, DAC-2001
http:/ / vlsicad.ucsd.edu
Global Signaling
Current global signaling paradigm insert large static
CMOS repeaters to reduce wire RC delay
Impending problems:
D. Sylvester, DAC-2001
http:/ / vlsicad.ucsd.edu
GDSII Import
D. Sylvester, DAC-2001
http:/ / vlsicad.ucsd.edu
Multi-Vdd
Global signaling and layout optimization
Multi-Vdd
Static power analysis
Multi-Vth + Vdd + sizing
D. Sylvester, DAC-2001
http:/ / vlsicad.ucsd.edu
Multi-Vdd Status
Idea: Incorporate two Vdds to reduce dynamic power
Limited to a few recent Japanese multimedia processors
D. Sylvester, DAC-2001
http:/ / vlsicad.ucsd.edu
% of total
paths
microprocessors?
D. Sylvester, DAC-2001
http:/ / vlsicad.ucsd.edu
D. Sylvester, DAC-2001
http:/ / vlsicad.ucsd.edu
D. Sylvester, DAC-2001
D. Sylvester, DAC-2001
http:/ / vlsicad.ucsd.edu
Noise analysis
D. Sylvester, DAC-2001
http:/ / vlsicad.ucsd.edu
Static Power
Global signaling and layout optimization
Multi-Vdd
Static power
Multi-Vth + Vdd + sizing
D. Sylvester, DAC-2001
http:/ / vlsicad.ucsd.edu
Static Power
Why do we care about static power in non-portable
devices?
V th
I off 10 10
Ss
A/ m
th Design Styles 40
ECE 260B CSE 241A
http:/ / vlsicad.ucsd.edu
Current Status
ITRS
node
Tox () (electrical)
Vdd
Ion
(A/ m)
Ioff
(nA/ m)
Intel,00
50-70
18
0.85
514
100
Samsung,00
100
21
1.2
860
10
NEC,00
70
25
1.2
697
10
TI,99
100
27
1.2
800
10
Intel,99
70
32
1.2
650
NEC,00
100
13 (physical)
1.0
723
16
ITRS 2000
100
12-15 (physical)
1.2
750
13
ITRS 2000
70
8-12 (physical)
0.9
750
40
ITRS 2000
50
6-8 (physical)
0.6
750
80
ITRS 2001
45
11 (uses high-k)
0.6
1250
3000
D. Sylvester, DAC-2001
Working
numbers
http:/ / vlsicad.ucsd.edu
Vdd
MTCMOS
Pull Up
Vout
Pull Down
Other techniques
Dual-Vth domino
- Use low-Vth devices only in
evaluate paths
D. Sylvester, DAC-2001
Vcontrol
Parasitic
Node
http:/ / vlsicad.ucsd.edu
0.6
0.4
0.2
13
0
13
1
13
2
13
3
13
4
1
35
13
6
13
7
1
38
13
9
14
0
Gate-length (nm)
Leakage
Biasing
Gate-length
ECE 260B CSE 241A Design Styles 43
Leakage
Leakage Variability
Gate-length
http:/ / vlsicad.ucsd.edu
Gate-length Biasing
First proposed by Sirisantana et al.
Small bias
Salient features
http:/ / vlsicad.ucsd.edu
Granularity
Technology-level
All devices in all cells have one biased gate-length
Cell-level
All devices in a cell have one biased gate-length
Device-level
All devices have independent biased gate-length
Simplification: In each cell, NMOS devices have one gate-length and PMOS
devices have another
http:/ / vlsicad.ucsd.edu
20
Nom Vt
High Vt
15
10
5
0
INVX4
NANDX4
BUFX4
ANDX6
http:/ / vlsicad.ucsd.edu
Circuit level
SVT-SGL
DVT-SGL
SVT-DGL
DVT-DGL
http:/ / vlsicad.ucsd.edu
Normalized Leakage
1
0.9
0.8
0.7
0.6
0.5
0.4
0.3
0.2
0.1
0
SVT-SGL
SVT-DGL
DVT-SGL
DVT-DGL
c5315
c6288
c7552 alu128
http:/ / vlsicad.ucsd.edu
60.00%
Percentage Reduction in
Leakage Spread
50.00%
40.00%
30.00%
20.00%
10.00%
0.00%
c5315
ECE 260B CSE 241A Design Styles 49
c6288
c7552
alu128
http:/ / vlsicad.ucsd.edu
Futures
http:/ / vlsicad.ucsd.edu
D. Sylvester, DAC-2001
http:/ / vlsicad.ucsd.edu
Multi-Everything
Need an approach that selects between speed, static
power, and dynamic power
Multi-Vdd
Dual-Vth
D. Sylvester, DAC-2001
http:/ / vlsicad.ucsd.edu
D. Sylvester, DAC-2001
http:/ / vlsicad.ucsd.edu
Scenarios
I is very sensitive to V
on
th
at Vdd < 1V
Pstatic reduces with Vdd due
to linear term and smaller
Ioff (Ion and DIBL )
D. Sylvester, DAC-2001
http:/ / vlsicad.ucsd.edu
Assigned Vdd,low
Assigned Vth,high
And others
D. Sylvester, DAC-2001
http:/ / vlsicad.ucsd.edu
Summary
Power density must saturate to maintain affordable
packaging options
D. Sylvester, DAC-2001
http:/ / vlsicad.ucsd.edu