Вы находитесь на странице: 1из 112

Photolithography

Written by: Scotten W. Jones

Preface
The following document was written in 2000 as a chapter on Photolithography for inclusion in a highly technical - text book on Silicon Integrated Circuit Process Technology. For
marketing reasons we abandoned the book without completing it but this was one of several
chapters that are complete. This chapter is a follow on to the chapters on diffusion and silicon
properties we posted previously. We hope you find it useful.
Please note that this material was written back when we planned to publish it as a hard
cover book in black and white and is not in color the way all of the current IC Knowledge
reports are.
Scotten W Jones
President
IC Knowledge LLC
February 20, 2008

ii

Contents

1.1.
1.2.
1.3.
1.4.
1.5.
1.6.
1.7.
1.8.
1.9.
1.10.
1.11.
1.12.
1.13.
1.14.
1.15.
1.16.
1.17.

Introduction
Photoresist chemistry
Surface preparation
Coating
Soft bake
Optical principles
Optical exposure
Process and resolution enhancements
Non optical exposure
Post exposure bake
Develop
Hard bake
Photo stabilization
Photolithography process flows
Multilevel photoresists
Multilayer photoresist
Metrology and inspection

1
2
24
27
32
36
60
78
85
91
92
95
97
97
99
100
101

Copyright 2000 IC Knowledge LLC, all rights reserved

5
5.1.
5.2.
5.3.
5.4.
5.5.
5.6.
5.7.
5.8.
5.9.
5.10.
5.11.
5.12.
5.13.
5.14.
5.15.
5.16.

Photolithography

Introduction
Photoresist chemistry
Surface preparation
Coating
Soft bake
Optical principles
Optical exposure
Process and resolution enhancements
Non optical exposure
Post exposure bake
Develop
Hard bake
Photo stabilization
Photolithography process flows
Multilevel photoresists
Metrology

5.1. Introduction
The incredible progress the IC industry has made in packing more and more functionality
onto a single chip has been driven by photolithography to a greater extent than any other area of
processing. From 1970 when 10m lines were the predominant linewidth, to 2000 when
0.18m linewidths are in volume production, linewidths have shrunk by nearly two orders of
magnitude -see figure 5.1. In this chapter photolithography will be reviewed. The chapter opens
with a discussion of photoresist chemistry followed by a detailed step by step discussion of the
photolithography process. Supplemental material on optics that is required to understand optical exposure will be presented along with the latest optical exposure resolution enhancement
techniques. Some candidate post optical exposure systems will be presented and overall photolithography process flows will be reviewed. The chapter closes with a discussion of metrology
equipment relevant to photolithography.

Copyright 2000 IC Knowledge LLC, all rights

4004

10

8008

1K
8080

4K
16K

64K
8088

8085

256K

8086

386

Linewidth (m)

286
1M

486
P1

4M
16M

P1 PP

64M

0.1

Intel X86 MPU


DRAM
ITRS MPU - gate

PII

PII
64M
256M

PIII

IRTS DRAM - 1/2 pitch

0.01
1970

1980

1990
Year

2000

2010

Figure 5.1: Minimum linewidth trends [1],[2],[3].

5.2.

Photoresist chemistry

The word Photoresist comes from the combination of photosensitive and acid resistant, i.e.
a photoresist can be photographically patterned and will stand up to acid etch, enabling patterned etching. A photoresist useful for IC fabrication must exhibit several characteristics in
addition to photosensitivity and acid resistance - they are:
1. Coating - must be able to form a thin, uniform, pinhole free film.
2. Adhesion - adheres to the underlying substrate and does not lift off during subsequent
processing.
3. Sensitivity -must be sensitive to the wavelength of radiation utilized for exposure.
4. Resolution - the ability to resolve the required minimum feature size being printed.
5. Developing - must exhibit a significant difference in develop rate between the exposed and
unexposed areas without pattern distortion.
6. Process resistance - withstands plasma etch processes, high dose ion implantation, and wet
etchants.
7. Easy removal - must be removable following processing.
8. Thermal stability - must withstand bake processes without pattern distortion.
9. Stability - the formulation must be stable enough to be stored for reasonable periods of time
without requiring prohibitively difficult storage conditions.
10. Safety - the material cannot present an unacceptable health hazard.

Copyright 2000 IC Knowledge LLC, all rights reserved

Practical photoresists meeting the above criteria are available from a number of suppliers
such as Shipley, Clariant/Az, Olin Microelectronics, Sumitomo Chemical Co., etc.
Practical photoresists are generally composed of three major components:
1. Polymer - the polymer is the backbone of the photoresist. Following exposure and developing the polymer is the major constituent of the patterned photoresist film.
2. Photo active compound (PAC) - the PAC is the constituent of the photoresist that undergoes
a reaction when exposed to light. The photoactive compound may be combined with the
polymer.
3. Solvent - keeps the photoresist in liquid form until after coating.
Photoresist may be classified as negative or positive photoresist.
Negative photoresist - relatively developer soluble until exposed to light at which point the
exposed portion exhibits decreased developer solubility.
Positive photoresist - relatively developer insoluble until exposed to light at which point
the exposed portion exhibits increased developer solubility.
Figure 5.2 illustrates negative and positive photoresist behavior.
Exposing radiation

Exposing radiation

a) Negative photoresist

b) Positive photoresist

Patterned
photomask

Unexposed area
Exposed area
Expose

Develop

Figure 5.2: Negative and positive photoresist.

5.2.1. Photochemistry basics


In order for exposure to light to change the developer solubility of a photoresist film - some
type of photochemical reaction must take place. The 1st law of photochemistry - the GrotthusDrapper law states
First law of photochemistry - only light that is absorbed can cause chemical change.
When a molecule absorbs a photon of light, the molecule is promoted to an excited state.
Most molecules have an even number of electrons in the ground state and the electrons are spin
paired, i.e. the molecule has no net spin angular momentum and the state is referred to as a singlet. The singlet ground state is typically denoted as S0. In most absorption processes an electron is excited to a higher energy level, S1, S2, S3 etc. - see figure 5.3a. Each singlet state has a
corresponding triplet state where the electrons are not spin paired, denoted, T1, T2, T3, etc. - see

Copyright 2000 IC Knowledge LLC, all rights

figure 5.3b (the states are called triplets because in a magnetic field they break up into three
levels).

Photon

Intersystem
crossing

Absorption

S0

S1
a) Absorption

T1
b) Intersystem crossing

Figure 5.3: Singlet and triplet states

Due to the same spin pairing of the outermost electrons in the triplet state, the Pauli exclusion principle forces the electrons to be more widely spaced resulting in the triplet state having
a lower energy than the corresponding singlet state. Non radiative transitions between states
with the same spin are referred to as internal conversions, where an electron transitions from a
higher electronic state to the upper vibrational level of the lower state, for example S2 -> S1 or
T2 -> T1. These transitions are isoenergetic. When a non radiative transition occurs between
states with different electron spins an intersystem crossing occurs and the transition is followed
by a vibrational cascade, for example S1 -> T1 or S2 -> T2. Molecules in an exited vibrational
state, singlet or triplet may undergo radiative transitions where the molecules relax by emitting
a photon, non radiative transitions for example vibrational, or they can undergo a variety of
photochemical reactions as illustrated in figure 5.4 [4].
The activation energies for chemical reactions are generally in the 0.43 - 4.33eV range.
The energy of an incident photon is given by
hC
E = ------

(5.1)

where,  is the wavelength of the incident light, h is planks constant and C is the speed of light
in a vacuum (see appendix C for the values of h and C).
Table 5.1 presents the photon energy for some current and planned exposure wavelengths.
The second law of photochemistry is the Stark - Einstein law (not really a law):
Second law of photochemistry - one quantum of light is absorbed per molecule of absorbing and reacting substance.
The quantum yield of the process is defined as
# of molecules decomposed or formed
= -------------------------------------------------------------------------------------------# of quanta absorbed

(5.2)

Copyright 2000 IC Knowledge LLC, all rights reserved

Quantum yields of photochemical reactions at room temperature range over approximately


seven orders of magnitude from 1x10-2 to 1x105.
AB + C

Dissociation into radicals

E+F

Decomposition into molecules

ACB

Intermolecular rearrangement

ABC*(S1)
ABC*(T1) or
ABC*(S0)

Photoisomerization

RH

(ABCH) + R

Hydrogen ion abstraction

ABC

(ABC)2

Photodimerization

(S1) ABC
(T1) ABC

Photosensitization

ABC + prod
+

ABC + e
ABC

+ (o r-)

AB + C

+D

Photoionization
- (o r+)

External electron transfer


Internal electron transfer

S1 - singlet, T1 - triplet

Figure 5.4: Primary photochemical reactions [4].


Table 5.1: Photon energy of exposure wavelengths.
Wavelength
(nm)

Energy
(eV)

436

2.84

365

3.40

248

5.00

193

6.42

157

7.90

Two other important laws of photochemistry are:


Lambert law - the fraction of incident radiation absorbed by a transparent medium is independent of the intensity of the incident radiation and each successive layer of the medium
absorbs an equal fraction of the incident radiation.
Beer law - the amount of radiation absorbed is proportional to the number of absorbing
molecules.
If light with an initial intensity I0 is incident on a photoresist film, then the portion of the
incident intensity absorbed in a thickness dx is given by (see figure 5.5)

I
------ = Cdx
I0
where,  is the absorption coefficient and C is the absorbing molecules per unit volume.

(5.3)

Copyright 2000 IC Knowledge LLC, all rights

The specific value of  depends on the photoresist film and the wavelength of the incident
radiation. Curves for various photoresists are available and may be expressed as optical density
or absorbance versus wavelength, where optical density is defined as (see also figure 5.5)
I0
OD = Log ---I

(5.4)

and
I = I 0 I 0 10

Cx

(5.5)

Incident
radiation
I0 at 0
Intensity

dx
Film

I at x

Figure 5.5: Photoresist exposure energy absorption.

Absorbance curves for various photoresist will be presented later in this section.
5.2.2. Negative photoresist
The first commercial photoresist for semiconductor production was a negative photoresist Kodak Thin Film Resist (KTFR) invented by Martin Hepher and Hans Wagner [5]. KTFR went
on to become the workhorse photoresist for the semiconductor industry from 1957 until
approximately 1972 when minimum dimensions reached approximately 2ms - the resolution
limit for KTFR [6]. Due to the popularity of KTFR, KTFR will be discussed in detail as an
example of a negative photoresist. It should be noted that there are other successful negative
photoresist formulations.
The polymer in KTFR is a cyclized poly(cis-isoprene) of molecular weight of approximately 150,000. A synthetic rubber is treated with acidic reagents to reduce the degree of
unsaturation in the rubber and stabilize the rubber against O2 mediated cross-linking [6].
Unsaturation - the total number of multiple bonds or rings in a molecule.
The preparation of synthetic cis-1,4-polyisoprene is illustrated in figure 5.6. Notice how
two double bonds are replaced by a single double bond, i.e., the degree of unsaturation is
reduced.

Copyright 2000 IC Knowledge LLC, all rights reserved

CH3
C

n CH2

CH3
CH

CH2

CH2

CH

CH2

Figure 5.6: Preparation of synthetic cis-1,4-polyisoprene [7].

The cyclization process lowers the viscosity of the rubber and raises the softening point
from 30 to nearly 60oC [6].
The polyisoprene from figure 5.6 is cyclized to produce resin (see figure 5.7).
Cyclization - formation of a ring compound from a chain by formation of a new bond.
Cyclization is accomplished by reacting acidic reagents with shredded rubber. Suitable
acids include: sulfuric acid, p-toluene sulfonic acid, p-toluene sulfonyl chloride, and phenol
sulfonic acid derivatives [7].
CH2
CH3
C
HC

Rubber (natural or synthetic)


CH2

CH2

HC

CH3
C

HCH H
C
H

CH3 HC

CH2
HC
C

HCH H
C
H

Repeating structure of
polyisoprene polymer. Note:
there is one double bond for
each five carbon atoms.

CH3

CH2
CH3
C
HCH

Resin
CH2

CH2

HC

CH3
C

HCH H
C
H

CH3 HCH
HCH H
C
H

CH2
HC
C

Isomeric after structure


monacyclization
(DIanni [8])
Note: there is one double bond
for each ten carbon atoms.

CH3

Figure 5.7: Polyisoprene resin formation [7].

There are several possible cyclization modes that may result (see figure 5.8). The cyclicity
is given by
cyclicity = n 1
where, n is the number of isoprene units.

(5.6)

Copyright 2000 IC Knowledge LLC, all rights

CH2

CH2

CH2

CH3
CH2

CH3
CH2

CH3
CH2

CH3

CH3

CH3

a) DIanni [8]

b) Isomers
CH2
CH3
CH2
CH2

CH3
c) Bicyclic

Figure 5.8: Cyclization modes [7].

The properties of polyisoprene before and after cyclization are presented in table 5.2.
The cyclization process creates a more compact and rigid structure as double bonds are
eliminated. From table 5.2, the density, refractive index and softening point all increase and the
viscosity decreases during cyclization.
Viscosity - an internal property of a fluid that offers resistance to flow.
Table 5.2: Properties of polyisoprene before and after cyclization [21].
Property

Un-cyclized

Cyclized

Density

0.920

0.992

Refractive index

1.520

1.545

Softening point (oC)

28

50-65

Intrinsic viscosity

3-4

0.49-0.36

Photoresist properties such as photospeed, adhesion and thermal behavior all depend on the
specifics of the cyclization process [21]. High molecular weight cyclized rubbers show greater
photo sensitivity but also show poorer adhesion and are more difficult to develop cleanly.
Cyclized rubber does not absorb light above 300nms and does not undergo significant curing
under ultraviolet (UV) exposure. In order to render cyclized rubber photosensitive a sensitizer
is used. The sensitizer used in KTFR is a bis-arylazide, 2,6-bis(4-azidobenzal)-4-methylcyclohexanon.
Azides - compounds containing one or more N3 group.
2,6-bis(4-azidobenzal)-4-methylcyclohexanone is synthesized by condensation of para-azidobenzaldehyde with a substituted cyclohexane - see figure 5.9.

Copyright 2000 IC Knowledge LLC, all rights reserved

O
O

+ 2
CH3

N3

CH

CH

N3

N3

CH3

Figure 5.9: 2,6-bis(4-azidobenzal)-4-methylcyclohexanone sensitizer formation [6].

In order to effectively cross-link cyclized rubber the sensitizer must have two reactive sites.
Upon exposure to light, the N3 groups terminating the ends of the sensitizer may give up an N2
molecule and leave a reactive nitrogen radical with 6 rather than 8 electrons in the valence shell
- referred to as a nitrene - see figure 5.10.
Light
N

Light
R

N2

N2

Figure 5.10: bis-arylazide sensitizer reaction with light.

Polymer

Polymer

The exposure reaction may be monophotonic with a single photon breaking 2 - N2 molecules free leaving a nitrene at each end of the bis-arylazide, or biphotonic where two photons
are required to create a nitrene at each end.
The resulting nitrene may exist in the single or triplet state and is highly reactive. There are
5 probable nitrene reactions [21]:
1. Addition to olefins - nitrene additions to double bonds to form 3 membered azirdine ring
compounds. This reaction is believed to be restricted by low concentration and sterically
hindered nature of the double bond in cyclized rubber.
2. Hydrogen abstraction - nitrene abstraction of hydrogen atoms from cyclized rubber followed by recombination of the nitrogen and carbon radicals to form secondary amines.
These reactions occurring at both ends of the bis-arylazide lead to polymer crosslinking see figure 5.11. Polymer crosslinking reduces the solubility of the photoresist in developer.

Figure 5.11: bis-arylazide sensitizer - polymer cross - linking.

10

Copyright 2000 IC Knowledge LLC, all rights

Amine - a simple compound containing an ammonia group such as RNH2, R2NH or R3N,
where R is either an akyl or aryl hydrocarbon radical.
3. Dimerization - nitrogen-nitrogen double bonds form between bis-arylazide sensitizers by
R N: + :N R R N = N R

(5.7)

This reaction competes with crosslinking, but is inconsequential as long as the sensitizer
concentration isnt too high.
4. Azide decomposition - a nitrene - nitrogen bond forms between bis-arylazide sensitizers by
R N: + N 3 R R N = N R + N 2

(5.8)

Once again this reaction competes with crosslinking, but once again is inconsequential as
long as the sensitizer concentration isnt too high.
5. Oxidation - an oxygen atom reacts with a nitrene preventing cross linking by
R N + 1 2 O2 R N = O

(5.9)

Oxidation is minimized by limiting oxygen availability during exposure. Commercial


exposure systems typically provide a nitrogen blanket during exposure.
Due to reactions 3 and 4, there exists an optimum sensitizer level above which reactions 3
and 4 become prevalent and below which the photoresist does not exhibit sufficient photo-sensitivity.
Because bis-arylazide sensitizers evolve nitrogen during exposure the exposure reaction
rate must be controlled by limiting the incident exposure intensity in order to prevent nitrogen
bubble formation in the film. Nitrogen bubbles can break down the film adhesion and effect
resolution.
The absorbance of a bis-arylazide sensitizer versus wavelength is illustrated in figure 5.12.
Figure 5.12 also illustrates the extended absorbance of bis-arylazide that has undergone
extended conjugation with vinylic groups. Bis-arylazide sensitivity drops off to near zero at
400nm, but with extended conjugation the sensitivity can be extended to longer wavelengths.
The longer wavelength sensitivity can be useful with exposure systems where the light source
is filtered to only include a single wavelength such as 436nm (G-Line) systems (see section 5.6
and 5.7).
Conjugation - alternating single and double bonds.
In the case of bis-arylzides the single and double bonds connecting the carbon rings as
shown in figure 5.13a are extended as illustrated in figure 5.13b.
The solvents for cyclized rubber photoresist include, toluene, xylene, and halogenated
hydrocarbons, with xylene being the most common. The structures of xylene and toluene are
illustrated in figure 5.14. There are three forms of xylene illustrated that are found mixed
together in commercial xylene, metaxylene is the predominant form.
Following exposure, cyclized rubber photoresist developing is performed by exposing the
photoresist to solvents with a solubility parameter similar to the solubility parameter of the
photoresist - like dissolves like - for example, stodard solvent or xylene  = 8.8.
Solubility parameter - the solubility parameter is defined as

Copyright 2000 IC Knowledge LLC, all rights reserved

11

D ( H V RT ) 1/2
E
= ---------V- = ----------------------------------

M
V

(5.10)

where, D is density, HV is the heat of vaporization, T is the temperature in oK and M is the
molecular weight. For polymers,  is found by noting the range of  parameter solvents the
polymer dissolves in.
2.0
1.8
1.6

360nm
bis arylazides

Absorbance

1.4
1.2
1.0

400nm After
extended
conjugation

0.8
0.6
0.4
0.2
0.0
300

400
Wavelength (nm)

500

Figure 5.12: Absorbance versus wavelength for bis-arylazide sensitizer [22].


O

N3

N3

N3

N3

CH3

CH3

a) bis-arylzide

b) bis-arylzide after extended conjugation

Figure 5.13: Extended conjugation of bis-arylazide [22].


CH3

CH3

CH3

CH3

CH3
CH3
CH3
Orthoxylene

Metaxylene
a) Xylenes

Paraxylene
b) Toluene

Figure 5.14: Cyclized rubber photoresist solvents.

12

Copyright 2000 IC Knowledge LLC, all rights

Upon exposure to the developing solvent the cyclized rubber polymer swells. Where the
polymer is crosslinked from exposure the cross-links hold the polymer together and where the
polymer is not crosslinked the polymer strands are washed away by the developer - see figure
5.15.

a) Unexposed region

b) Exposed region

Figure 5.15: Cyclized rubber photoresist developing.

One consequence of the solvent based developing process is that photoresist swells in both
the un-exposed and exposed area. At the completion of developing the photoresist is rinsed
with a solvent which is miscible with developer but not with the polymer, for example butyl
acetate,  ~12.4 or a isopropanol/trichlorethylene mixture. The rinsing step halts developing
and reduces the photoresist swelling. The final photoresist image is distorted to some degree by
the developing process and ultimately this limits the resolution of cyclized rubber photoresist.
Figure 5.16a illustrates cyclized rubber photoresist developing in the presence of good adhesion and figure 5.16b illustrates the effect of developing on resist with poor adhesion.
Exposed regions

Exposed regions

Exposure

During
develop
a) "Good" adhesion

b) "Poor" adhesion

Figure 5.16: Effect of adhesion on cyclized rubber photoresist developing.

In addition to the base polymer, photo sensitizer and solvent, negative photoresist will
commonly contain additives to improve the performance of the resist. For example, negative
photoresists can react with nitrogen dioxide and ozone to form NO2, NO3 and NO polar groups
that interfere with the developing of the resist. The result is scumming and anti-scumming
additives may be used to prevent the undesired reactions.
5.2.3. Positive photoresist
By 1972 the limitations of negative photoresists had been reached and Diazonaphthoquinone/novolac (DQN) based positive photoresist had taken over the IC photolithography
market. For the next quarter century DQN based photoresists held over 90% market share [6].

Copyright 2000 IC Knowledge LLC, all rights reserved

13

The base resin of DQN is novolak resin. Novolak resin is generated by reacting meta-cresol figure 5.17a, in excess with formaldehyde - figure 5.17b, the result is novolak resin - figure
5.17c. The excess cresol during the reaction results in both ends of the novolac resin being terminated by phenol groups, therefore the novolak resin does not cross-link.
OH

OH

H
C

+
CH3

CH2

acid

a) Meta-cresol
(in excess)

CH3
c) Novolak resin

b) Formaldehyde

Figure 5.17: Novolak resin generation.

The photosensitive element in DQN photoresists is naphthoquinone diazide sulfonylchloride. Naphthoquinone diazide sulfonylchloride generation begins with hydroxy naphthalene
sulfonic acid - figure 5.18a, which undergoes nitration and reduction with sodium dithionite or
raney nickel catalyst - figure 5.18b, which is then reacted with HCl and NaNO2 -figure 5.18c,
and ClSO3H at 60oC to produce the sensitizer [7].
OH

OH
NO2

SO3H

SO3H

a) Hydroxy naphthalene
sulfonic acid

b) Reduction by
Sodium dithionite or
raney nickel catalyst

OH
NH2

N2
SO3H
d) ClSO3H

c) HCl
NaNO2

SO3H
O

N2

at 60 C
SO2Cl

e) Naphthoquinone diazide
sulfonylchloride

Figure 5.18: Generation of Naphthoquinone diazide sulfonylchloride [7].

The combination of naphthoquinone diazide sulfonylchloride and novolak resin creates a


photosensitive resin - DQN - figure 5.19.

14

Copyright 2000 IC Knowledge LLC, all rights

OH

O
N2

CH2
+
CH3

SO2Cl

b) Novolak resin

a) Naphthoquinone diazide
sulfonylchloride

O
N2

SO2
CH2
CH3

Figure 5.19: Generation of light sensitive novolak resin - DQN.

When exposed to light the naphthoquinone diazide sulfonylchloride undergoes a reaction,


see figure 5.20.
Light
O

O
N2

+ N2

SO2Cl

SO2Cl

a) Naphthoquinone diazide
sulfonylchloride

b) Keto-carbene
COOH

C
SO2Cl

O
+ H 2O
Hydration

c) Ketene

SO2Cl

d) Indene-3carboxylic acid
H

Developing
NaOH

H H

O
C ONa
+ H2O

SO2Cl

Figure 5.20: Naphthoquinone diazide sulfonylchloride photochemical reaction.

Copyright 2000 IC Knowledge LLC, all rights reserved

15

When it is exposed to light naphthoquinone diazide sulfonylchloride undergoes a photolytic decomposition to an unstable keto-carbene and nitrogen - figure 5.20b. The keto-carbene immediately rearranges to a more stable ketene - figure 5.20c. The ketene structure is very
hydroscopic and immediately reacts with water to form carboxylic acid - figure 5.20d. This is
the Suss reaction [9] except that Suss believed that the carboxylic acid was indene-1-carboxylic
acid which was later shown to be indene-3-carboxylic acid [6]. The carboxylic acid is soluble
in basic developers.
The absorbance of light versus wavelength for novolak resin and naphthoquinone diazide
sulfonylchloride sensitizer is illustrated in figure 5.21.
1.0

0.8

Resin

I-line

0.9
Sensitizer

G-line

Absorbance

0.7
0.6
0.5
0.4
0.3
0.2
0.1
0
200

400
300
Wavelength (nm)

500

Figure 5.21: Absorbance of novolak resin and naphthoquinone diazide sulfonylchloride


sensitizer versus exposing wavelength.

Also illustrated in figure 5.21 are arrows corresponding to intensity peaks in the output of a
mercury lamp. Mercury lamps were used as the exposing light source during the time period
when DQN was the dominant photoresist. At the beginning of the use of DQN, the broad band
output of the mercury lamp was used. As exposure systems became more sophisticated the
mercury lamp output was filtered to a narrow output band. Initially the 436nm output peak referred to as the G-line, was the predominant exposure wavelength. As minimum linewidths
continued to shrink, the 365nm - I-line wavelength began to be used (the effect of exposure
wavelength on resolution will be discussed in detail in section 5.6). Throughout the broad band
mercury, G-line and I-line exposure periods, DQN photoresist remained the dominant photoresist. I-line photoresists were improved formulations of DQN with greater consistency and optimized additives.
As the photoresist is exposed at G or I-line, the sensitizer provides the principle absorbance
of the exposing radiation. As the sensitizer reacts to exposure, the sensitizer is bleached and

16

Copyright 2000 IC Knowledge LLC, all rights

the absorption drops. The bleaching reactions allows relatively thick photoresist films to be
used and as the sensitizer bleaches the exposing radiation reaches deeper and deeper into the
photoresist.
During exposure a possible side reaction is the combination of a keto-carbene and a ketene
to form a dioxole - figure 5.21. Dioxole formation consumes two reactive specie, but is unlikely
to occur due to the short life of the keto-carbene specie and in dried photoresists the restricted
movement of molecules [10].
O
C

+
SO2Cl

SO2Cl
b) Ketene

a) Keto-carbene

C
O
SO2Cl

SO2Cl
c) Dioxole

Figure 5.22: Dioxole formation during DQN exposure.

The solvents utilized to keep DQN photoresist in solution were typically cellosolve acetate
- ethylene glycol monoethyl ether acetate also referred to as EGMEA. Later in the development
of DQN photoresists health concerns about EGMEA led to the replacement of EGMEA with
PGMEA - propylene glycol monoethyl acetate.
The developing reaction for DQN photoresist is fundamentally different from negative
photoresists. Where negative photoresist developing is a physical reaction - swelling of the
polymer, DQN developing is a chemical etching reaction.
The photoresist in the exposed areas is rendered base soluble by exposure. Bases such as
sodium hydroxide - NaOH or more commonly tetra methyl ammonium hydroxide - TMAH (CH3)4NOH, will dissolve the exposed photoresist.
In unexposed regions of the photoresist the sensitizer - figure 5.23a, forms an azo couple figure 5.23c, with the resin - figure 5.23b, resisting the action of the developer solution. An
unfavorable reaction that can occur during developing is the reaction of the unexposed naphthoquinone diazide sulfonylchloride - figure 5.24a, with carboxylic acid - figure 5.24b, to form
azo dye - figure 5.24b. Azo dye formation is unfavorable unless the photoresist is underexposed in which case azo dye can cause scumming during develop.
A number of additives are utilized in commercial DQN photoresist formulations. Dyes are
added to mask discoloration of the resist during storage, surfactants are used to improve coating
uniformity, and a variety of additives are used to improve adhesion, heat resistance, dry etch
resistance, photospeed, and developing.

Copyright 2000 IC Knowledge LLC, all rights reserved

17

OH
O
N2
SO2

C
HO

CH2

O
N

OH
CH2

NaOH
developer

OH

SO2

C
HO

a) Sensitizer in
unexposed area

OH

c) Azo coupled resin


and sensitizer

b) Resin

Figure 5.23: Unexposed area of DNQ photoresist during developing.


O

COOH
N2

H H
a) Naphthoquinone diazide b) Indene-3sulfonylchloride
carboxylic acid
SO2Cl

SO2Cl

COOH
N
SO2Cl

OH
N

H H

SO2Cl

c) Azo dye

Figure 5.24: Azo dye formation during develop.

5.2.4. 248nm photoresist


In order to continue shrinking minimum linewidths, the industry continued to explore the
use of shorter exposure wavelengths (see section 5.6 for a discussion of the effect of exposure
wavelength on minimum linewidths). The first production worthy tool capable of deep ultraviolet - DUV (140 to 300nm wavelength) exposure was the Perkin Elmer 500 Micrascan system [6]. The Perkin Elmer 500 utilized a mercury arc lamp with very low output in the DUV
region. Ideally photoresist for DUV would have much higher sensitivity than the standard
DQN photoresist in order to support short exposure times and therefore high exposure system
productivity. Another issue with DQN photoresist for DUV is the high absorbance of the
novolak resin in the DUV region - see figure 5.21.

18

Copyright 2000 IC Knowledge LLC, all rights

In 1978, Jean Frechet and Grant Willson set out to design a chemically amplified photoresist with much higher sensitivity than existing photoresists [6]. In a chemically amplified photoresist system a catalytic specie generated by exposure causes a cascade of subsequent
chemical reactions to occur. Frechet and Willson were joined by Hiroshi Ito and imaging systems were produced with two orders of magnitude greater sensitivity than DQN photoresists.
The original systems lacked sufficient resistance to subsequent processing but fairly quickly
the team developed a practical system based on the poly(p-hydroxystyrene) polymer - PHOST
[6] - see figure 5.25a.
( CH

CH2 )n

OH

(CH2CH)

O
C

CH3
C

O CH3 CH3
a) PHOST

b) PBOCST

Figure 5.25: PHOST and PBOCST polymers [6].

They found that the t-butylcarbonate protected monomer PBOCST - see figure 5.25b, was
more stable than unprotected p-hydroxystyrene [6]. They further found that the protected polymer could be unprotected by acid treatment. The combination of poly(4-t-butoxycarbonloxystyrene) - PBOCST with a photoacid generator yielded a practical photoresist that could
produce a positive or negative tone image just by changing the developer. The resulting photoresist is referred to as tBOC. tBOC photoresists have two components:
Acid generator - an onium salt cationic photoinitiator which upon exposure to light generates an acid by
-

Ar 3 S AsF 6 + h AR 2 S + Ar + R + HAsF 6

(5.11)

- see figure 5.26.


Protected polystyrene - PBHOST
The exposure deprotection reaction of tBOC is illustrated in figure 5.27
In figure 5.27 the reaction of the protected polymer PBOCST with an acid - figure 5.27a,
produces a deprotected polymer PHOST - figure 5.27b and additional acid - figure 5.27c. The
generation of acid during the deprotection reaction allows a single photo event to catalyze the
deprotection of multiple PBOCST molecules. Partially protected PHOST forms the base resin
for all modern advanced positive photoresists [23].
The tBOC photoresist can produce a positive image if a polar solvent such as alcohol or an
aqueous base is used for developing and produce a negative image if a non polar solvent such
as anisole is used.

Copyright 2000 IC Knowledge LLC, all rights reserved

19

N2PF6
a) Diazonium salts

IAsF6

b) Diphenyliodonium salts

SSbF6

c) TriphenylSulfonium salts

PbF6

d) Tetraphenylphosphonium salts

Figure 5.26: Onium salt photoacid generators [24].

(CH2CH)

(CH2CH)
H

CO2

CH3

CH3

OH

O CH3 CH3
a) Lipophilic
PBOCST

CH3

O
C

H3C

CH3
+ CH2 C
CH3

b) Hydrophilic
PHOST

c) Regeneration
of acid

Figure 5.27: tBOC photoresist deprotection mechanism [23].

Following exposure a post exposure bake (PEB) is required to aide in the diffusion of the
acid and to drive the catalytic reaction. A problem occurs with chemically amplified photoresists when the photoresist is exposed to amines between the exposure and PEB steps. The
amines can neutralize the acids in the surface of the photoresist and lead to overhangs or T tops
on the photoresist lines. Improved photoresist formulations and filtration to remove amines to
<1ppb are effective in eliminating T-topping (amine levels of >10ppb are not uncommon in IC
fabrication facilities.
Figure 5.28 illustrates the absorbance of PHOST, PBOCST and an onium salt PAG versus
wavelength. It can be seen that the absorbance of PBOCST and PHOST at 248nms is
acceptably low for use as a base polymer.

20

Copyright 2000 IC Knowledge LLC, all rights

1.0
0.9
0.8

Ph2l
AsF6

0.6
0.5

248nm

Absorbance

0.7
PHOST

0.4
0.3
0.2

PBOCST

0.1
0
200

300
Wavelength (nm)

400

Figure 5.28: Absorption spectra for polyhdroxy styrene photoresist components [24].

5.2.5. 193nm photoresist


At the time that this book is being written, 248nms is the mainstream wavelength for critical exposures at the current state-of-the-art minimum linewidth (180nms). In order to continue
to shrink minimum linewidths, 193nm exposure wavelengths are being investigated for 130nm
and smaller minimum linewidth production. From figure 5.28 it can be seen that the absorbance
of PBOCST and PHOST below 248nms is unacceptably high, therefore 193nm photoresist will
once again require a new base polymer - see figure 5.29. The best 193nm polymers available
today include [25]:
Acrylic polymers (acrylate based platforms with attached alicyclic structures). Acrylics
provide high resolution but relatively poor etch resistance. The incorporation of
cycloaliphatic side groups in the polymer backbone reduces the etch rate.
Cyclic olefins provide intrinsically good etch resistance. A nonbornene copolymer with a
transition metal catalyst developed by BF Goodrich and IBM demonstrated sub-100nm resolution in 1999 [25].
Issues with PAG selection and photoresist outgassing also remain.
5.2.6. 157nm photoresist
The formulation of photoresist for 157nm exposure wavelengths is still in the very early
stages. A Curtin, et.al. at MIT have found that 157nm absorbance is dominated by C (2p) electrons and that the bonding configuration is expected to greatly influence the absorption [26].
Acrylic, Phenolic, and Cyclo-olefin based photoresists can all achieve acceptable optical transmission at 157nms if the photoresist thickness is <90nms, however, industry experience suggests that defect density levels will be unacceptably high at such a thin photoresist thickness

Copyright 2000 IC Knowledge LLC, all rights reserved

21

(industry experience to-date is that the defect density increase exponentially as the photoresist
thickness is reduced [26] - see figure 5.30).
1.0
0.9
0.8
p-hydroxystyrene
0.6
0.5
0.4

193nm

Absorbance

0.7

0.3
0.2

Polyacrylate

0.1
0
175

200

300
Wavelength (nm)

400

Figure 5.29: Absorbance of PHOST versus polyacrylate [27].

1,000
Kunz (1992) [31]

Defect density /cm

100
Muller (1992) [29]
10
Kuan (1988) [30]

1.0
0.1

Early (1992) [28]


1997 SIA roadmap

0.01
0.001
10

100
Photoresist thickness (nm)

1,000

Figure 5.30: Defect density versus photoresist thickness [26].

5.2.7. Photoresist summary


Table 5.3 summaries the properties of the photoresist presented in the preceding section

22

Copyright 2000 IC Knowledge LLC, all rights

Table 5.3: Photoresist summary.


Characteristic
Type

bis-arylazide polyisoprene

DQN

tBoc

Negative

Positive

Negative or
Positive

Exposure wavelength (nm)

350-450

365, 436

248

Usable resolution (nm)

~2,000

~250

50?

Cost ($/gal)

~$100

$300-$800

~$2,000

Oxygen during

None

Amines between

Special sensitivities

exposure

exposure and
PEB

Humidly comments

High humidity

Humidity required

causes loss of

during develop - 35 -

adhesion - 30 -

45% RH ideal

40% RH ideal
Adhesion

Excellent

Good

Good

Plasma etch and implant


compatibility

Excellent

Good

Good to fair

Solvent develop

Aqueous develop

Aqueous or sol-

swells polymer

dissolves resist

vent develop dis-

Developing reaction

without swelling

solves resist
without swelling.

Wet strip

Sulfonic acid strip-

NMP or aqueous

pers that form acid

based stripper with

with water and are

reduced moisture

hard to dispose of

sensitivity and easier disposal.

5.2.8. Photoresist critical parameters


There are a number of critical parameters that may be used to define the performance of a
photoresist.
5.2.8.1. Dose to clear (E0)
If film thickness versus the natural log of exposure dose is plotted for positive photoresist
following develop, the dose to clear is the exposure dose where the film thickness remaining
reaches zero - see figure 5.31.

Copyright 2000 IC Knowledge LLC, all rights reserved

23

Normalized film thickness (t/t0)

1.0
tl (dark erosion)
0.8
=

0.6

dt/t0
ln(dose)

0.4
E0
0.2
0.0
2

Ln exposure dose (mJ/cm )

Figure 5.31: Dose to clear.

Dose to Clear Eo (mJ/cm )

Dose to clear is a measure of the sensitivity of the photoresist. The lower the dose to clear
the more sensitive the photoresist is for a given thickness and exposing wavelength. More sensitive photoresist are advantageous for high productivity of exposure equipment - high sensitivity photoresist expose more quickly for a given exposure source intensity. Dose to clear is
dependent on the thickness of photoresist being exposed due to interference effects (see section
5.6.9). A plot of dose to clear versus film thickness is called a swing curve - see figure 5.32.
200
180
160
140
120
100
0.90

1.00
1.10
1.20
Photoresist Thickness (m)

Figure 5.32: Dose to clear swing curve.

1.30

24

Copyright 2000 IC Knowledge LLC, all rights

5.2.8.2. Gamma ()


Gamma is the slope of the thickness remaining curve between the unexposed region of the
photoresist and E0 - see figure 5.31. Gamma is a measure of contrast, i.e., the difference in
exposure required between the exposed and unexposed regions to produce an image. Higher 
results in sharper images.
5.2.8.3. Thickness loss (tl)
Thickness loss is the change in photoresist thickness following develop in the unexposed
regions of the photoresist - see figure 5.31.
5.2.8.4. Glass transition temperature (Tg)
Glass transition temperature (Tg) - the point at which an amorphous polymer undergoes a
transition from a brittle glassy state to a flexible rubbery state. Below Tg the polymer is glassy
and brittle, and above Tg the polymer is flexible and rubbery. Higher Tg materials show
increased resistance to flow and pattern distortion during bakes, but have poorer mechanical
performance. Crosslinking increases the stiffness of a polymer above Tg. Following developing
it is desirable to crosslink the remaining film to increase the resistance to flow and pattern distortion.
5.2.8.5. Viscosity
Viscosity is a measure of the resistance to flow of the photoresist. All other things being
equal, higher viscosities will result in thicker photoresist during coating (see the section on
coating). The viscosity of the photoresist must be properly selected for the desired film thickness after coating. Alternately, solid content may be used to characterize the films resistance to
flow.
5.2.8.6. Dill parameters
For DNQ photoresist, Dill parameters describe the difference in photoresist absorption versus wavelength during exposure [32]. Dill A is the absorption of the resist prior to any bleaching. Dill B is the residual absorption of the resist after bleaching. Dill C is the rate of absorption
change or bleaching.

5.3. Surface preparation


Photoresist adhesion to typical wafer surfaces such as SiO2 and metals is critical to faithfully replicating the photoresist pattern in etching and ion implantation processes. SiO2 and
metals are considered high-energy surfaces with surface free energies >103dynes/cm, photoresists are solutions with low surface energy, <36 dynes/cm for typical photoresists. Photoresists
may therefore be expected to spontaneously wet SiO2 and metal surfaces which might also be
expected to result in good adhesion. There are however several factors which can interfere with
photoresist adhesion:
1. If the base solvent has a higher degree of interaction with the wafer surface than the photoresist base resin, the solvent can push the resin away from the wafer surface. Later during

Copyright 2000 IC Knowledge LLC, all rights reserved

25

soft bake the solvent may evaporate, but the resin may be constrained by the photoresist
film from filling the resulting gaps. This issue is addressed by good photoresist formulations.
2. The resin-resin interaction can be stronger than the resin-substrate interface interaction, particularly if the base solvent is badly matched to the resin. The resin will then form tight
coils to minimize its energy, lowering its interaction with the substrate ( of the solvent
must be close to  of the resin). The intrinsic interaction of the resin with the wafer surface
is however the most important factor in adhesion and once again this factor is addressed
during photoresist formulation.
3. Surface contamination - particularly moisture. Water has a very high affinity for SiO2 - see
table 5.4. If we consider photoresist to have an interaction with SiO2, on the order of the
solvents listed in table 5.4, it can be seen that moisture has a much stronger interaction.
Moisture interaction with SiO2 is a particular problem because SiO2 readily becomes
hydrated from humidity.
Surface moisture may take the form of adsorbed surface moisture or chemically bonded
OH - Silanol groups. Surface moisture may be reduced by a bake at >100oC, but silanol groups
require a much higher temperature bake - too high to be practical immediately before photoresist coating.
Table 5.4: Polar interaction of SiO2 with selected liquids.
Liquid

Polar
Interaction
(dynes/cm)

Heptane

Benzene

20

Acetone

58

n Propanol

84

Water

368

In order to minimize moisture effects on photoresist adhesion, a variety of surface pretreatment techniques are used. The most common is the application of Hexamethyldisilazane
(HMDS) - see figure 5.33.
The hydrated wafer surface - figure 5.33a, reacts with HMDS to remove adsorbed moisture
and the reaction releases NH3 - figure 5.33b. The wafer surface is now terminated with silanol
which further reacts with HMDS - figure 5.33c to release more NH3 leaving a low surface tension - low moisture surface. The critical surface tension of SiO2 at 50% RH is 78 dynes/cm
prior to treatment by HMDS, and 21 dynes/cm after treatment, the absorbed surface moisture
prior to treatment is >35 H2O molecules/m2 and after treatment is < 1 molecule of H2O/m2
[15]. Furthermore, the treated surface has good photoresist adhesion and forms an interface that
is water repellent.

26

Copyright 2000 IC Knowledge LLC, all rights

(CH3)3SiNSi(CH3)3

(CH3)3SiOSi(CH3)3
+ NH3

H2O

H2O

H2O

OH

OH

OH

OH

OH

OH

Si

Si

Si
O

Si

Si

Si

Si
O

Si
O

a) HMDS + hydrated SiO2 surface

b) Adsorbed moisture removal

(CH3)3SiNSi(CH3)3

NH3

OH

OH

OH

OSi(CH3)3 OSi(CH3)3 OSi(CH3)3

Si

Si

Si

Si
O

c) HMDS + surface OH (silanol)

Si

Si

Si

Si
O

d) Lower surface tension - lower H2O surface

Figure 5.33: HMDS adhesion promotion [15].

When negative photoresist was still widely used it was not unusual to see HMDS spun onto
a wafer surface in a dilute HMDS - xylene mixture just prior to photoresist coating. The HMDS
would be dispensed, allowed to briefly react with the wafer surface and then spun off. Spinning
on HMDS was only partially effective for adhesion promotion, consumed a great deal of
HMDS -xylene solution and produced a great deal of HMDS - xylene waste. By the time positive photoresist was becoming popular, HMDS dispense largely switched over to a vacuum
bake environment - see figure 5.34.
HMDS vapor prime systems may be batch systems or single wafer systems as illustrated in
figure 5.34. The operation of the single wafer system is as follows:
1. The wafer input port is a door into the chamber that opens to allow a wafer to be inserted
onto the wafer chuck. Once the wafer is inserted the port is closed.
2. The vacuum pump and valve allow the chamber to be pumped down to a vacuum in the 2 20 torr range.
3. A heated wafer chuck is used to heat the wafer to 100 to 150oC.
4. While the chamber is under vacuum the valve to the HMDS reservoir is opened and the
vacuum draws off HMDS vapor priming the wafer.

Copyright 2000 IC Knowledge LLC, all rights reserved

27

5. Following prime the chamber is pumped back down to remove the residual HMDS and
flushed with nitrogen.
6. The wafer output port opens and the wafer is withdrawn.
Proper vapor priming results in a contact angle of >60o (see chapter 3 for a discussion of
contact angle).
Vacuum bake ovens produce essentially no HMDS waste and consume only a miniscule
quantity of HMDS.
Wafer chuck

Heater

Wafer
Wafer
output
port

Wafer
input
port

Valve

Valve
Valve

N2 feed Vacuum
pump

HMDS
Reservoir

Figure 5.34: HMDS Vapor prime system.

5.4. Coating
Although there are several methods by which photoresist can be coated onto a wafer, for IC
fabrication the use of spin coating is virtually universal. In the spin coating process a controlled
volume of photoresist is dispensed onto a wafer and then the wafer is spun at high speed to produce a uniform, partially dried photoresist film. The basic coating process is illustrated in figure 5.35.

a) Dispense a
controlled
amount of
photoresist

b) Allow the
Photoresist to
spread across
the wafer

c) Rapidly ramp - up
the coater spin speed
throwing off excess
Photoresist

d) Spin at high
speed to form
a thin dry film
of Photoresist

Figure 5.35: Photoresist spin-coating process [33].

28

Copyright 2000 IC Knowledge LLC, all rights

The photoresist coating process begins by dispensing a controlled volume of photoresist


onto the center of the wafer - figure 5.35a. The initial dispense may be done with the wafer
static or rotating at a few hundred RPMs. The volume of photoresist dispensed depends on the
wafer size but is typically 2 to 10ml. The photoresist is allowed to spread through either a static
step or with the wafer rotating at a few hundred RPMs - figure 5.35b. The wafer is them rapidly
ramped up to a high spin speed, typically 1,000 to 10,000 RPMs - figure 5.35c. As the wafer is
rapidly spun a wave forms in the photoresist within a few RPMs, the wave them forms a
crown-like structure after approximately 30 RPMs [34]. The crown-like stage indicates the
bulk of the photoresist supporting the wave has run-out. Following the formation of the crownlike phase the remaining photoresist is being scrubbed off of the wafer generally in the form
of a spiral [34]. During the spiral phase thousands of tiny photoresist droplets are propelled off
of the edge of the wafer. The spin coater bowl must be properly designed or the droplets of
photoresist can splash back onto the wafer causing a non-uniform coat. Finally the photoresist
is spun further to dry the photoresist by solvent evaporation. The evaporation rate of solvents
during coating is dependent on the photoresist formulation and also on the air flow over the
wafer during coating. Coater exhaust must be carefully tuned and controlled to achieve uniform
and repeatable photoresist films.
The mathematics of photoresist coating must take into account the multi-component nature
of photoresist. The solvents used to keep the photoresist in suspension have relatively high
evaporation rate - see table 5.5 During coating the solvent fraction of the photoresist is decreasing and therefore the viscosity of the photoresist is increasing.
Table 5.5: Evaporation rate and solvents for photoresist [39].
Photoresist

Solvent

Evaporation
ratea

Boiling point
(oC)

Polybutene SO2

Methyl 2-ethoxyethyl acetate

31

145

DQN

Cellosolve acetate
Butyl acetate
Diglyme

20

156

100

126

18

162

PMMA

Chlorobenzene

102

126

Rubber azide

Xylene

62

140

evaporation rates are relative to butyl acetate.

Photoresist coating models of varying complexity have been proposed by several researchers. Sukanek [35],[36] has developed a model of photoresist spin coating taking into account
the changes in photoresist properties during coating.
The photoresist is assumed to consist of two components, a non volatile and a volatile component.
Mass balances on a cylindrical control volume on a wafer disc give

Copyright 2000 IC Knowledge LLC, all rights reserved

29

( C h ) = --- ( rC N q )
r r
t N

(5.12)

( C h ) = --- ( rC V q ) e
r r
t V

(5.13)

where, CV is the concentration of the volatile component and CN is the concentration of the
non-volatile component, r is the radius, e is the evaporation rate of the volatile component, h is
the film height and q is the flow rate.
The flow rate is given by
2 3

q = r h ( 3 )

(5.14)

where,  is the spin speed and is the viscosity.


The evaporation rate is given by
e = k(C VS C V )

(5.15)

where k is the mass transfer coefficient, CVS is the concentration of the volatile component at
the surface.
If we assume that
C V 0

(5.16)

e = kC VS

(5.17)

then

and if we introduce the distribution or equilibrium constant K, then


e = KkC VS

(5.18)

For laminar air, i.e., the reynolds number is <2 x 105


k = a

1/2

(5.19)

where a is a constant.
Then combing 5.12, 5.13, 5.14, 5.18 and 5.19 and rearranging give the dimensionless
forms
2 y3
y
----- = --- ------------ x
3 0

(5.20)

----x- = x ( x 1 ) y

(5.21)

and

30

Copyright 2000 IC Knowledge LLC, all rights

and the dimensionless parameters are defined as


y h

1/2

(a

1/2 1/2
0 )

x CV e

(5.22)
(5.23)

1/2

ta 0

(5.24)

1/4

(5.25)

0 K a

1/2

The kinematic viscosity is of the form used by Meyerhofer [37]


1x n
0 = S + ( 1 S ) --------------
1 x 0

(5.26)

For sufficiently large values of t, the preceding equations provide a solution which is independent of time. From equation 5.22 the final film thickness is given by
hf = yf a

1/2 1/4
0

1/2

(5.27)

From equation 5.27 it can be seen that the film thickness is inversely proportional to the
spin speed.
Studies of spin coated films have shown that film thickness is [38]:
Independent of coating volume.
Independent of spin sped during dispense.
Independent of acceleration rate from dispense speed to final spin speed.
Depends on final spin speed - as expected from equation 5.27 - see figure 5.36.
Depends on viscosity - as expected from equation 5.27 - see figure 5.36.
Depends on time up until 5 - 10 seconds when the final film thickness value saturates - see
figure 5.37.
Practically speaking consistent coating thickness requires very careful control of the environment that the photoresist sees during dispense and spinning. For sub-micron linewidth production the photoresist temperature should be controlled at the photoresist storage chamber and
right up to the photoresist dispensing nozzle. The temperature and humidity of air over the
coater bowel should be controlled to + or - 1oF and + or - 1% respectively. As previously noted
the exhaust must be well controlled to insure consistent solvent evaporation rates. The coater
spin motor RPMs are typically quartz crystal controlled and accurate to <1%.
As previously mentioned the volume of photoresist dispensed is 2 to 10mls. With the
advent of DUV photoresist with costs of approximately $2,000/gal versus $500 to $800/gal for
i-line or g-line photoresist, the minimization of dispense volume has taken on increasing importance. The technique of pre-wetting the wafer with the photoresist solvent to aide in photoresist spreading has become common. With pre-wetting 2 to 4ml dispense volumes may be used
to coat a 200mm wafer.

Copyright 2000 IC Knowledge LLC, all rights reserved

6.0

2040cP

5.0

1100cP

Final film thickness (m)

4.0
3.0

2.0
43cP
23cP
1.0
0.9
0.8
0.7
0.6
0.5
1

2
3
4
5 6 7
3
Final spin speed (rpm x 10 )

Figure 5.36: Film thickness versus final spin speed and viscosity [40].

1.4

Film thickness (m)

1.3
1.2
Final spin speed = 3,000 RPM

1.1
1.0
0.9

Final spin speed = 6,000 RPM


0.8
0.7
0.6
0

8 10 12 14 16 18 20
Spin time (sec)

Figure 5.37: Film thickness versus time [40].

31

32

Copyright 2000 IC Knowledge LLC, all rights

5.4.0.1. Edge bead removal


When a wafer is spin coated, surface tension causes the thickness of photoresist dispensed
to build up at the wafer edge - see figure 5.38.

Photoresist thickness (m)

3.0
2.5
2.0
1.5
1.0
0.5
0
0

50
100
150
200
Distance from the edge (m)

250

Figure 5.38: Photoresist thickness versus distance from the wafer edge [41].

The thicker photoresist at the wafer edge may not be fully exposed during exposure and
therefore will not develop completely. During subsequent processing the edge bead can flake
off creating defects. In order to prevent defects the edge bead is typically removed. there are
two common methods for edge bead removal:
1. During coating a solvent may be dispensed onto the outer-edge of the wafer dissolving the
edge-bead. This is a common method for greater than approximately 0.5m linewidths.
2. Below 0.5m linewidths optical edge bead removal is employed to allow improved control
over how far in from the edge the photoresist is removed (the loss of photoresist at the edge
eliminates the ability to produce ICs near the edge). In optical edge bead removal the wafer
is exposed to an intense light source that is masked to only expose the wafer edge. The
edge bead is fully exposed and develops away during the normal develop process.
Irrespective of edge bead removal technique, the wafer backside is typically washed with a
solvent to remove any photoresist that may accumulate on the backside during coating.

5.5. Soft bake


Following coating photoresist solvent levels have been reduced from 65-85% to 10-20%.
The photoresist still contains too much solvent for a sufficient difference to exist in developing
rates between the exposed and unexposed portion of the photoresist. In order to reduce the
residual solvent level in the photoresist, a soft bake step is employed. Soft baking has additional benefits:
Reduces photoresist free volume reducing subsequent diffusion lengths for DUV resist
improving resolution.
Anneals out residual stresses in the as-coated photoresist and improves adhesion.

Copyright 2000 IC Knowledge LLC, all rights reserved

33

Stabilizes the film and reduces the tackiness of the surface.


Reduces residual solvent levels improving the difference in developing rates between the
exposed and unexposed portions of the photoresist.
Figure 5.39 illustrates the effect of soft baking on developing rates of DQN photoresist in
0.25N KOH developer after a 30 minute soft bake.
6
Novolak resin

5
DNQ resist

High residual solvent

log R

4
3
2
1

Solvent
removal

DQN
converted
to ICA

Compaction,
crosslinking,
DQN
decomposition

0
40

60

80
100
120
140
o
Bake temperature ( C)

160

Figure 5.39: Photoresist developing rate versus soft bake temperature [43].

% original sample weight

Ideally soft bake would be performed above the Tg of the photoresist to minimize photoresist free volume and removal of the residual solvent. Figure 5.40 illustrates the effect of
increasing bake temperature on a photoresist. As the temperature is increased the photoresist
first loses weight by solvent evaporation, eventually at a high enough temperature the photoresist begins to break down.
Start
decomposition

100
80

Solvent
loss

60
40
20

Temperature

Figure 5.40: Thermal gravimetric analysis of un-baked spun on photoresist [42].

34

Copyright 2000 IC Knowledge LLC, all rights

Ideally a soft bake would achieve a photoresist with only a few% of solvent, however this
requires that the solvent boiling point be approached which is approximately 140oC. For DQN
photoresist decomposes at approximately 100 - 120oC. Practically speaking the maximum soft
bake temperature of a photoresist is typically set by the temperature at which the sensitizer
begins to break down and baking below Tg is required. Table 5.6 presents the Tg and Td (temperature of decomposition) for several common photoresist components.
Table 5.6: Tg and Td of common photoresist components [39].
Component

Tg (oC)

Td (oC)

54

200

70-120

150-300

Rubber
Azide

100

Novolak
Diazoquinone

100

PMMA

105

200

Polybutene SO2

65

125

The Tg of a photoresist may vary from the polymer due to the photoresist free volume. Also
for DUV photoresist PBOCST has a lower Tg than PHOST. For DUV photoresists soft bake
control is critical to control acid diffusion. The DUV softbake must be tailored to the properties
of the photoresist and the temperature must be controlled to a fraction of a oC whereas 1oC control is adequate for DQN photoresist.
Similar to the situation for coating, the mathematics of soft baking require consideration of
a volatile and a non-volatile component. Consider a film of initial thickness Lfi and with a solvent free thickness of Lp. As soft baking proceeds the film gets thinner as the solvent diffuses
to the photoresist-gas interface and then evaporates.
A mathematical model of soft baking has been proposed by Tseng, et.al., [44].
The variation in photoresist density during baking is given by
x A
x A

-------- = D ( x A, T ) --------

z
t
z

(5.28)

where, xA is the mass fraction of the solvent in the film, t is time, z is distance from the bottom
of the film, D is diffusivity of the solvent and T is the absolute temperature.
The initial conditions for equation 5.28 are
x = x A0 ,

t = 0

(5.29)

where, xA0 is the initial mass fraction of solvent in the film.


The boundary conditions are
x A
-------- = 0,
z

z = 0

(5.30)

Copyright 2000 IC Knowledge LLC, all rights reserved

x A
D -------- = k m x A ,
z

35

z = Lf ( t )

(5.31)

where, km is the mass transfer coefficient of the solvent. Equation 5.30 indicates that the base
material under the photoresist is impermeable to the solvent.
A mass balance on the solvent near the photoresist-gas interface yields [46]
dL f ( t )
x A
dW
-------- = S A --------------- = 0 DA -------dt
z
dt

(5.32)
z = Lf ( t )

where, W is the mass, V is the volume, and


0 is the initial density of the film and
S is the density of the solvent. As the soft bake proceeds the film becomes more dense and the diffusivity
of the solvent is reduced.
From Fujita, et.al., the diffusivity of the solvent is given by [47]
xA
D = D 0 ( T ) exp ------------------ + x A

(5.33)

where,  and are constants that need to be determined experimentally.


The value of D is illustrated in figure 5.41 for D0 = 2.74 x 10-12 cm2/s,  = 0.040, and =
0.043 [45].

Diffusivity (cm /sec)

10-6
10-7
10-8
10-9
10-10
10-11
10-12
0.0

0.2
0.4
0.6
0.8
Mass fraction of solvent

1.0

Figure 5.41: Solvent diffusivity versus solvent content [45].

Substituting equation 5.33 into equation 5.28 and rewriting equations 5.29 through 5.32 in
scaled form, results in
xA
x A
-------- = exp ------------------ + x A

x 2 2 x A

------------------------- -------A- + -----------2

2
( + x A ) z
z

(5.34)

36

Copyright 2000 IC Knowledge LLC, all rights

x A = x A0 ,

= 0

(5.35)

x A
-------- = 0,
z

z = 0

(5.36)

km
xA
x A
exp ------------------- -------- = ----------------- x A ,
+ x A z
D 0 L fi
0
xA
x A
dL f ( )
--------------- = ----- exp ------------------- -------S
+ x A z
d

z = L f ( )

(5.37)

z = L f ( )

(5.38)

z = L f

where, = D0t/L2fi, z* = z/Lfi, and L*f = Lf/Lfi.


The preceding moving boundary problem has been solved numerically and the result for a
DQN photoresist is illustrated in figure 5.42 [44].

Photoresist thickness (m)

2.6
2.5
2.4
o

70 C

2.3
2.2

90 C

2.1

100 C
2.0
0

10

20

30
40
50
Soft bake time (sec)

60

70

Figure 5.42: Film thickness loss during softbake - m-p cresol novolak photoresist [44].

Photoresist soft baking may be accomplished in a convection oven or more commonly


using a hot plate mounted onto the coating track equipment. Immediately following coat the
wafer is transferred to a hot plat for a 45 - 60 second bake. Ovens hold larger batches of wafer
but require ~30 minutes to complete a bake.

5.6. Optical principles


The dominant method for exposing patterns into photoresist currently in use, is optical
exposure. In order to understand optical exposure and optical exposure systems, it is necessary
to have a basic understanding of some optical principles.

Copyright 2000 IC Knowledge LLC, all rights reserved

37

5.6.1. Huygens principle


Consider the wavefront A - B moving through space in figure 5.43. According to Huygens
principle, the next successive wavefront - C - D may be constructed by considering every point
on wavefront A - B to be a secondary point source of waves. After travelling out from the secondary point sources for a period of time, each secondary wavelet will be a spherical wavefront centered around the secondary point sources. The surface made up of the tangent of all of
the secondary wavelets will be the new wavefront - C - D.
A

Figure 5.43: Huygens principle.

5.6.2. Reflection
If a ray of light is incident on a reflective surface, the light ray will be reflected off the surface at some angle.
Law of reflection - the angle of incidence is equal to the angle of reflection.
Figure 5.44a illustrates the law of reflection and figure 5.44b illustrates the reflection of a
plane wavefront.
1 = 2
1
1

1 = 2
A

2
B

a) Law of reflection

b) Plane wavefront reflection

Figure 5.44: Law of reflection.

If a curved reflecting surface is constructed, a reflective lens results. Reflective lens are
referred to as Catoptric. The characteristics of a mirror lens are given by the mirror equation
1
--- = --2--- + 1
R
p q

(5.39)

38

Copyright 2000 IC Knowledge LLC, all rights

where, p is the object distance, q is the image distance and R is the radius of the mirror - see figure 5.45a.
Alternately the lens equation may be expressed as
1 1
1
--- + --- = --p q
f

(5.40)

where, f is the focal length of the lens - see figure 5.45b.

Object
Image

q
p
a) Mirror calculations

b) Focal length

Figure 5.45: Reflective lens calculations.

5.6.3. Focal length


The focal length is defined as:
Focal length - the distance from a lens where an incident set of parallel rays exiting the lens
converge.
5.6.4. Refraction
Optically transparent mediums have an intrinsic property - index of refraction defined as:
Index of refraction - the ratio between the speed of light in a vacuum and the speed of light
in a given medium.
Refractive index depends on the material of interest, temperature, pressure and also on the
wavelength of radiation passing through the material. The change of refractive index of a material versus wavelength is referred to as dispersion. Figure 5.46 illustrates the index of refraction
versus wavelength for two materials used in lens systems for photolithography exposure tools.
Fused silica has been widely used for many years and calcium fluoride (CaF2) is a material
which is beginning to see use in the latest generation tools.
When a light ray transits from a material of one index of refraction to a material of another
index of refraction, the light ray is bent, i.e. refracted. The degree of bending which results may
be calculated by Snells law
n 1 sin 1 = n 2 sin 2

(5.41)

Copyright 2000 IC Knowledge LLC, all rights reserved

39

where, n1 is the index of refraction of the first medium, n2 is the index of refraction of the second medium, 1 is the angle of the ray entering the interface and 2 is the angle of the ray
leaving the interface - see figure 5.47.
1.58
1.56

Index of refraction

1.54
1.52
1.50
SiO2 [11]

1.48
CaF2[12]

1.46
1.44
1.42
100

1,000
Wavelength (nm)

Figure 5.46: Index of refraction for fused silica and calcium fluoride
versus wavelength [11],[12].

1
n1
n2
2

Figure 5.47: Refraction.

It should be noted here that since the change in angle for a light ray transitioning between
two media depends on the index of refraction of each media respectively, the change in angle is
wavelength dependent. The practical effect of this will be discussed in the section on lens aberations.
For a refractive lens, the characteristics of the lens are given by the lens makers formula

40

Copyright 2000 IC Knowledge LLC, all rights

n
n
1
1
----1- + ----2- = ( n 2 n 1 ) ------ + ------
R

p
q
R
1
2

(5.42)

where, n1 is the refractive index of the first medium, n2 is the refractive index of the lens material, p is object distance, q is the image distance, R1 is the radius of the first lens surface and R2
is the radius of the second lens surface - see figure 5.48a.
Refractive lens are referred to as Dioptic.
In figure 5.48b, the focal length for a refractive lens is illustrated and is given by
1- + ----1-
f = ( n 1 n 2 ) ----R
R 2
1

Object

-1

(5.43)

Image

a) Refractive lens calculations

b) Focal length

Figure 5.48: Refractive lens calculations.

Refractive lens can be fabricated in a variety of different forms, if both lens surfaces are
convex, the lens is a double-convex lens - figure 5.49a, if both surfaces are concave, the lens is
double-concave - figure 5.49b. Lens may also have one convex and one concave surface
referred to as a concave-convex lens or one convex surface with one plane surface, referred to
as a plano-convex.

a) Double convex

b) Double concave

Figure 5.49: Refractive lens.

Copyright 2000 IC Knowledge LLC, all rights reserved

41

In figure 5.49a the double-convex lens is shown converging the light rays and in figure
5.49b the double concave lens is shown diverging the light rays. As a rule, lens that are thicker
in the center of the lens than at the edges are convergent and lens that are thinner in the center
than at the edges are divergent.
5.6.5. Magnification
Magnifications for lens systems can be defined in terms of the object and the image as:
Magnification - the image size divided by the object size.
5.6.6. Numerical aperture
Numerical aperture is a measure of the acceptance angle of a lens and is defined as
NA = n sin = d 2f

(5.44)

where,  is the acceptance angle - see figure 5.50, n is the index of refraction of the background
- typically 1, d is the lens diameter and f is the lens focal length.

Figure 5.50: Numerical aperture.

Assuming n equals 1 - such as for air, then the maximum NA is 1 since  cannot exceed
90o. For practical lens design, as d increases it is increasingly difficult to achieve high NA.
Microscope objectives a few mms in diameter may achieve NAs of 0.9 or even 0.95, but NAs
of 0.7 are high for modern exposure tools with field sizes of tens of mms. The limitations of
NA impacts the achievable resolution of an exposure system as will be seen in the section on
difraction.
5.6.7. Aberrations
There are six types of aberration that can occur in a lens system.
5.6.7.1. Chromatic aberration
In section 5.2.3 it was presented that the characteristics of a refractive lens depend on index
of refraction and that index of refraction depends on wavelength. If light with multiple wavelengths passes through a refractive lens, the light will be focused at different points depending
on wavelength - see figure 5.51a. For reflective lens systems the angle of incidence equals the
angle of reflection irrespective of wavelength and so chromatic aberration does not occur - see
figure 5.51b.

42

Copyright 2000 IC Knowledge LLC, all rights

Longer
wavelength

Longer
wavelength

Shorter
wavelength

Shorter
wavelength
a) Refractive lens

a) Reflective lens

Figure 5.51: Chromatic aberration.

Refractive lens system must be restricted to a single narrow wavelength of light for good
focus or the lens system must be designed to correct for chromatic aberration. If a diverging
lens made with a higher dispersion material is combined with a converging lens made with a
lower dispersion material, chromatic correction may be performed - figure 5.52.

Long wavelength
Short wavelength

Figure 5.52: Chromatic aberration correction.

In figure 5.52 a converging and a diverging lens are used together to provide correction for
chromatic aberration. In order to provide chromatic aberration correction, the diverging lens
element must have higher dispersion than the converging lens element. When typical exposure
wavelengths were >300nms, chromatic aberration correction was available, however, at wavelengths <300nm no suitable high dispersion lens material exists. The fact that reflective lens do
not suffer from chromatic aberration is a major advantage in optical system design particularly
as the exposing radiation wavelength is reduced.
5.6.7.2. Spherical aberration
If a lens is ground with a spherical surface, parallel light rays passing through the outer
edge of the lens will focus to a point closer to the lens than parallel light rays passing through
areas of the lens closer to the center - see figure 5.53a. If the lens is ground with a parabolic surface, parallel light rays will focus to the same point irrespective of where they pass through the
lens - see figure 5.53b.

Copyright 2000 IC Knowledge LLC, all rights reserved

a) Spherical lens

43

b) Parabolic lens

Figure 5.53: Spherical aberration.

5.6.7.3. Astigmatism
Light rays transiting a lens at an angle to the optical axis of the lens focus to a point closer
to the lens than rays that pass through the lens parallel to the optical axis - figure 5.54a. Astigmatism only occurs for off-axis light rays. As an object moves in and out of focus, the resulting
image is first stretched in one direction for a positive out-of-focus condition and then stretched
out in a direction at a 90o angle to the original stretching mode for a negative out-of-focus condition - figure 5.54b
Oblique
focus

Parallel
rays

Parallel
focus

+ focus
0 focus
- focus
b) Image

Oblique rays
a) Optical path

Figure 5.54: Astigmatism.

5.6.7.4. Coma
An aberration of image points that lie off of the optical axis. - figure 5.55a. Comatic flair
results in a diffuse tail from an image point which may point towards or away from the optical
axis - figure 5.55b. Coma becomes worse as field size and aperture increase.
5.6.7.5. Curvature of field
Ideally an image should be in focus for a flat plane. Curvature of field is when the focal
plane forms a curved surface - figure 5.56.

44

Copyright 2000 IC Knowledge LLC, all rights

Periphery

Center
b) Image

a) Optical path

Figure 5.55: Coma.

Curved
focus
area

Figure 5.56: Curvature of field.

5.6.7.6. Distortion
The transverse counterpart to curvature of field. The radial distortion of points towards or
away from the optical axis - figure 5.57. Distortion results from a change in magnification

a) Undistorted

b) Positive

b) Negative

Figure 5.57: Distortion.

5.6.8. Coherence
Coherency is the state or quality of being together [17]. When applied to light coherency
may be broken down into two categories, temporal and spatial:

Copyright 2000 IC Knowledge LLC, all rights reserved

45

The temporal coherence of a light source may be characterized by the coherence length
which relates the light source wavelength and bandwidth, and is given by
2

l C =

(5.45)

Spatial coherence refers to the phase relationship between the photons or wave fronts. A
point source produces wavefronts that spread out from a single point and our perfectly spatially coherent, larger light sources result in less spatial coherency.
Temporal coherency can be controlled by wavelength selection and spatial coherency can
be controlled by the ratio of the illumination system condenser lens NA to the objective lens
NA - see figure 5.58 and is given by
NA
d S
= ----------C- = -----NA O
dO

(5.46)

where,  = 1.0 is incoherent and  = 0 is coherent.


dO Wafer

Mask
Source

dS'

dS
NAC
Condenser

NAO
Objective

Figure 5.58: Kohler illumination and factors for determining spatial coherence [18].

Kohler illumination - a light source that is focused in the entrance pupil of the image forming optics is called Kohler illumination.

5.6.9. Interference
If two light waves are coherent and the electric fields oscillate in the same direction, than
the light waves will interfere with each other. Light waves that meet the aforementioned criteria
and are in-phase will interfere constructively and the amplitude of the two waves will add to
each other - figure 5.59a. If the two waves are 180o out of phase with each other the two waves
will interfere destructively - figure 5.59b. Figure 5.59b illustrates the specific case where the
two waves have the same initial amplitude and they cancel each other out.
If a single point source shines light onto a pair of slits, from Huygens principle the two
slits may be considered as point sources for new light waves. The two sources will be coherent
and the resulting waves will interfere with each other - figure 5.60a. If the light from the two
slits is projected onto a screen the resulting light pattern will alternate between light and dark
areas due to destructive and constructive interference - figure 5.60b

46

Copyright 2000 IC Knowledge LLC, all rights

a) Constructive interference

b) Destructive interference

Figure 5.59: Interference.

a) Double slit interference

y
d


r

m = +4
m = +3
m = +2
m = +1
m=0
m = -1
m = -2
m = -3
m = -4

fourth order
third order
second order
first order
zero order
first order
second order
third order
fourth order

D
b) Double slit interference - intensity versus angle

Figure 5.60: Double slit interference [67].

Copyright 2000 IC Knowledge LLC, all rights reserved

47

In figure 5.60, if D >> y and d, then


r = d sin

(5.47)

The phase difference due to the path length difference is


r
= 2 ------ (in radians)

(5.48)

where,  is the wavelength of the light.


The intensity of light measured on a screen relative to the intensity at axis is
2
I = I o cos --2

(5.49)

If more than two slits are used, than the light peaks become narrower and the intensity
between the peaks is reduced - see figure 5.61. Also, as the number of slits increases the intensity of the peaks increases as the square of the number of slits.

4I0

a) 2 slits

9I0

b) 3 slits

16I0

c) 4 slits

Figure 5.61: Multiple slit interference.

Interference can also result from the reflection of light off of thin film interfaces. When
light travels from a medium of relatively lower index of refraction to a medium of relatively
higher index of refraction, the light phase is shifted 180o. When light travels from a medium of
relatively higher index of refraction to a medium of relatively lower index of refraction, no
phase change occurs. In figure 5.62 a light ray is shown reflecting from the top and bottom surface of a thin film. The light reflecting from both surface undergoes a 180o phase shift, so if the

48

Copyright 2000 IC Knowledge LLC, all rights

thickness of the film is sufficient to cause an additional 180o phase shift, destructive interference takes place.
This analysis assumes the underlying substrate has a higher index of refraction than the thin
film. The change in wavelength for the light in the thin film relative to air must also be
accounted for and is given by

F = -----AnF

(5.50)

where, F is the wavelength in the film, A is the wavelength in air and nF is the index of
refraction of the film.

Air
xF

Thin film
Substrate

Figure 5.62: Thin film interference.

Reflection from the thin film air and thin film substrate interface can also produce a variation in light intensity in the film due to a standing wave - see figure 5.63.
Air
Photoresist
Reflecting subtrate
a) Standing wave

b) Resulting profile

Figure 5.63: Standing wave.

Standing waves result when the underlying substrate is highly reflective and result in undulations in the resulting exposure energy and hence the photoresist profile. Standing waves may
be suppressed by anti reflective coatings that will be discussed in a later section.
5.6.10. Diffraction
Interference such as was presented in the preceding section is generally used to describe
situations with a finite number of line or point sources such as multiple slits. Diffraction - a

Copyright 2000 IC Knowledge LLC, all rights reserved

49

form of interference is generally used to refer to the interference of waves from an area or infinite number of point sources.
Consider the edge illustrated in figure 5.64, without diffraction there would be a sharp transition from a dark to light area. With diffraction, an undulating intensity of light decaying to a
constant level results.
Generally, diffraction results from some type of obstacle existing in the path of the light
rays. From Huygens principle, the area of light which is not blocked by the obstacle acts as an
infinite number of point sources and creates interference patterns.
Incident light

Intensity

Intensity with
diffraction
"Ideal"
intensity

Distance

Figure 5.64: Edge diffraction.

Diffraction may be Fresnel diffraction or Fraunhofer diffraction.


Fresnel diffraction - the light source and projection plane are both near the light obstacle
and therefore the light rays may not be considered parallel.
Fraunhofer diffraction - the light rays approaching and leaving the light obstacle are both
parallel.
Since diffraction essentially softens the image projected by a light blocking object, diffraction limits the ultimate achievable resolution of an optical system.
Consider figure 5.65, as the width of the slit b approaches the wavelength of the light , the
difference in light intensity from the region under the slit opening to the region where the slit
blocks the light is reduced. At some point when the slit is narrow enough the contrast in light
intensity between the two areas is insufficient to form a usable image. The point at which the
image is no longer usable is the diffraction limited resolution and is given by Rayleighs criteria

k1
R = ------NA

(5.51)

50

Copyright 2000 IC Knowledge LLC, all rights

where, k1 is a process dependent factor


From equation 5.51 it can be seen that resolution may be improved by, reducing k1, reducing , or increasing NA.
Incident light

b = 5
b = 2

Figure 5.65: Single slit diffraction.

Figure 5.66 presents the trend in k1 over the last 18 years.


0.9
436nm
0.8

365nm

0.7

248nm

k1 0.6
0.5
0.4
0.3
1982

193nm

1985

1990
Year

1995

2000

Figure 5.66: k1 trend [16].

Clearly there is a strong trend towards reducing k1, the question is, how low can k1 go. k1 is
the result of a number of parameters which will be discussed in detail later in the chapter.
At the same time that k1 has been continuously reduced, improved lens design has been
increasing NA. Figure 5.67 illustrates the trend in NA over the last 30 years.

Copyright 2000 IC Knowledge LLC, all rights reserved

51

1.0
0.9
0.8

Step & Scan

0.7
0.6
NA 0.5

Step & Repeat

0.4
0.3

Projection

0.2
0.1
0.0
1970

1975

1980

1985
Year

1990

1995

2000

Figure 5.67: Numerical aperture trend.

Increasing NA, increases system resolution by increasing the lens acceptance angle and
capturing more diffraction orders (see diffraction orders in figure 5.60). In the case of NA, the
ultimate value is not in dispute, as was shown earlier NA is always<1.0. The question is the
practical limit. The best NA values available for a commercial system is 0.7, longer term perhaps 0.8 will be achievable although difficulties in optical design at shorter wavelengths may
make even 0.7 difficult to achieve in next generation tools.
There are difficulties inherent in increasing NA and in decreasing k1. Each approach
requires a great deal of equipment design work or process development. At the same time that
NA has increased and k1 has decreased,  has also been decreasing.
5.6.11. Exposing radiation
For many years exposure systems have utilized the output of mercury lamps as an illumination source. Early exposure systems utilized a broad area of the mercury lamp output for
exposure. As exposure systems transitioned to refractive - reduction lens systems, light filtering
limited the light output primarily to the so called G-line of 436nms. 436nm systems were used
until minimum linewidths shrunk below approximately 0.8ms. Around 0.6ms the I-line of
365nms took over until below 0.35ms. The output of mercury lamps below 365nms is limited
and so to continue shrinking wavelengths and enhance resolution, excimer laser sources have
been employed to produce 248nm and 193nm wavelength light. Early adopters began to use
248nm systems at 0.35ms and virtually all exposure systems for 250nm minimum linewidths
are 248nms wavelength exposure systems. 248nm systems have also been used for 180nm production. Figure 5.68 summarizes a broad spectrum of available exposure sources and wavelength.

52

Copyright 2000 IC Knowledge LLC, all rights

Ions
100KeV H2 and He ions used for ion projection ~0.0006nm

E-line - 546nm

I-line - 365nm
H-line - 405nm
G-line - 436nm

In the upper right of the figure, the mercury lamp source is presented with the dominant
output lines. The lower half of the figure presents a variety of laser wavelengths and the gas
used to generate the laser beam. The upper left side of figure 5.68 presents the effective wavelength of some non optical exposure sources that have been proposed for post optical exposure
wavelengths. Each of these systems will be discussed in detail in the sections on exposure systems.

 - rays
0.1

1
Wavelength (nms)

Mercury lamp
Ultraviolet
10

100

200

Ar
126nm

F2
157nm

ArF
KrCl
193nm 222nm
Lasers

1,000

300

Deep UV

100

Visible
Infrared

600nm

200nm

EUV
13nm
X - rays

0.01

0.001

0.3881nm

0.1227nm

0.0388nm

0.0123nm

E-beam
Accelerating voltage (volts)
100K
10K
1K
100
10
0.0039nm

0.0011nm

Gallium ion wavelength at 10 volts accelerating potential

400

Mid UV
KrF
248nm
XeCl
308nm

Near UV
XeF
351nm

N2
337nm

Figure 5.68: Exposure sources and wavelengths.

5.6.11.1. Excimer lasers


The mercury arc lamp has very little output in the DUV region. Commercial 248nm exposure systems have switched over to excimer laser light sources due to the higher output at
wavelengths of interest.
The term excimer comes from the combination of excited and dimer. The excimer laser
was developed in the late seventies and has output in the ultraviolet and near ultraviolet region.
The efficiency is high with a quantum efficiency near one and overall efficiency of approximately 4% - quite good for a laser [49]. The efficiency and wavelength of excimer lasers makes
them attractive for lithography use.
In an excimer laser a noble gas such as Kr or Xe is excited in the presence of a halogen
such as F or Cl. A resonant cavity is filled with 2-3% noble gas, 0.1 - 0.3% halogen and the bal-

Copyright 2000 IC Knowledge LLC, all rights reserved

53

ance Ne or He [50]. A high voltage pulse - approximately 12,000 volts for 75 nanoseconds, dissociates electrons from the noble gas molecules creating a plasma [51]. In the excited state,
noble gas atoms combine with the halogen atoms forming a metastable compound such as KrF
or ArF with a lifetime of several nanoseconds. When the electrons in the outer shell of the
excited compound are stimulated to a lower energy level, ultraviolet light is emitted and the
metastable compound disassociates in a few femtoseconds into the original elements.
The KrF reactions are [52]:

Kr + e Kr + e
*

Kr + e Kr + 2e

two step ionization - step 1

(5.52)

two step innozation - step 2

(5.53)

F2 + e F + F
*

Kr + F 2 + Ne KrF + F + Ne
+

electron attachment
*

KrF formation through harpooning collisions

Kr + F + Ne KrF + Ne
*

KrF formation through ion channels

KrF Kr + F + h

spontaneous emission

KrF + h Kr + F + 2h
*

KrF + F 2 Kr + F + F 2
*

KrF + Kr 2Kr + F
*

KrF quenching
*

(5.55)
(5.56)
(5.57)
(5.58)
(5.59)

KrF quenching

(5.60)

KrF quenching

(5.61)

tri-atomic excimer formation

(5.62)

KrF + 2Ne Kr + F + Ne
KrF + 2Kr Kr 2 F + Kr

stimulated emission

(5.54)

Excimer lasers with 2 - 20 watts of output are pulsed at 500 - 2,000hz or more with the high
energy pulse lasting 5-20ns. Following each pulse the cavity must be purged to remove long
life molecules and ions created during plasma generation. Purging requires 2 - 3 volume
changes. The gas is cooled and purified with a cryogenic purifier before being reused.
Some of the key laser parameters for lithography are:
Output power - higher output power requires less exposure time to achieve a given exposure dose and this translates into higher tool throughput.
Wavelength stability and bandwidth - effects resolution, depth of focus, distortion and the
overall complexity of the optical system. For example, a wide bandwidth would require
more chromatic aberration correction in a refractive lens system. Excimer laser systems for
lithography employ prisms, gratings or etalons to narrow the laser bandwidth. For example,
a KrF excimer laser has a native bandwidth of 300pm [68], commercial lithography laser
have a bandwidth of <1pm.

Wavelength
(nm)
248
248
248
248
248
193
193
157

Type
KrF
KrF
KrF
KrF
KrF
ArF
ArF
F2

EX-5000
ELS-5000
ELS-5010
ELS-6000
ELS-6010

0.4%/40
0.2%/80

5
5

10
20

2,500
2,000
4,000

0.5
0.5
0.5

1%/50
10
6
600
<1.5

193
193
157
157

ArF
F2
F2
F2
F2

A2020
F620
F630
F1020
F1030
157

157

1%/50
10
6
600
<1.5

248

ArF

25

not narrowed

<1.5

25

<0.6

1,000

1,000

2,000

2,000

2,000

10

10

20

10

30

10

10

10

15

10

0.5%/50

0.5%/5

0.5%/50

0.8%/50

0.5%/50

0.5%/50

0.5%/50

KrF

20

10

A2010

2,000

10

K2020

<0.6

1,000

248

<0.6

248

KrF

0.5%/40

KrF

10

K2010

10

K1010

<0.5

248

1,000

0.35%
8

20

2,000

0.3

0.6%/40
10

10

1,000

0.6
0.6

0.5%/32

0.8%/50

10

10

1,000

<0.8
10

0.8%/50

15

15

1,000

<100

20

Energy
dose
stability/
# of pulses

Pulse
energy
(mJ)

Power
(watts)

Repetition
rate
(Hz)

Bandwidth
FWHM
(pm)

KrF

KLES-G10K

ELX-6500F2

Product

Note: FWHM is full width half maximum.


Typical production system gas lifetimes are on the order of 100 million pulses and typical tube lifetimes are 5 billion pulses.

Lambda Physic

Komatsu

Cymer

Company

Table 5.7: Selected excimer lasers for lithography - July 2000 [53],[54],[55].

54
Copyright 2000 IC Knowledge LLC, all rights

Copyright 2000 IC Knowledge LLC, all rights reserved

55

Figure 5.69 illustrates a line-narrowing Etalon.

248.5

Aperature
248
248.4

248.3
248.2

Figure 5.69: Line-narrowing Etalon [68]

Pulse repetition rate - early excimer lasers had variations in pulse energy of 30%. In order
to provide consistent exposure energy a large number of pulses must be integrated. For
example, to achieve a 1% exposure energy control with a 30% pulse variation, 900 pulses
need to be integrated. Even in more advanced excimer lasers pulse integration is required.
High repetition rate is critical for high throughput. The higher the repetition rate the faster
the minimum number of pulse is achieved. For example, Hitachi has modeled a 300mm
step and scan exposure system and determined that for a 250mm/sec stage and a 30mj/cm2
photoresist, that a 30% improvement in throughput may be realized by increasing the pulse
rate from 1kHz to 2kHz.
Table 1 illustrates some selected commercial excimer lasers available for lithography use
as of July 2000 [53],[54],[55].
Currently:
KrF lasers with 248nm output are widely used for 180nm minimum linewidths and early
130nm linewidth develop (KrF may also be used for 130nm linewidth production).
ArF lasers with 193nm output are being widely evaluated in development programs for
<130nm linewidth production.
The F2 laser with 157nm output is just beginning to be looked at for <100nm production. It
should be noted that while the F2 is commonly referred to as an excimer laser it is technically not an excimer.

Ar lasers with 126nm output have also been discussed as a potential source for lithography
use. Once again the Ar laser is not technically an excimer.
Some drawbacks to excimer lasers include, large size of approximately 2' by 5', low electrical efficiency, require a gas source - currently fluorine, the lasers generate r.f. noise and the
output is dangerous to human eyes.
5.6.12. Optical transparency
One issue in optical system design for shorter wavelengths is the optical transparency of
available lens materials. At 436nms and 365nms a variety of lens material were available, at
248nms the choices narrow and at 193nms only special low OH - F doped fused silica and calcium fluoride (CaF) are suitable lens materials - see figure 5.70.

56

Copyright 2000 IC Knowledge LLC, all rights

Transmission (%)

100
90
LiF [14]
80

Fused Silica with low OH


and F doping [13]

70

Fused Silica [13]

60
50
100

CaF2 [12]

200
300
Wavelength (nm)

400

500

Figure 5.70: Optical transmission of selected materials [12],[13],[14].

One open question in the industry currently is whether 193nm systems will be widely used
at 130nm minimum linewidths or whether the 193nm system introduction will be held off until
100nm minimum linewidths are required. Another question is how small a minimum feature
size 193nm system will support and when 157nm or alternative post optical exposure systems
will begin to see use. At 157nms - the next step in laser output, only CaF is suitable for lens
systems and if 126nm or 13nm (EUV) systems are fabricated, an all reflective optical system
will be required.
5.6.13. Coherency effects on resolution
In order to produce an image a lens must accept at least one order of diffraction.
For a coherent illumination source,  = 0, normal plane waves are incident on the reticle
and diffraction angles occur on either side of the zero order, normal to the illumination - see
figure 5.71.
The position of the first order is given by

sin = --- = NA
d

(5.63)

Since a lens numerical aperture is the sin of the half acceptance angle the minimum resolvable line becomes

R = 0.5d = 0.5 -------NA

(5.64)

For incoherent illumination,  = 1, the plane waves are non-normal to the mask and only
one side of the first diffraction order is collected - see figure 5.72.

Copyright 2000 IC Knowledge LLC, all rights reserved

57

Illumination
Mask
-1

+1
0

Objective

Wafer

Figure 5.71: Coherent illumination [18].

..
Illumination
Mask
1

Objective

Wafer

Figure 5.72: Incoherent illumination [18].

For incoherent illumination equation 5.52 becomes

sin = --- = 2NA


d

(5.65)

-------------R = 0.5d = 0.25


NA

(5.66)

and equation 5.53 becomes

So that incoherent illumination is capable of resolving features one half the size of the
smallest feature resolvable by coherent illumination, however, features resolved by incoherent
illumination are not as well defined.

58

Copyright 2000 IC Knowledge LLC, all rights

5.6.14. Modulation transfer function


The preceding discussions on resolution provided resolution limits without taking into
account image quality. The modulation transfer function is a measure of the sharpness or contrast of a transferred image. The modulation transfer function (MTF) is defined by
I MAX I MIN
M = ---------------------------I MAX + I MIN

(5.67)

and is illustrated in figure 5.73.


Illumination
incident on a mask
Mask
Mask Pattern
b

b
Lens
Illumination
incident on Photoresist
Photoresist
Wafer

Ideal transfer
IMAX

Actual transfer
IMI N

Figure 5.73: Modulation transfer function [19].

The effect of coherence on MTF is illustrated in figure 5.74.


In figure 5.74 it can be seen that coherent light with  = 0 results in a higher modulation level,
i.e. a sharper image down to the point where the  = 0 resolution limit of 0.5/NA is reached,
however at the resolution limit the modulation goes to zero. For incoherent light,  = 1.0, the
modulation is lower than for coherent light above the coherent light resolution limit, i.e. the
image is less sharp. Below the coherent light resolution limit coherent light does not produce
modulation but incoherent light does until the incoherent light resolution limit of 0.25/NA is
reached.

Copyright 2000 IC Knowledge LLC, all rights reserved

59

Coherent transfer
function  = 0

Modulation

1.0

Incoherent transfer
function  = 1.0

0
0.25/NA

0.5/NA

Line - space pairs/unit length

Figure 5.74: Effect of coherence on modulation [18].

As the coherence of light is varied from coherent to incoherent, various intermediate values
of partial coherence may be produced, 0 <  < 1.0. The effect of partial coherent on modulation
can be calculated and is illustrated in figure 5.75 for a 365nm, 0.37NA exposure system.
1.0

Modulation

0.8

0.6

0.4

0.9
0.5

0.2

0
1.67

0.7

0.3

1.25

1.00

0.83

0.71

0.65

0.56

Line-space pair (ms)

Figure 5.75: MTF versus coherence for a 365nm, 0.37NA exposure system [20].

An important point to make here is that for each minimum linewidth there is an optimum
coherence value that maximizes modulation. Some exposure systems allow the option of
adjusting NA and coherence for process tuning.

60

Copyright 2000 IC Knowledge LLC, all rights

5.6.15. Aerial image


The distribution of exposing radiation intensity at the wafer is referred to as the aerial
image. Much as the modulation depends on coherence, the aerial image also depends on the
coherence of the exposing radiation. Figure 5.76a illustrates aerial image versus coherence with
features > 0.5/NA showing an improved image with increasing coherency, and figure 5.76b,
illustrates features <0.5/NA showing an improved image with decreasing coherency

Intensity

Intensity

=0
 = 0.9

=0

 = 0.9
Position

Position

a) 0.6/NA

b) 0.4/NA

Figure 5.76: Effect of coherence on aerial image [18].

5.6.16. Depth of focus


Depth of focus is distance from the focal point that a wafer may be and still produce an
image that meets a specified criteria. Depth of focus is given by
k2
DOF = ---------2NA

(5.68)

where k2 is a process related factor.


Depth of focus has taken on an increasingly important role as shrinking linewidths,  and
increasing NA have resulted in smaller and smaller DOF values. Wafers are not perfectly flat,
backside particles may prevent wafers from sitting perfectly flat on exposure system chucks
and wafers may also have surface typography large enough to put certain feature out-of-focus
for short wavelength - high NA systems. k2 depends on a number of factors but for a photoresist with reasonable contrast values may be on the order of 0.5 [18].

5.7. Optical exposure


Although photolithography experts have been predicting the end of optical lithography
since the mid eighties, optical lithography remains the methodology of choice for patterning IC
wafers. With strong resolution enhancement techniques and exposure wavelengths shrinking to
193 and eventually 157nms, it is the authors opinion that optical lithography will carry the
industry to the 50nm technology node predicted to occur in 2011 [2].

Copyright 2000 IC Knowledge LLC, all rights reserved

61

5.7.1. Contact printing


The first commercially available exposure tool was the contact printer introduced in 1964.
A photomask containing a pattern for an entire wafer is brought into contact with the wafer to
be patterned, hence the name contact printer. A contact printer is illustrated in figure 5.77.
Reflector
Mercury
lamp

Optical
integrator
Shutter

Turning
mirror

Cold
mirror
Aperture
(collimation
control)

Photo mask
Photoresist

Condenser
lens

Wafer
Wafer
chuck

Figure 5.77: Contact printer [33].

The contact printer system illustrated in figure 5.77 consists of the following elements:
A mercury arc lamp centered in a parabolic or ellipsoidal reflector to collect the lamp light
and direct the light into the aligner optics. The mercury lamp emits light from an area a few
mms across so the lamp acts like a point source.
A cold mirror to redirect the light and provide wavelength filtration.
A shutter to control the time the wafer is exposed to light.
An optical integrator creates multiple light images that are then recombined to improve
uniformity.
An aperture to block divergent light rays outside a controlled area.
A turning mirror to turn the light towards the wafer.
A condenser lens to create parallel light rays.
The photomask.
The photoresist coated wafer.
A vacuum chuck which may be moved in two horizontal directions and also moves up and
down a controlled distance to bring the mask and wafer in and out of contact. In order to expose
a wafer, a photomask is loaded and rotationally aligned by an operator looking through a
microscope. The rotational alignment insures the mask edges are parallel to the front of the
aligner. A wafer is then loaded onto the wafer chuck either manually or by an autoloader in

62

Copyright 2000 IC Knowledge LLC, all rights

later generation systems. The wafer flat is aligned to be parallel to the front of the aligner, again
either manually to a fixture or in later systems automatically. If the wafer does not have any
previous patterns on it the chuck is centered mechanically under the mask, the wafer is brought
up into contact with the mask and the shutter opens for a controlled time exposing the wafer. If
a previous pattern exists on the wafer the wafer will have alignment marks, typically crosses of
boxes in the center of the wafer and out toward both sides - see figure 5.78a.
Wafer feature
Mask feature

b) Correct alignment

e) Misaligned rotationally
c) Misaligned side to side

f) Run out
a) Wafer alignment sites

d) Misaligned top to bottom

Figure 5.78: Alignment marks.

At low magnification the operator will line up an alignment feature in the center of the
mask with an alignment feature in the center of the wafer. The operator will then switch to a
higher magnification binocular microscope and will simultaneously align the alignment features on both sides of the mask to alignment features on both sides of the wafers. The feature on
the mask and wafer will be designed so the set on the mask are smaller than the set on the wafer
and when properly aligned will fit inside of the features on the wafer - see figure 5.78a. By
aligning both sides the wafer and mask may be aligned in both horizontal directions and rotationally. Correct alignment of the two marks is illustrated in figure 5.78b, misaligned side to
side is illustrated in figure 5.78c, misaligned top to bottom is illustrated in figure 5.78d and
rotational misalignment is illustrated in figure 5.78e. Figure 5.78f illustrates run-out, run-out
occurs when the mask and wafer have dimensionally changed relative to each other from one
layer to the next, for example large temperature changes causing differences in expansion or
most commonly due to mask flexing during contact. If multiple aligners are in use and one
aligner applies more contact pressure between the mask and wafer, the mask can flex more on
one machine shifting the outer edges of the mask relative to the wafer. Another aligner printing
a later layer with less pressure and therefore less mask flex, may lead to misalignment at the
edges due to run-out. Once alignment is achieved the operator presses a button to move the
mask and wafer into contact and expose the wafer. The wafer and mask must be separated dur-

Copyright 2000 IC Knowledge LLC, all rights reserved

63

ing the alignment procedure so they may move independently. The operator may put the mask
and wafer in and out of contact several times during the alignment process.
The resolution of contact printing can be estimated from Fresnel diffraction and is given by
W 0.7 z

(5.69)

where, z is equal to the gap between the mask and the wafer plus the photoresist thickness. For
contact printing z is therefore equal to the photoresist thickness. For contact printing NA has no
meaning.
The intrinsic resolution of contact printing is quite good, for example if we assume a bisarylazide - polyisoprene photoresist with a 400nm exposure and a 1m (1,000nm) thick photoresist film, then W is 440nms - although practically speaking the bis-arylazide - polyisoprene
photoresist resolution typically used with contact printing would limit the practical resolution.
There are several drawbacks to contact printing that outweigh the intrinsic resolution and
system simplicity.
The most significant problem is the transfer of particles and photoresist from wafers onto
the masks. Each time a wafer contacts a given mask, flakes of photoresist and particles are
transferred from the wafer to the mask. Each subsequent exposure degrades the quality of
the photomask and introduces defects to the patterns printed on subsequent wafers.
The second issue is that the wafer and mask are aligned while separated and then clamped
together for exposure. If the wafer moves horizontally relative to the mask while moving
from the un-clamped to clamped position, the mask will be misaligned to the wafer.
A third issue relates to mask and wafer flexing. In order to insure consistent mask to wafer
contact and resolution, the wafer is held against the mask by mechanical force and a backside nitrogen pressure. The mask flexes from the pressure. The degree of mask flexing
must be exactly uniform from one exposure layer to the next, or the layers will be misaligned at the edges of the wafer versus the center - run-out - see figure 5.78f.
5.7.2. Proximity printing
Proximity printing systems are very similar to contact printing systems with an illumination system that is typically more optimized for collimated light and a small gap introduced
between the mask and wafer. From equation 5.58 the gap reduces resolution as the gap
increases, but the gap significantly reduces defects by preventing mask to wafer contact. The
lack of clamping and un-clamping during alignment also helps with alignment accuracy referred to as overlay. The effect of gap on resolution is illustrated in table 5.8.
Table 5.8: Proximity printer resolution versus gap.
Gap
(m)

Resolution
(m)

10

1.47

15

1.77

20

2.03

30

2.46

64

Copyright 2000 IC Knowledge LLC, all rights

As table 5.8 illustrates, the resolution of contact printing - 0.44ms is degraded to


>1.47m even at the smallest gap. At the time that proximity printing was popular variations in
mask and wafer flatness required a large gap to insure the wafer did not contact the mask and
limited practical proximity printing resolution to 2 to 3ms. A proximity printer system is
illustrated in figure 5.79. Notice the similarity to the contact printer previously presented.
Reflector
Mercury
lamp

Cold
mirror

Filter
Flys
eye
lens

Turning
mirror

Shutter
Condenser
lens
Photo mask
Proximity gap 5 to 20m
Photoresist
Wafer

Figure 5.79: Proximity printer.

5.7.3. Projection printing


In the early seventies, the problems with contact and proximity exposure systems led to the
development of projection printing systems. The most popular exposure system throughout
most of the seventies and into the early eighties was the Perkin-Elmer projection printer (Perkin-Elmer eventually became Silicon Valley Group Lithography - SVGL). Projection printers
have mask - wafer separation distances measured in centimeters and utilize lens systems to
focus the mask image onto the wafer. The projection printing systems discussed in this section
are all one-to-one systems (1:1), i.e., the mask image is reproduced at the same size on the
wafer. The stepping systems discussed in the next section are also projection systems but are
distinct from the 1:1 systems discussed in this section that print a whole wafer at a time. A Perkin-Elmer projection printer is illustrated in figure 5.80.
The operation of the Perkin-Elmer system is as follows:
On the left side of the figure a light source and slit are shown. In an actual system a fairly
complex optical assembly is utilized to collect and focus the light from a mercury arc lamp,
the details of the light source are omitted for clarity. The light source is focused through a
crescent shaped slit onto the mask. The width of the slit can be adjusted in segments to
insure uniform light intensity passing through the slit - over the entire length of the slit. The
light source and slit have a fixed position, everything else shown in the figure pivots on the
axis of rotation shown at the bottom of the figure.

Copyright 2000 IC Knowledge LLC, all rights reserved

Light
source
Slit

65

Pyrex
tube

Scan
travel

Primary
mirror
Secondary
mirror

Mask
Folding
mirror
block

Wafer

Carriage
arm

Axis of
rotation
Pyrex
tube

Figure 5.80: Perkin-Elmer projection printer.

The two carriage arms are connected together with Pyrex tubes and pivot as a rigid mass.
The two arms pivot on a flexure bearing (not shown) located where the axis of rotation
intersects the two arms. The mask is held in a mask fixture that firmly holds the mask in
place on the carriage arm (mask holder not shown). The folding mirror block, primary and
secondary mirrors are also mounted on or attached to the carriage arms. The wafer is held
by a wafer chuck (not shown) that clamps the wafer to the carriage arm.
During exposure the entire carriage assembly pivots around the axis of rotation indicated in
the bottom of the figure and the direction that the carriage pivots through is indicated at the
top of the figure as the scan travel. To expose a wafer the entire carriage assembly scans the
light source slit across the mask. The mask image is reflected off the folding mirror block
to the primary mirror, from the primary mirror to the secondary mirror and back to the primary mirror, from the primary mirror back to the folding mirror block and finally onto the
wafer. By controlling the scan speed the wafer exposure can be controlled. In actual systems a sensor is used to adjust the scan speed to insure consistent exposure.
Also omitted from the diagram is the microscope and optical path utilized for alignment.
Early projection printers continued to employ manual alignment similar to contact and

66

Copyright 2000 IC Knowledge LLC, all rights

proximity systems, later model projection printers began to use automatic alignment similar to the alignment systems that will be discussed under stepping systems.
An important feature to note on the Perkin-Elmer projection printers is the use of scanning
through a slit. Instead of trying to design an optical system capable of projecting the pattern
for a whole wafer at one time, the slit system requires low distortion optics only large
enough to image the area of the slit. From the discussions of optics in earlier sections it
should be clear that the larger the system area the more difficult it is to design for high resolution - numerical aperture in particular suffers at larger areas. Even with the reduction of
the critical optical area by the use of the slit, typical NA for a Perkin-Elmer system was
0.167. The scanning technologies developed by Perkin-Elmer for projection printing is also
utilized for step and scan systems discussed later in the chapter. Another important feature
of the Perkin-Elmer system illustrated in figure 5.80 is the use of an all reflective (Catoptric) lens system. The catoptric lens system allowed wide exposure wavelengths to be utilized without chromatic aberration. The catoptric lens system in the Perkin-Elmer
projection system enabled early DUV exposure work to be done by utilizing the broadband
DUV output of a mercury lamp.
The Perkin-Elmer projection printer as well as projection printers from other companies
revolutionized photolithography in the early seventies. Projection printers offered low defect
densities and almost infinite reuse of masks. The development of pelicles (see section 5.8.1.1)
in conjunction with projection printers led to an order of magnitude reduction in mask defects
relative to contact printing. The major drawback to 1:1 projection printers is the limitation to
minimum linewidths greater than approximately 1um.
5.7.4. Stepping systems
5.7.4.1. Step and repeat
First introduced in the early eighties, step and repeat systems (steppers) were developed in
response to resolution issues with projection printers. In a step and repeat system a reticle is
used that contains the patterns for one or more chips but does not contain enough chip patterns
to pattern a whole wafer in one exposure. The reticle pattern is exposed onto the wafer and then
the wafer stage steps the width of a patterned area and exposes the wafer again. By stepping
and exposing multiple times the entire wafer area can be patterned. A basic step and repeat system is illustrated in figure 5.81.
There are several advantages to the step and repeat approach:
The patterned area on a reticle is smaller than the patterned area on a full wafer mask. The
smaller area reduces the cost of reticle production.
The smaller patterned area and other stepper enhancements allow each reticle exposure to
be focused and aligned to previous layers. Issues with wafer expansion or contraction relative to the mask and wafer flatness become less important since only part of the wafer is
exposed at a time and each area is separately focused and aligned.
The smaller exposure area of a step and repeat system require smaller optics simplifying
high numerical aperture optics design and enhancing resolution.

Copyright 2000 IC Knowledge LLC, all rights reserved

67

Step and repeat systems used for critical patterning typically have reduction optics that
reduces the reticle feature size by a fixed amount for printing on the wafer. The most common reduction ratio currently in use is 5:1. 5:1 reduction allows reticle features to be created at 5 times the size of the desired wafer feature. The larger reticle features are easier for
the reticle makers to produce lowering cost. The reduction also makes the reticle less sensitive to particles. Basically a particle on the reticle must be 5x the minimum resolvable feature in order to print on the wafer.
Mirror

Mercury lamp
Filter
Condenser
lens

Reticle

Reduction
lens

Wafer
Stage

Figure 5.81: Step and repeat system. Adapted from [17].

The basic elements of the step and repeat system are:


The illumination system consisting of a mercury lamp, a parabolic mirror for light collection and focusing, a filter to narrow the exposing light wavelengths and a condensing lens
to focus the light into the stepper system.
A reticle.
A reduction lens or lens system to focus a reduced image of the reticle onto the wafer.
A wafer stage to step the wafer under the stepper optics.
The system illustrated in figure 5.81 is a greatly simplified system diagram and does not
show any of the stage motion and motion control systems that will be discussed later.
One limitation of stepping systems is the size of the biggest die the system can produce.
For a given lens diameter, the largest square die that will fit in the lens is illustrated in figure

68

Copyright 2000 IC Knowledge LLC, all rights

5.82.The limits a stepper places on maximum die size were generally not an issue until the
early nineties when some very large die such as microprocessors would only fit one per stepper
field. It was expected that the maximum die size would soon exceed the size allowed by a stepper lens but the recent increase in the rate at which minimum feature sizes are shrunk has
stopped or even reversed the growth rate in die sizes.
Maximum size
for a square die
0.707D
Lens
diameter: D
0.707D

Figure 5.82: Maximum square die for a round lens opening.

Table 5.9 presents the specifications for selected reduction step and repeat systems available as of August 2000.
The second limiting factor on die size is reticle size and reduction ratio. The standard reticle size is 150mms with a usable area of 140mms. The maximum die size the reticle supports
becomes the reticle size divided by the reduction ratio. Some early steppers had 10:1 reduction
ratios limiting the maximum die to 14mm/side, the most common stepper ratio currently used
is 5:1 allowing a 28mm/side die. 28mms exceeds the lens field size of most steppers - see table
5.9.
5.7.4.2. Step and Scan
The problems associated with making large diameter - high NA lens places a practical
upper limit on the field size of step and repeat systems. For the same lens size a step and scan
system can achieve a larger field size than a step and repeat system. The step and scan system,
was invented by Perkin-Elmer and uses a scanning technique to increase the allowable field
size in one dimension. The basic principle of step and scan is that a lens system is stepped to a
location on the wafer and then a slit imaged through the lens scans the reticle image onto the
wafer - see figure 5.83.
In figure 5.83, a lens of diameter D can image a slit of length L and a width of a few millimeters - the slit length is in fact slightly less than D. The entire lens assembly is then scanned
along the scanning distance to produce a field size of width ~D and length equal to the scanning
distance. The ultimate limit on scanning length is the reticle size divided by the reduction ratio.
Step and scan systems have standardized on 4:1 reduction so the maximum scan length supported by current 150mm reticles is 140/4 or 35mms.

Ultratec

Nikon

Canon

ASML

Manufacture

365
365
248

Mercury XLS100
Mercury XLS248

365

NSR-2205i14E
Mercury XLS200

248

NSR2205EX14D

250

350

500

350

180

31 round

22x22

22x22

4:1

5:1

5:1

5:1

5:1

50

50

45

248
4:1

5:1
22x22

0.50-0.65

150

248

FPA-3000EX6
FPA-3000EX3L

25x33

<30

5:1

22x22~17x26

220

248

FPA-3000EX5

0.5

<45

5:1

22x22~17x26

0.4-0.6
0.45-0.63

<250

248

FPA-3000EX4

400

<35

2:1

0.24

800

365

FPA-3000iW

365

<40
<100

5:1

22x22~17x26
50x50~47.9x52

0.45-0.63

<350

365

FPA-3000i5+

NSF-SF100

<45
<50

5:1
5:1

22x27.4
22x22~17x26

0.48-0.57
0.45-0.63

<45

Overlay
(nm)

250

5:1

Reduction
ratio

350

22x27.4

Field
size
(mm)

365

0.48-0.60

NA

365

PAS5500/300C

300

Resolution
(nm)

FPA3000i5

365

Wavelength
(nm)

PAS5500/250C

Model

Table 5.9: Specifications of some selected reduction step and repeat systems [57],[58],[59],[61].

Copyright 2000 IC Knowledge LLC, all rights reserved


69

70

Copyright 2000 IC Knowledge LLC, all rights

Slit

Maximum size for


a rectangular die

Lens
diameter: D
Scanning distance

Figure 5.83: Step and scan - field scanning [33].

A step and scan system is illustrated in figure 5.84. The system shown uses Cadioptric
optics (mixed reflective and refractive optics) and is similar to early generation Perkin-Elmer
(now SVGL) systems.
The illumination system illustrated in the figure is a mercury arc lamp. Later generation
systems utilize excimer lasers.
The illumination system is focused onto the reticle through a narrow slit (a few millimeters
wide).
The reticle image is focused onto the wafer through a mixed reflective and refractive lens
systems. The addition of the reflecting elements simplifies lens design and allows a smaller
and lighter system to be designed for a given performance level.
The wafer is held by a stage that steps to each new field location and then scans.
The mask and wafer must both scan at the same time with different rates due to the reduction ratio. The scan speed is critical since the scan speed determines the exposure. The scan
speed must be uniform through out the scan to insure consistent exposure across the field.
The system would also include alignment and stage control systems not shown for clarity.
5.7.4.3. Alignment and overlay
When a pattern is superimposed over another pattern the accuracy of the alignment mark
placement on one layer relative to the other layer is referred to as alignment. Alignment is only
measured at the alignment marks. Overlay is the accuracy of the pattern alignments measured
everywhere.
Stepping system alignment begins with a gross mechanical pre-alignment to within a few
tens of microns. The pre-alignment allows the alignment system to find the alignment marks. A
minimum of two alignment marks is required to allow x and y translation and rotation to be
adjusted. A pair of alignment marks allows wafer scale to by checked on one axis, the addition
of a third mark allows wafer scale to be checked on both axis. A third mark is required for overlay <0.1micron

Copyright 2000 IC Knowledge LLC, all rights reserved

71

Mirror

Mercury Lamp
Filter
Condenser
Lens
Slit
Scan

Reticle

Mirror

Mirror
Prism

Lenses
Lens

Stage

Wafer

Figure 5.84: Step and scan system [33].

Stepping systems use automatic alignment systems. There are three major wafer alignment
schemes in wide use for stepping systems:
Global mapping - the most widely used scheme. A large number of alignment marks across
the wafer are mapped by the system and used to generate the stepping distances. The drawback to this scheme is the amount of time involved in mapping the large number of sites.
The averaging produced by this approach is good for reducing random errors.
Site by site alignment - each time the system steps to a new site, the site is aligned to
marks produced at the previous layer. This scheme is even slower than global alignment.
This scheme is good at compensating for random placement errors at previous levels.
Two point alignment - two points are checked per wafer and used to align the whole wafer.
This scheme is very fast but requires good stage orthogonality and low intra-field errors.
Only ASML currently utilizes this scheme.
Alignment may be off-axis or through-the-lens:
Off-axis alignment systems use separate alignment optics for alignment. Off-axis systems
map the wafer and then use off-sets to compensate for the difference between the alignment
optics and exposure optics positioning. The offset vector is called the baseline and modern
wafer stages have a mark for automatic baseline checks. Temperature changes and changes
in how the reticle is positioned when new reticles are loaded can affect the baseline. If frequent baseline checks are required the baseline checks can slow down the system.

SVGL

Nikon

Canon

ASML

Manufacture

<35
4:1

26x32

0.45-0.63

193

PAS 5500/950B

248
193

Micrascan IV
Micrascan V

100

0.68
0.40-0.60

150
180

0.68

0.68

248

NSR-S204B

180

0.40-0.60

0.40-0.68

248

248

130

<180

Micrascan III+

365

193

FPA-5000AS1
NSR-S103B

248

NSR-S203B

248

FPA-4000ES1
FPA-5000ES2

26x34

25x33

25x33

25x33

26x33

26x33

4:1

4:1

4:1

4:1

45

35

35

<35

<70

<30
4:1

26x33

0.50-0.70

130
<130

248

PAS 5500/750E

4:1

<35
4:1

26x33

0.50-0.70

150

248

PAS 5500/700D

25x33

<40
4:1

26x33

0.40-0.63

180

248

PAS 5500/550D

0.40-0.63

<35
4:1

26x33

0.48-0.65

280

365

PAS 5500/400C

<250

Overlay
(nm)

Reduction
ratio

Field
size
(mm)

NA

Resolution
(nm)

Model

Wavelength
(nm)

Table 5.10: Specifications of some selected step and scan systems [57],[58],[59],[60].

72
Copyright 2000 IC Knowledge LLC, all rights

Copyright 2000 IC Knowledge LLC, all rights reserved

73

Through-the-lens alignment uses the exposure optics for alignment. In theory through-thelens provides better alignment, however, the exposing light cannot be used for alignment
without exposing the wafer. The alignment wavelength can be shifted to a longer wavelength but a monochromatic source must be used such as a He-Ne laser. The monochromatic light is not ideal for alignment due to interference effects. Off-axis systems use white
light for exposure that is more flexible.
The type of alignment mark used is specific to the stepper manufacture. Alignment marks
may be light field or dark field. Dark field marks where only the edges are shown provide a better signal but can be difficult to use with grainy metal surfaces.
With global and two point alignment schemes, stage motion must be very tightly controlled
with stepping accuracy's of approximately 100nms. Stage control is accomplished by measuring the stage motion with a laser interferometer and using the interferometer output as feedback
to the stage motion control system.
A laser interferometer utilized for stage control works as follows: A He-Ne laser operated
in a magnetic field produces a split beam with two slightly different wavelengths. One beam is
reflected from a stationary mirror - preferably attached to the lens, and one beam is reflected
from a mirror attached to the stage. The two beams polarization planes are then rotated to coincide and the beams are allowed to interfere on an intensity sensor - see figure 5.83.
Mirror

Lens

Wafer
Wafer
stage

Polarizing
beam splitter
Laser

Mirror

Polarizing
beam splitter

Sensor

Laser
Sensor

Figure 5.85: Stepper laser stage control system [56].

The difference in the beam wavelengths produces a beat frequency of a few megahertz.
When the stage is moving the frequency shifts by
f = 2V

(5.70)

where, V is the stage velocity and  is the laser wavelength.


The beat frequency of the two beam is compared to the frequency of the two beams coming
right out of the laser by a phase comparator.

74

Copyright 2000 IC Knowledge LLC, all rights

A 2 phase shift in output is equivalent to distance of


2 phase shift gives,

x = 2

(5.71)

where, x is the distance moved, 316.4nms for a He-Ne laser.


The phase comparator tracks fractions of a cycle equivalent to a few nms.
Laser interferometers are sensitive to changes in the index of refraction of air that can be
effected by barometric pressure and or temperature. Slow changes can be compensated for but
rapid changes can create inaccuracies in the measurement of the stage motion.
Stage motion may be driven by a one or two stage system. In a two stage system a course
stage driven by a stepper motor and lead screw is utilized for large scale motion and a second
magnetically driven stage is utilized for fine adjustments. Some steppers utilize a single magnetically driven stage for the full range of motion. Stages may also have stage leveling and z
axis motion for focusing in addition to x-y motion. Focusing may be accomplished by grazing
incident light, a small air tube or a capacitance gage.
5.7.5. G-Line exposure (436nm)
Early generation step and repeat systems were either relatively broad band or were G-line
systems. At the time that G-line steppers were main-stream, NAs were relatively low in the 0.3
to 0.5 range and k1 reduction techniques were not yet in use. Although k1 reduction techniques
now available would allow G-line exposure to be used to print smaller minimum linewidths, Gline exposure was only used as a mainstream exposure tool down to approximately 0.8ms. Gline systems utilized relatively inexpensive DQN photoresists with costs of around $300/gallon.
Figure 5.86 illustrates the achievable minimum linewidth for G-line exposure versus NA
and k1 factor. Note how with very high NA and very low k1 factors, G-line is theoretically
capable of <200nm resolution. Figure 5.86 is calculated from equation 5.51.

Resolution (nm)

5,000

1.0
0.8
0.6

k1 factor

1,000
0.4
0.2

100
0.1

0.2

0.3

0.4
0.5
0.6
Numerical aperture

0.7

0.8

Copyright 2000 IC Knowledge LLC, all rights reserved

75

Figure 5.86: G-line exposure resolution versus NA and k1.

5.7.6. I-Line exposure (365nm)


I-line exposure entered mainstream use around 0.6ms. I-line required new photoresists
optimized for greater uniformity and resolution. Although still based on DQN, additional additives and consistency requirements drove up photoresist prices into the $500 to $700/gallon
range. I-line also saw resolution enhancement techniques more widely used and ever increasing
NA stepping systems. I-line exposure was mainstream down to 0.35ms and some people
made use on I-line exposure at 0.25ms with resolution enhancements.
Figure 5.87 illustrates resolution versus NA and k1 factor for I-line exposure. Again note
that minimum theoretical resolutions of 90nms is well below the 250nm cut-off for I-line exposure in production use.

Resolution (nm)

4,000

1.0
0.8
0.6

1,000

k1 factor

0.4
0.2

100
90
0.1

0.2

0.3

0.4
0.5
0.6
Numerical aperture

0.7

0.8

Figure 5.87: I-line exposure resolution versus NA and k1.

5.7.7. 248nm exposure


The current production state-of-the-art exposure wavelength is 248nms. 248nm exposure
saw the introduction of widespread KrF excimer laser use as an illumination source and the
introduction of chemically amplified photoresists. Chemical amplified photoresist have now
raised the cost of photoresist to approximately $2,000/gallon and exposure systems cost seven
to seven and one half million dollars. 248nms became the exposure wavelength of choice at
250nm linewidths and is now in widespread use at 180nm linewidths as well. Although 248nm
exposure is theoretically capable of 60nm resolution, many experts expect to see 193nm exposure begin to take over at the 130nm linewidth generation. 248nm exposure systems mark the
first time that CaF has been used in a production exposure system lens with ASML using a few

76

Copyright 2000 IC Knowledge LLC, all rights

CaF elements. All other 248nm exposure systems utilize fused silica for lens material. 248nm
is just now becoming a mature technology.

Resolution (nm)

3,000

1.0
0.8
0.6

1,000

k1 factor

0.4
0.2

100
60
0.1

0.2

0.3

0.4
0.5
0.6
Numerical aperture

0.7

0.8

Figure 5.88: 248nm exposure resolution versus NA and k1.

5.7.8. 193nm exposure


At the time that this book is being written, 193nm exposure is still in the development
stage. Based on ArF excimer laser - light sources, 193nm exposure systems make more extensive use of CaF lens elements. 193nm excimer lasers are less mature than 248nm - KrF laser
which have higher output and repetition rates. 248nm - chemically amplified photoresists are to
absorbing at 193nm and so new photoresists have had to be developed. 193nm photoresist
development is still very much a work in progress with no one photoresist meeting etch resistance, transparency and imaging performance requirements. 193nm photoresist also exhibit
outgassing of low molecular weight products that can oxidize and chemically bond to system
lenses. All of the major exposure system manufactures have announced 1st generation 193nm
exposure systems with prices in the ten million dollars per system range.
Theoretical resolution for 193nm exposure systems versus NA and k1 factor is illustrated in
figure 5.88. Note that in theory, 193nm exposure is capable of minimum lienwidths down to
nearly 40nms.
5.7.9. 157nm exposure
157nm exposure using the F2 excimer laser is even earlier in the development cycle than
193nm exposure. Until the last year 157nm exposure was not garnering much interest in the
industry. Just in the last year has it been realized that 157nm exposure may allow optical exposure to be extended for one or two more generations pushing off the so called next generation

Copyright 2000 IC Knowledge LLC, all rights reserved

77

lithography alternatives. 157nm exposure requires extensive use of CaF lens elements or alternatively reflective lens elements may be used. 157nm photoresist are just now starting to be
investigated. Small exposure field systems are currently available and full field systems are
expected in the next few years.
The theoretical resolution of 157nm exposure is illustrated in figure 5.90. 157nm exposure
is theoretically capable of <40nm resolution.
2,000
1,000

Resolution (nm)

1.0
0.8
0.6

k1 factor

0.4
0.2
100

40
0.1

0.2

0.3

0.4
0.5
0.6
Numerical aperture

0.7

Figure 5.89: 193nm exposure resolution versus NA and k1.

0.8

78

Copyright 2000 IC Knowledge LLC, all rights

2,000
1,000

Resolution (nm)

1.0
0.8
0.6

k1 factor

0.4
0.2
100

30
0.1

0.2

0.3

0.4
0.5
0.6
Numerical aperture

0.7

0.8

Figure 5.90: 157nm exposure resolution versus NA and k1.

5.8. Process and resolution enhancements


In the next section process enhancement and resolution enhancement techniques will be
reviewed. The process enhancement techniques improve process control and or defect density.
The resolution enhancement techniques allow smaller linewidths to be printed for a given
exposure wavelength.
5.8.1. Process enhancements
5.8.1.1. Pelicles
If a particle lands on a mask or reticle (the term reticle will be used to include both masks
and reticles from this point forward) and the particle is larger than the minimum resolution of
the exposure system, the particle will print on every wafer exposed with the reticle in question.
Reticles are typically manufactured by patterning a chrome layer on one side of a glass plate.
Particles falling on the un-patterned side of the reticle will generally be out of focus and not
printed, therefore only the patterned side of the reticle is susceptible to particle induced defects.
If a thin film is stretched over a frame a small distance above the patterned side of the reticle,
any particle falling towards the patterned side of the reticle will be blocked from reaching the
pattern and will be out of focus, this is what a pellicle does.

Copyright 2000 IC Knowledge LLC, all rights reserved

79

Figure 5.91a illustrates particles in focus of the patterned side of a reticle and out of focus
on the un-patterned side of the reticle. Figure 5.91 illustrates particles out of focus on both sides
of the reticle through the use of a pellicle.
Glass side
defect
out-of-focus
Mask or
Reticle
Pattern side
defect
in-focus

Focal
Plane

Glass side
defect
out-of-focus

Pellicle side
defect
out-of-focus

Pellicle

Lens
System

Photoresist
Coated
Wafer

a) Without pellicle

Focal
Plane

b) With pellicle

Figure 5.91: Pellicle action.

For particularly critical applications, pelicles can be utilized on both sides of the reticle.
One issue with pellicle use at short exposing wavelengths is finding a suitable pellicle
material with acceptable transmission properties.
5.8.1.2. Anti reflective coatings
Reflective substrates present a number of problems for photoresist patterning. Reflection
from the underlaying substrate can create standing waves in photoresist, or scattering from the
underlying substrate may lead to photoresist exposure in undesired areas. Figure 5.92 illustrates

80

Copyright 2000 IC Knowledge LLC, all rights

the variation in linewidth resulting from different substrate reflectivity values. Notice how
increasing substrate reflectivity leads to increasing linewidth variations due to scattering.
0.3
XHRI @ 365nm
I-Line Resist (0.35m Lines)
DUV18/AR1 @ 248nm
APEX TM-E (0.3m Lines)

Linewidth Variation (m)

0.25

Aluminum

0.2

Silicon

0.15

Aluminum
32nm SiNx/W

0.1

128nm XHRI/Al, 105nm XHRI/Si


Silicon
90nm XHRI/Al
Tungsten
29nm SiNx/Si

0.05

88nm DUV 18/AR1/AI, 92nm DUV 18/AR1/Si


130nm DUV 18/AR1/AI, 137nm DUV 18/AR1/Si
155nm XHRI/AI, 160nm XHRI/Si
56nm DUV 18/AR1/Si, 54nm DUV 18/ARI/Al

0
0

0.1

0.2

0.3

0.4

0.5

0.6

0.7

0.8

0.9

1.0

Film Stack Reflectivity at the


Resist/Substrate Interface

Figure 5.92: Spin-on anti-reflective coatings [70]

Figure 5.92 illustrates not only substrate film materials but also substrates coated with various anti reflective coatings. Anti reflective coatings applied underneath the photoresist are
referred to as backside anti reflective coatings (BARC).
The reflectance from a photoresist-substrate interface may be calculated from the Fresnel
relationship
n 1 n 2
R = ----------------------n 2 + n 1

(5.72)

where, n1* is the complex index of refraction of the first media and n2* is the complex index of
refraction of the second media.

Copyright 2000 IC Knowledge LLC, all rights reserved

81

and
n = n ik

(5.73)

where, n is the real refractive index and k is the extinction coefficient.


Table 5.11 presents some data for n and k for selected materials at several wavelengths.
Table 5.11: Optical constants for selected materials at 436, 365, 248 and 193nm
wavelengths [69].
Material

193nm

248nm

365nm

436nm

Silicon

0.960

2.88

1.58

3.60

6.41

2.62

4.79

0.175

SiO2

1.56

0.00

1.51

0.00

1.47

0.00

1.47

0.00

Si3N4

2.65

0.18

2.28

0.005

2.11

0.00

2.051

0.00

Aluminum

0.117

2.28

0.190

2.94

0.407

4.43

0.595

5.35

Polysilicon

0.970

2.10

1.69

2.76

3.90

2.66

4.46

1.60

1.70

0.007

1.67

0.007

DNQ/novolak
PHS CAR

1.76

0.007

When a BARC is utilized the thin film absorption  is given by


4k
= --------

(5.74)

and the transmission through the absorbing film T, is given by


T = exp ( t )

(5.75)

where, t is the film thickness of the absorbing film.


Increasing k, increases absorption in the BARC but also increases reflectivity at the photoresist BARC interface. A reasonable value for k is 0.25 to 1.2 [69]. One technique for optimizing a BARC is to design the BARC so that reflection from the BARC - substrate interface is
180o out of phase with reflections from the BARC - photoresist interface, so that the light
reflected from the two interfaces destructively interferes.
Since the BARC must be compatible with pattern transfer either from the developing
chemistry or from a short plasma treatment following develop, BARC thicknesses of 50 to
80nm are desirable.
BARCs may be either organic spin or deposited films. Organic BARCs are spun-on to the
wafer using the same spin-on techniques utilized to apply photoresist. The BARC is typically
spun-on and then baked, and then the photoresist is spun-on. Organic spin-on BARCs have
fallen out of favor due to the high cost of the spin-on material. For state-of-the-art processes
For example, gate polysilicon is coated with a Si3N4 layer and aluminum films are coated with
TiN layers as anti reflection coatings.

82

Copyright 2000 IC Knowledge LLC, all rights

5.8.2. Resolution enhancement techniques (RET)


As the minimum feature size being printed shrinks relative to the exposing wavelength,
resolution enhancement techniques are required. For the purpose of our discussions we will
define weak resolution enhancement techniques as being required below k1 = 1 and NA = 0.7
i.e., the ratio of minimum linewidth to exposing wavelength = 1/0.7 = 1.43 (from equation
5.51). Strong resolution enhancement is required below k1 = 0.5 and NA =0.7 so that the ratio
of minimum linewidth to exposure wavelength = 0.71. Figure 5.93 illustrates the trends in minimum feature size divided by exposure wavelength versus minimum feature size for several
common exposure wavelengths.
5.0

Minimum feature size


divided by wavelength

G-line
I-line
248nm
193nm
157nm

1.0

Weak
resolution
enhancement
Strong
resolution
enhancement

0.3
50

100
1,000
Minimum feature size (nm)

2,000

Figure 5.93: Resolution enhancement required versus ratio of


minimum feature size to exposure wavelength.
Adapted from [48].

In this section each of the various resolution enhancement techniques will be described.
5.8.2.1. Optical proximity correction (OPC)
As the minimum feature size that is being resolved shrinks relative to the exposing wavelength the optics transmitting the image loses information and the resulting aerial image is less
sharp. The practical result for two dimensional images is a rounding of the corners of the
image. Optical proximity correction is the addition of features to the reticle image to correct for
image rounding - see figure 5.94.

Copyright 2000 IC Knowledge LLC, all rights reserved

83

Figure 5.94a illustrates a mask feature and the image printed on the wafer without OPC.
Figure 5.94b illustrates a mask feature and the image printed on the wafer with OPC.

Mask

Wafer

Mask

a) Without OPC

Wafer

b) With OPC

Figure 5.94: Optical proximity correction.

5.8.2.2. Off axis illumination (OAI)


In section 5.6.1.3 it was shown that incoherent illumination arrives non-normal to the mask
and wafer plane and only one side of the first diffraction order is passed through the lens system. By limiting the image formation to one side of the diffraction orders resolution is
enhanced to twice what can be achieved by coherent illumination. Off axis illumination (OAI)
utilizes an aperture in the illumination path to tilt the arrival of the exposing light. Figure 5.95
illustrates three different illumination apertures utilized in exposure systems. Figure 5.95a is a
conventional aperture (on axis illumination), figure 5.95b illustrates an annular ring and figure
5.95c illustrates quadrupole illumination both of which provide off axis illumination. In each
case the white area of the aperture is where light is allowed to pass.

a) Conventional

b) Annular

c) Quadrapole

Figure 5.95: Off axis illumination apertures.

Annular illumination offers equal improvement in resolution for x and y lines as well as
45o lines. Annular illumination is available on most stepping exposure systems and is relatively
easy to use, but offers only modest resolution enhancement. Quadrupole illumination is more
effective than annular illumination at enhancing resolution for x and y lines but is worse for 45o
lines.
OAI is illustrated in figure 5.96. In figure 5.96a, conventional illumination is used and the
zeroth diffraction order as well as the positive and negative first diffraction orders are passed.

84

Copyright 2000 IC Knowledge LLC, all rights

In figure 5.96b, the tilt of the off-axis aperture allows only the zeroth and positive first diffraction order to reach the wafer.
Illumination system

Conventional
Aperture

Off-axis
Aperture

Condenser lens
Reticle
- First order

Projection lens
+ First order

- First order

+ First order

0 order
0 order

Wafer
a) On axis

b) Off axis

Figure 5.96: Off-axis illumination [71].

If only the zeroth and positive first order pass the lens system, the optical path length of the
two rays is identical regardless of the wafer position and in theory depth of focus become infinite. In practice only allowing the two rays through provides zero intensity and so some finite
width to the aperture is required.
The angle at which the rays arrive at the wafer is critical and must be
-1

sin ( 0.5 d )

(5.76)

for the mask features to coincide.


OAI must be tuned for each wavelength and set of features to be printed. If lines can be
limited to x - y directions only, quadrupole illumination can be quite effective.
5.8.2.3. Phase shift masks (PSM)
In sections 5.6.9 and 5.6.10 the concepts of interference and diffraction were presented. If
we consider the photomask illustrated in figure 5.97a, the electric field has the same phase in
each clear opening. In figure 5.97b, the addition of a phase shifting layer in one clear area
results in an electric field 180o out of phase for one clear area versus the adjacent clear area.

Copyright 2000 IC Knowledge LLC, all rights reserved

85

A 180o phase change is accomplished when the thickness t of the phase shifting layer is:
t = /2 ( n 1 )

(5.77)

The shift in phase in the electric field at the mask results in a zero intensity point between
the two features projected on the wafer allowing features which are close together to be printed
Glass
Chrome
Phase
shifter
0

Electric field
at the mask

Electric field
at the wafer

Intensity at
the wafer
a) Conventional mask

b) Phase shift mask

Figure 5.97: Alternating phase shift mask [71].

There are a variety of different phase shifting mask techniques including, attenuated, alternating, sub resolution, rim and chromeless. In each case the objective is to enhance the quality
of the mask image at the wafer.
5.8.2.4. Imaging-interferometric lithography (IIL)
In off axis illumination systems the offset angle is limited by the NA of the lens. If the diffraction orders are outside of the lens NA, the orders are not transmitted. In imaging-interferometric lithography (IIL) a second optical path is introduced so that lager offset angles may be
employed and therefore larger spatial frequencies, i.e., smaller minimum linewidths.
5.8.2.5. Resolution enhancement technique summary
A spatial frequency analysis of various resolution enhancement techniques has been
reported [55]. A diffraction limited Fourier optics model has been utilized to compare optical
lithography without RET, or with OPC, OAI, PSM or IIL. The results are summarized in table
5.12. Basically the best attainable resolution for optical lithography without RET is 0.91, with
OPC is 0.63, with OAI is 0.45, with PSM is 0.53 and with IIL the best result of all RET
techniques is obtained of up to 0.30. In theory, IIL with 157nm exposure wavelength can print
a 47nm minimum feature.

86

Copyright 2000 IC Knowledge LLC, all rights

Table 5.12: Frequency space limits of various RET techniques [55].


Technique
Optical lithography
OPC

Mask
requirement

Maximum
spatial
frequency

Minimum
k1

Minimum
CD for
NA=0.65

Binary

NA/

0.6

/1.1

Binary with

(1.2-1.3)NA/

0.45

/1.6

extra sub-resolution
features
OAI

Binary

21/2NA/

0.43

/1.5

OAI with pupil plane


filters

Binary

2NA/

0.3

/2.2

3D

2NA/

0.35

1.9

Binary

(1+NA)/ to 2/

0.23 to 0.2

/2.8 to /3.3

PSM
IIL

5.9. Non optical exposure


Lithography experts have been predicting the end of optical lithography since the late
eighties. It is the opinion of the author that a clear path for optical lithography to produce 70nm
minimum features exists and that 50nm minimum features may be possible as well. As long as
economical - optical lithography alternatives exist, the industry will make the safe choice and
stay with optical lithography. The preceding argument - argues that non-optical alternatives are
so far in the future that picking a winning technology is virtually impossible. In the interest of
completeness, the leading post optical lithography candidates will be briefly reviewed.
5.9.1. Extreme ultraviolet (EUV)
Extreme ultraviolet (EUV) otherwise known as soft x-rays utilizes 13.4nm wavelength
radiation for exposure. The EUV LLC, a consortium of Intel, Motorola and Advanced Micro
Devices along with three national labs have been developing the technology in the US [72].
The EUCLIDES program, a consortium of ASML, Carl Zeiss and Oxford Instruments is pursuing EUV in Europe [73], and a group in Japan is also working on EUV.
The basic concept of the US - EUV system is illustrated in figure 5.98. The basic system
components and concepts are:
A step and scan - 4:1 reduction exposure approach is used [74],[75].
A laser beam is focused onto a xenon gas jet to produce a plasma. The 45eV blackbody
radiation is collected by a condenser and focused onto the mask [72]. The gas nozzle must
be erosion resistant and the plasma must be carefully controlled to prevent deposition onto
the first condenser mirror. The laser is pulsed at 6,000Hz currently and higher pulse rates
may be used to increase the power output [72]. The condenser optics contains 3 mirrors
[74].
EUV radiation is absorbed by all materials [72]. All of the lens are multilayer mirror coated
with Si and Mo to form distributed Bragg reflectors. A target of 70% mirror reflectivity has

Copyright 2000 IC Knowledge LLC, all rights reserved

87

been set by the EUV LLC group [74]. Mirror flatness and the multilayer coatings are
extremely challenging, but acceptable result appear to be attainable [72].
Reticles are formed from patterned reflecting and absorbing layers deposited onto a silicon
or low expansion glass substrate [74].
A 4 mirror system is used to focus the reticle image onto the wafer. The NA of early
designs is 0.1NA, suitable for 50nm minimum linewidths and longer term a 0.25NA tool is
envisioned that will be capable of printing 30nm minimum linewidths.
The whole optical path must be maintained under vacuum to allow the 13.4nm radiation to
propagate without too much absorption.

EUV Multilayer
Coated Imaging
Optics

EUV
Reflection
Mask

EUV Multilayer
Coated Condenser
Optics

Wafer

Scanning
Stage
Target
High
Energy
Laser

Laser
Induced
Plasma

Figure 5.98: Extreme Ultraviolet System. Adapted from [74].

Although the fabrication of an EUV system has many formidable challenges, work at the
various EUV consortium have now proven the concept to be possible. A 0.1NA - 4:1 production capable tool is estimated to cost $14.4 million dollars [72]. SVGL has announced plans to
UV system by 2003 [76]. Issues remain with fabricating masks with low enough defect density
and with photoresist. Currently modified DUV photoresists 100nm thick are used [72]. From
the discussions of 157nm photoresists and figure 5.30, it is clear that such a thin photoresist
would present huge defect density challenges as well. EUV along with Scalpel (see below) has
been picked by a SEMATECHG conference as the most likely candidates for post optical
lithography [79].
5.9.2. X-ray lithography (XRL)
X-ray lithography utilizes even shorter wavelengths than EUV - approximately 1nm. X-ray
lithography has probably the longest history of any post optical exposure technology. Typically
x-rays are generated by synchrotron beam bombardment an aluminum target. Masks have
recently transitioned to from gold to tantalum and tungsten patterns on a polymer, silicon

88

Copyright 2000 IC Knowledge LLC, all rights

nitride or silicon carbide substrate [77]. Mask heating remains a big outstanding x-ray issues.
Also a synchrotron costs approximately $25M making x-ray the highest equipment cost next
generation approach. In spite of the relative maturity of the technology - IBM, NTT and NEC
has been a big proponent of XRL for many years demonstrating a number of different functional devices fabricated with XRL [78], x-ray has recently fallen out of favor, primarily due to
implementation cost issues.
Figure 5.99 illustrates an exposure station. Several such stations can be accommodated by a
single synchrotron.
Vacuum
Electron beam
from electron gun
or synchrotron

X-Ray target

Beryllium
window
X-Rays
Helium

Mask
Wafer
Stage

Figure 5.99: X-ray system [17].

The basic configuration is:


A high energy electron beam from an electron gun or synchrotron bombard a target in the
top of the exposure system.
The generated x-rays enter the exposure chamber through a beryllium window.
The exposure chamber is filled with helium to prevent x-ray absorption.
The mask is held above the wafer by a small gap, i.e. this is a proximity printing system.
The whole wafer is exposed a one time by a 1:1 photo mask. The lack of a reduction option
is one of the major drawbacks to x-ray technology.

5.9.3. E-beam systems


5.9.3.1. Standard e-beam
Reticles have been patterned by e-beam systems for many years, it is therefore logical to
look at e-beams for wafer patterning as well. E-beam writer systems typically use 100KeV
electrons which have an effective wavelength of 0.04nms yielding the potential for very high
resolution.

Copyright 2000 IC Knowledge LLC, all rights reserved

89

Figure 5.100 illustrates an electron beam system similar to the type of systems utilized to
write mask patterns. The basic system configuration from top to bottom is:
An electron source generates electrons. In the case pictured a hot filament has electrons
drawn off by a electrode with a hole in the middle.
The spray aperture prevents electrons from to far off angle from entering the optics.
The optics of the system are made up of a number of electromagnetic lens.
A beam limiting aperture again limits electron angles to far off axis.
The beam deflector uses a set of electrostatic electrodes to steer the beam allowing patterns
to be written onto the wafer.
Electron Source
Spray Aperture
Demagnifying Lens 1
Beam Blanking

Demagnifying Lens 2

Beam Limiting
Aperture
Beam Deflection

Demagnifying Lens 3
Wafer
Stage

Figure 5.100: E-beam system [33].

While systems similar to the one illustrated in figure 5.100 are widely used to write masks,
the write time for complex patterns is to slow to be economical for high volume wafer production.
5.9.3.2. SCALPEL
While electron-beam lithography looks very promising from a resolution stand point, issue
with throughput limit the usefulness of the technology. SCALPEL represents an attempt to
incorporate electron resolution into a high throughput system. SCALPEL stands for scattering

90

Copyright 2000 IC Knowledge LLC, all rights

with angular limitation projection electron beam lithography. The basic SCALPEL system is
illustrated in figure 5.101.
Electron
Beam

Laser
Interferometer

Mask
Motor

Mask Pattern
Struts

Laser
Interferometer

Scan
Mask
Motor

Step

Lens
4X Reduction
Lens
Stage
Motor

Aperture
Deflector

Laser
Interferometer

Laser
Interferometer
Scan
Step
Stage

Wafer

Stage
Motor

Figure 5.101: Scalpel system. Adapted from [80].

The basic principles of the system illustrated in figure 5.101 are:


An electron beam illuminates a mask.
The mask is made up of a low atomic number membrane with a pattern of high atomic
number material formed on it. The low atomic number membrane is 100 - 150nm thick
SiNx that is weekly absorbing of electrons at the 100KeV energy used and only weekly
scatters electrons to small angles. The high atomic number pattern is a 25 to 50nm thick
layer of a material such as W that scatters electrons to high angles. An aperture is used to
block the high angle electrons from entering the optics. The thin membranes used are not
stable of over large areas and so the mask is supported by a grill. The sections in the mask
are only ~1 by 10mms in size and so large die must be stitched together from multiple sections [80],[81].
The electron beam is approximately 1  1 mms and the mask is scanned under the beam to
expose each 1  10 mm area. Mask drive motors with laser interferometers are utilize fro
precise motion control.
Electromagnetic optics are used to focus the beam and provide a 4:1 reduction ratio.

Copyright 2000 IC Knowledge LLC, all rights reserved

91

Electrostatic deflectors are used to move the beam for stitching.


A laser interferometer controlled motor stage is used to set the wafer for each new field.
The overall system is step and scan.
SCALPEL systems have demonstrated 80nm contacts and 180nm line-space pairs [80] and
has demonstrated a 1cm  1cm filed by using 200 stitches [81]. As noted earlier, SCALPEL
was picked as one of two leading candidates to succeed optical lithography by a SEMATECH
lithography conference.
5.9.4. Ion projection lithography (IPL)
Ion projection lithography utilizes 100KeV He+ ions of 0.0001nm in wavelength for exposure [86].
Ion
Source

Extraction
Mass
Analyzer

Electrostatic
Lens
System

Cold
Tube
Shutter
Stencil Mask
Wafer
X-Y Stage

Figure 5.102: Ion projection lithography system.

The basic features of the IPL system illustrated in figure 5.102 are:
An ion source utilizing a radio frequency driven multi cusp filament discharges ions at 3eV
[86]. Extraction electrodes draw off ions from the ion source.
A mass analyzer is used to eliminate ions other than He+ (mass analyzers will be discussed
in the chapter on ion implantation).
An electrostatic lens system accelerates and focuses the ions.
A stencil mask that blocks or allows the ions to pass. The mask is made up of a ~3m thick
Si membrane covered with a 0.5m C layer with openings cut in the two layers where He
ions are to pass. The membrane is supported by a Si wafer.
Ion projection has high intensity and is compatible with a variety of standard and DUV
photoresists.

92

Copyright 2000 IC Knowledge LLC, all rights

5.10. Post exposure bake


Post exposure bake (PEB) is a bake step performed after exposure but prior to develop.
PEB performed 10 to 20oC above the softbake temperature was first introduced for reduction in
standing waves with DQN photoresists.
As discussed in the section on optical principles, monochromatic light used for exposure of
photoresist over a reflecting substrate results in variations in exposure levels vertically through
a photoresist layers. The variations in exposure, result in variations in developing rate and produce a characteristic vertical oscillation in the photoresist sidewalk. Walker [63] first reported
that a PEB could reduce standing waves. During PEB the PAC in the photoresist diffuses from
high concentration regions to low concentration regions reducing the differences in develop
rate.
PEB has also been found to improve critical dimension control, exposure latitude and
enhances the photoresist profile [64]. Elimination of photoresist footing has also been reported
[65]. Some researchers have reported additional benefits from PEB at >30oC above softbake
temperatures, such as contrast enhancement, reduction in biases and reductions in reflective
notching [65],[66].
With the advent of chemically amplified photoresists PEB has taken on new importances.
PEB is required to allow the photo generated acid to diffuse and to accelerate the deprotection
mechanism. Chemically amplified photoresist require a bake, typically 90 - 140oC for 1-2 minutes [87]. Control of PEB temperature and time is critical to control the diffusion length of the
photoacid. If the photoacid diffuse too far, a broadening of the exposed areas may result. Parket, et.al, have identified the effect of PEB temperature on critical dimensions [83]. Table 5.13
lists several chemically amplified photoresist and the CD change per oC for PEB. Note that
while some photoresist are quite insensitive to temperature changes, resist such as APEX E are
extremely sensitive.
Table 5.13: Effect of PEB bake temperature on CDs [83].
Supplier

Sensitivity
(nm/oC)

Reference

APEX E

Shipley

16.0

84

UV2HS

Shipley

7.5

84

IBM

3.8

85

Shipley

2.6

85

Photoresist

Version 1B (193nm)
UV6
TM-461

JSR

2.6

85

DP-024

TOK

1.8

85

ARCH 2

Arch

85

R2J

JSR

85

Hinsberg, et.al., have investigated the diffusion of a photo acid (di-(t-butylphenyl)iodonium perfluorobutane sulfonate referred to as TBI-PFBS) in a PTBOCST photoresist [87].
From a temperature of 65 - 105oC they found the diffusivity varied from 0.05 - 0.15nm2/sec

Copyright 2000 IC Knowledge LLC, all rights reserved

93

with an activation energy of 1.58eV. As the PTBOCST undergoes deprotection to PHOST, the
diffusivity decreases to 0.001 - 0.05nm2/sec with an activation energy of 0.96eV. For a standard PEB of 100oC for 2mins a diffusion length of ~5nm results.
The chemical reactions in PTBOCST during PEB are quite complex with numerous side
reactions. Figure 5.103a illustrates the desired deprotection mechanism. Figure 5.103b illustrates various side reactions that can occur depending on exposure and PEB conditions. The
side reaction which can effect contrast and sensitivity are dependent on processing conditions
and illustrate the importance of careful process control for chemically amplified photoresist.
a) Predominant reaction
O
H3O
CH3
O CH
O
3

CH3

+ H+

+ CO2

PTBOCST polymer

OH
PHOST polymer

+ H 2C

+ H+

CH3

Volatile fragmentation
products

b) Side reactions
O
H3C
O

B
A
PTBOCST polymer

CH3
CH3

CH3

CH3

OH

CH3

CH3
CH3 +
CH3
OH
Modified PHOST
structures

CH3
CH3
CH3

CH3

H3C
+

CH3

t-butyl cation
transient intermediate

Scission
at B

H3C

Scission
at A

CH3 H C
3
HO
Acetone
T-butyl alcohol
Volatile products

H3C
O

CH3
CH3

t-butoxy radical
transient intermediate

H2O

CH3
Isobutylene volatile
fragmentation product
Numerous volatile
bimolecular products

Figure 5.103: Deprotection reaction and side reactions


during PEB in PTBOCST resist [87].

5.11. Develop
Once an image is exposed into a photoresist layer, depending on the tone (negative or positive) of the photoresist, the develop process either dissolves the photoresist that has been
exposed or the photoresist that has not been exposed. The developer needs to remove photoresist where removal is desired and have a low attack rate on the photoresist where dissolution is
not desired. The developing process must also be chosen to avoid distortion in the resulting
photoresist pattern.

94

Copyright 2000 IC Knowledge LLC, all rights

5.11.1. Polyisoprene negative photoresist


In section 5.2.2 the chemistry of polyisoprene negative photoresist was presented. The
developing reaction was shown to be a solvent action swelling the photoresist so that the uncross linked polymer is washed away. The cross linked polymer swells and then contracts during rinse and hard bake. The basic negative resist developing process is accomplished by spraying solvents onto the photoresist. The solvent action is not particularly temperature sensitive
and negative develop is typically controlled based on spray time, pressure and flow. The solvent develop is then followed by a solvent rinse spray to halt the developing action and resist
swelling.
5.11.2. DQN Positive photoresist
DQN positive photoresist developing is a completely different reaction and chemistry from
negative photoresist. DQN developing is an aqueous chemistry and the developing reaction is
similar to etching as opposed to the solvent and swelling develop process for negative photoresist. The chemistry of DQN photoresist was presented in section 5.2.3. DQN developers are
salts which produce a pH of 12-13 and are derived from KOH, NaOH, Na phosphates, Na silicates, and tetraalkyl ammonium hydroxides, (metal free) bicarbonates [39],[88],[89].
The concentration of DQN developers is frequently given in terms of normality.
Normality - the number of equivalent weight of solute per liter of solution, i.e., a 1N solution contains one equivalent weight of solute per liter. Commonly used to specify the concentration of developer solutions.
Most developers currently in use are metal free developers such as tetramethyl ammonium
hydroxide (TMAH) due to metal contamination concerns. Rinsing of DQN developers is done
with water.
DQN developing may be performed in a batch, puddle or spray configuration.
Batch developing is typically reserved for large linewidths and non critical applications. If
batch developing is used nitrogen bubbling or physical agitation is needed. The developer solution becomes saturated with photoresist over time so either the whole bath must be periodically
replaced, or a small portion of the bath must be changed after every lot. If a small portion of the
bath is changed an equilibrium concentration of photoresist is reached in the bath after the first
few lots.
Puddle or spray developing is used for critical - small linewidth applications.
Spray develop continually sprays developer onto the wafer being developed. Develop rate
for spray develop is relatively insensitive to spray pressure but varies linearly with spin speed
[97]. Spin speed and nozzle coverage must both be tightly controlled for consistent develop.
Puddle develop is when a puddle of developer is allowed to form on the wafer, sits for a
period of time and is then spun off. Puddle develop is easier to control than spray develop and
is more common in high volume applications [62]. Puddle volumes must be sufficient for uniform developing but should be kept low to minimize backside wetting and chemical costs. A
popular variant of puddle developing is multiple puddle developing. A puddle is formed on the
wafer and allowed to sit for 10-20 seconds. the volume of developer dispensed is small and so
depletion of the developer occurs. The puddle is then spun off and a second puddle is formed
for 20-30secs to complete developing [62]. This method has the advantage that the dissolution

Copyright 2000 IC Knowledge LLC, all rights reserved

95

Dissolution rate nm/sec

of unexposed resist is reduced relative to single puddle develop. If the wafer is rinsed and spun
dry between puddles the dissolution of unexposed resists is further suppressed [98].
DQN developing rate is effected by developer concentration - see figure 5.104. Increasing
developer concentration - increases develop rate and sensitivity, but lowers contrast[91],[92],[93] Surface active agents such as polyethylene glycol added to developer preferentially adhere to the unexposed novolak resin and retard dissolution - increasing contrast
[94],[95].

100

Exposed
2
50 mj/cm

10
Unexposed
1.0

0.1

0.2
0.3
0.4
0.1
0.5
Normality of alkaline developer

Figure 5.104: DQN developing rate versus developer concentration [90].

Developing rate also depends on developer temperature - see figure 5.105 and exposure
level - see figure 5.106.
The temperature sensitivity of DQN developers requires careful control of developer temperature for constant developing results.
DQN developers may contain surfactants to increase wetting, glycols to increase contrast,
novolak resin to increase contrast or other additives.
5.11.3. Chemically amplified photoresist
If the log of the developing rate for a DQN photoresist is plotted versus log of exposure
dose a complex behavior is seen with three distinct regions [62]. The ability to achieve high
contrast is evident from the steep slope of 5.2 for log develop rate versus log dose [101]. Positive acting DUV photoresist show s single developing mechanism and even greater slope of 9.1
[101]. Control of DUV developing with TMAH developers requires the same temperature and
concentration controls as DQN developing.

96

Copyright 2000 IC Knowledge LLC, all rights

70
DQN 66 mj/cm

Dissolution rate nm/sec

60
50
40
30
20
10
0
5

10
15
20
25
30
o
Developer temperature ( C)

35

Figure 5.105: DQN developing rate versus developer temperature. Data from [96].

Dissolution rate nm/sec

120
100
80
60
40
20
0
0

20

40
60
80
Relative exposure

100

Figure 5.106: DQN developing rate versus exposure level [62].

5.12. Hard bake


Because hard bake is performed after pattern formation, bake temperatures are no longer
limited by the photoresist sensitizer. The only limits on hard bake temperature are photoresist
breakdown and or pattern distortion. Hard bake temperatures are therefore much higher than
soft bake temperatures or even post exposure bake temperatures, and can drive off residual sol-

Copyright 2000 IC Knowledge LLC, all rights reserved

97

vents more efficiently, i.e. hard bake temperatures may be above Td of the sensitizer and Tg of
the base resin (see table 5.6) and above the boiling point of the solvent (see table 5.5).
Photoresists typically qualify as thermoplastic resins:
Uncrosslinked linear polymers are free to move in the photoresist when heated (weekly
bonded).
The functional groups on the polymer backbone undergo some crosslinking when heated.
Once a photoresist is crosslinked it resists melting until the temperature is high enough to
break down the photoresist at which point charring occurs. Some flow or image distortion
will occur prior to breakdown.
5.12.1. Negative photoresist
Negative photoresist based on polyisoprene rubber have a coiled polymer backbone. Ideally the best adhesion would be expected when the equatorial spacing of the photoresist polymer matched the lattice spacing of the substrate - see figure 5.107.

Equatorial spacing (nm)

0.1
0.2
0.3
0.4
0.5
0.6
0.7
60

70

80

90

100 110 120 130 140 150 160


o

Temperature ( C)

Figure 5.107: Equatorial spacing of polyisoprene polymer in KTMR/KTFR photoresist.

Since the lattice constant for Al is ~0.4nm and for SiO2 is ~0.5nm, from figure 5.107 the
ideal bake temperatures for optimum adhesion would be 148oC and 115oC for Al and SiO2
respectively.and these values are in-line with experiential values.
5.12.2. Positive photoresist
From the opening part of this section, an ideal hard bake is >Td, >Tg and > the boiling point
of the solvent. From table 5.5 the boiling point for a typical DQN solvent is 156oC, and from
table 5.6, Td is 100oC and Tg is 70-120oC. Baking above Td is of particular importance for
DQN photoresists due to rapid nitrogen evolution that can occur during subsequent high energy
processes damaging the resist if the sensitizer is not broken down. Baking above Tg may result
in pattern flow and distortion limiting the maximum temperature that can be achieved. Hard
bake also drives off water absorbed in the film form develop rinsing. Elevated temperature hard

98

Copyright 2000 IC Knowledge LLC, all rights

bakes produce thermal crosslinking of the novolak resin in competition with flow - see figure
5.108.

HO CH2

CH3

CH3

CH3

CH3

CH2 O CH2

CH2 OH + H2O HO

CH2 O CH2

CH2 OH

OH

OH

OH

OH

140 ~150 C
-H2O

HO2HC

CH3

CH3

CH3

a) First stage reaction

CH2 O CH2

CH2 O

OH

OH

CH2

CH3
CH2 O CH2

CH2OH

OH

OH

above 200 C
-H CHO
CH3
CH3

CH3
CH2

b) Second stage reaction


CH3

CH2

CH2

CH2

CH2

OH

OH

OH

OH

Figure 5.108: Thermal crosslinking during hard bake [100].

Hard baking of DQN resist in the 120 - 150oC range improves adhesion. DQN photoresist
can oxidize during hard bake with an estimated activation energy of 1.73eV [102].
Hard bake may be accomplished in a convection oven or more commonly on a hot plate
mounted in-line with photoresist processing equipment.

5.13. Photo stabilization


Photo stabilization is used to increase the resistance of DQN photoresist to high temperatures. Although the efficiency is quite low, Novolak resin will crosslink at DUV wavelengths
[62]. The high absorbance of novolak resin at DUV wavelengths limits the depth of crosslinking - see figure 5.21, but when combined with temperatures above 150oC, the DUV exposure
can form a crust on the photoresist that resists flow up to 210oC [61]. DUV hardening may be
accomplished in the presence of oxygen - figure 5.108 top, or without the presence of oxygen figure 5.108 bottom.

5.14. Photolithography process flows


The process flows utilized for photolithography have evolved along with the types of photoresists used. In this section a typical process sequence will be presented for each photoresist
type. Variations to these process flows exist but these flow are believed by the author to be
most representative of general practice.

Copyright 2000 IC Knowledge LLC, all rights reserved

99

CH2
O2

 or h
OH

OH

OH

OH

OH

COO

CH2

COOH
HO
+

COOH

HO

O
CH2

OH

Figure 5.109: Photostabilization reaction [61].

5.14.1. Negative photoresist


Dehydration bake (optional) - a high temperature bake to drive off moisture.
Prime - HMDS in xylene spun onto the wafer just prior to coat more recently a vapor prime
step either batch or in-line hot plate.
Photoresist coat
Soft bake
Align and expose
Develop
Develop inspect and metrology.
Hard bake
5.14.2. G-line positive photoresist
Prime - vapor prime either batch or in-line hot plate.
BARC coat (optional)
BARC bake - if a BARC is used the BARC is baked prior to photoresist coating.
Photoresist coat
Soft bake
Align and expose
Develop
Develop inspect and metrology.

100

Copyright 2000 IC Knowledge LLC, all rights

Photo stabilization (optional) - for high dose ion implants photo stabilization may be
added.
Hard bake

5.14.3. I-line positive photoresist


Prime - in-line hot plate vapor prime.
Chill plate - returns the wafer to room temperature.
Photoresist coat
Soft bake
Chill plate - returns the wafer to room temperature.
Align and expose
Post exposure bake - for standing wave reduction.
Chill plate - returns the wafer to room temperature.
Develop
Develop inspect and metrology.
Photo stabilization (optional) - for high dose ion implants photo stabilization may be
added.
Hard bake
5.14.4. 248nm photoresist
Prime - in-line hot plate vapor prime.
Chill plate - returns the wafer to room temperature.
Photoresist coat
Soft bake
Chill plate - returns the wafer to room temperature.
Align and expose
Post exposure bake - for standing wave reduction.
Chill plate - returns the wafer to room temperature.
Develop
Develop inspect and metrology.
Hard bake
For DUV photoresist the whole photoresist process is done using a photo cluster where the
coater, developer and exposure system are all linked together precisely controlling the time
between coat, expose and post exposure bake. Photo clusters may also be used for i-line exposures.

5.15. Multilevel photoresists


Multilevel photoresist processing offers the ability to combine a high resolution thin photoresist layer with a thicker layer for planarization or etch resistance. A variety of different
schemes are in use and only a representative sample will be presented here.

Copyright 2000 IC Knowledge LLC, all rights reserved

101

5.16. Multilayer photoresist


An example of a multilayer photoresist process is the Si-CARL (silicon chemical amplification of resist lines) illustrated in figure 5.111. In the Si CARL process a thick layer of photoresist is first coated onto the substrate to smooth out any topography. A thin imaging
photoresist layer is then applied. The thin imaging layer is exposed and wet developed. The
thick planarizing layer is made up of a highly cross linked novolak resin and is insensitive to
the exposure. Once the imaging layer is developed an amino containing siloxane is reacted with
the imaging resist to form an etch resistant silicon rich layer. The planarizing resist layer is then
etched in an oxygen plasma and the silicon layer protects the imaging layer from the plasma.
Exposing radiation

Mask

Imaging photoresist
Planarizing photoresist
Substrate topography

Developed photoresist
image

Silylated photoresist

Oxygen plasma ions

Figure 5.110: Si-CARL multilayer photoresist process.


Adapted from [69].

102

Copyright 2000 IC Knowledge LLC, all rights

5.17. Metrology and inspection


Photoresist patterns and layers are generally inspected to a higher degree than any other
operation in wafer fabrication. In this section a brief review of some common inspection and
measurement techniques are presented.
Table 5.14 presents a summary of the techniques that will be discussed.
Table 5.14: Photolithography inspection and measurement techniques.
Technique

Use

Linewidth
(m)

Optical microscope

Visual inspection

~1.0

Scanning electron microscope


(SEM) or critical dimension
(CDSEM)

Visual inspection and or critical dimension


measurements

0.03

Optical linewidth tools

Critical dimensions

~0.5

Automatic optical defect


inspection systems

Automatic defect detection and classification

<0.1

Optical interference systems

Film thickness gauge

NA

Ellipsometer

Film thickness and index of refraction

NA

Reflectometer

Reflectance of underlying films

NA

5.17.1. Optical microscope


The least expensive and easiest inspection method to implement is visual inspection with
an optical microscope. Typically a trained operator inspects a wafer at several sites per wafer
for pattern defects. The microscope inspection may be done in bright field - the devices being
inspected is flooded with light and the normal image produced is magnified, or alternately
dark field may be used where only light reflected at an angle is collected. In dark field, flat
areas appear dark and only topography changes appear light. Dark field inspection is particularly well suited to find particles and small changes in height. Other alternatives include
Nomarski interference objectives and confocal microscopes. Microscopes commonly achieve
NAs of 0.95 so from Rayleighs criteria - equation 5.51, the theoretical resolution of white light
microscopy is quite good. However, as noted in section 5.6.14, Rayleighs criteria says nothing
about the image quality. Even for a one by one micron contact it can be difficult to determine
whether any residues exist in the bottom of the contact utilizing optical microscopes. In an
attempt to extend the usefulness of optical microscopes, DUV microscopes have been developed that further extend optical resolution [103]. Another drawback to optical inspection with
an operator is that 100% inspection will not find 100% of the defects due to operator error.
Confocal and other enhancement techniques do allow practical inspection for pattern defects
down into the sub micron regime.

Copyright 2000 IC Knowledge LLC, all rights reserved

103

5.17.2. Scanning electron microscope (SEM)


Scanning electron microscopy extends inspection into the deep sub micron regime. In a
SEM a focused electron beam is generated by a column similar to the one illustrated in figure
5.100, and the electron beam is scanned across the sample being inspected. One or more detectors are used to detect electrons reflected or emitted by the sample being scanned. The wavelength of high energy electrons is quite short and the usable resolution of SEMs is on the order
of 2nms. Laboratory instruments may have small chambers requiring that a wafer be broken or
sawn into small pieces for analysis. Larger defect review SEMs designed for in-line use can
accommodate a whole wafer at once. SEM analysis must be done under vacuum and systems
designed for in-line systems may be equipped with load-locks to shorten time o vacuum and
cassette to casettes wafer handling. Field emission SEMs offer high resolution at low energies
and are well suited to photoresist inspection where higher energy electrons charge and or damage the photoresist film. SEMs may also be utilized to measure critical dimensions (CD) on a
wafer. A CD SEM will typically be configured to accept a whole wafer at a time, have a cassette to casette load-lock system and automatic pattern recognition under software control.
Wafers will load into the CDSEM, the SEM will automatically move to a predefined location
or locations on a wafer, find the specific feature to be measured and then measure the feature.
The SEM will measure the feature using some type of edge detection algorithm coupled with a
known magnification allows the width of feature to be calculated. Calibration of measuring
systems to a known standard, preferably NIST traceable is essential to get correct absolute
width measurements. CD SEMs are widely used for CD measurements in the deep sub-micron
regime.
5.17.3. Optical linewidth tool
For linewidth measurements larger than approximately 0.5ms, optical linewidth tools
may be used and are typically less expensive than CDSEMs. In an optical linewidth tool a precision stage scans the wafer being measured under focused beam of light. The intensity of the
reflected light changes as the beam is scanned from flat areas over edges. By detecting the
change in reflected beam intensity and measuring the motion of the scanning stage the width of
feature may be calculated. Optical linewidth tools must typically be carefully set up for each
layer being measured. Some vendors will also correlate the values measured by an optical linewidth tool to values measured on a CDSEM.
5.17.4. Automatic inspection tools
A variety of automatic inspection technologies are available. The idea behind automated
inspection is to remove the variable of human error from inspection. Digital image processing
of optical or SEM images, laser scanning and fourier filtering techniques have all been applied
to automatic inspection. Each technique offers strengths and weakness in terms of throughput,
sensitivity for pattern defects, surface particles and low contrast defects [104]. Some type of
automatic in-line defect inspection is virtually universal for deep sub-micron lithography.

104

Copyright 2000 IC Knowledge LLC, all rights

5.17.5. Optical interference systems


Optical interference systems such as the Nanometrics - Nanospec AFT utilize interference
effects to measure film thickness. A Nanospec utilizes a regulated tungsten bulb output and a
computer controlled grating mono chronometer. The mono chronometer scans through wavelengths from approximately 400 - 800nms and a photomultiplier tube measures the light
reflected back from the film being measured. The light intensity versus wavelength is digitized
and the film thickness may be calculated by the interference effects. Some advantages to optical interference systems are the single value for film thickness produced and the small spot
size. A disadvantage with optical interference systems is that only films with a known index of
refraction may be measured.
5.17.6. Ellipsometer
Ellipsometers can provide both film thickness and index of refraction information. The
name ellipsometer is derived from the use of ellipically polarized light to measure film properties. In an ellipsometer a laser beam is elliptically polarized and reflected from the specimen to
be measured. The reflected beam passes through an analyzer drum and onto a detector. The
analyzer drum is rotated to produce a minimum value in the light intensity reaching the photodetector. By reading the polarizer and analyzer settings the film thickness and index of refraction may be read. If a single reflectance angle is used, multiple film thicknesses can produce a
null and the user must start with some idea of the expected film thickness to select a single
value. If multiple angles are used a single value may be obtained. Multidomain tools combine
multiple angles and wavelengths and allow multiple film stacks to be characterized [105].
Extinction
meter

Laser
Depolarizer

Filter

Analyzer drum
Polarizer drum
Polarizer prism Analyzer prism
Compensator

Photodetector

Linearly
polarized light

Linearly
polarized light

Beam attenuator

Specimen

Film

Elllipically
polarized light

Figure 5.111: Ellipsometer [need ref].

Copyright 2000 IC Knowledge LLC, all rights reserved

105

5.17.7. Reflectometer
Reflectomers as the name implies measures reflected light. A light source with a controlled
output level is reflected off a substrate and the reflected light level is measured. reflectometers
are useful for characterizing films that will be underlying photoresist layers and determining
the need for anti reflective coatings.

Suggested further reading

James R. Sheats and Bruce W. Smith eds., Microlithography: Science and Technology,
Marcel Decker (1998) was an invaluable reference in writing this chapter and in the opinion of the author is the best Microlithography reference currently available.
L. F. Thompson, C. G. Willson, and M. J. Bowden eds. Introduction to Microlithography, American Chemical Society (1983) and Wayne M. Moreau, Semiconductor Lithography: Principles, Practices and Materials, Plenum (1988) are also useful references
although somewhat dated.

Reference
[1]
[2]
[3]
[4]
[5]
[6]
[7]
[8]
[9]
[10]
[11]
[12]
[13]
[14]
[15]
[16]
[17]
[18]
[19]

http://www.intel.com/pressroom/kits/processors/quickref.htm
The International Technology Roadmap for Semiconductors, 1999 Edition,.
Semiconductor Consulting Services database (2000).
J. Calvert and J. Pitts, Photochemistry, 367, Wiley (1966).
M. Hepher and H.M. Wagner, British Patent 762.985 (1954).
C. Grant Willson, Ralph A. Dammel, and Arnost Reiser, Photoresist Materials: A Historical Perspective, in Advances in Resist Technology and Processing XIV, Proceeding of SPIE, 3049, 28 (1997).
W.S. Deforest, Photoresist, McGraw Hill (1975).
J.D. D'Ianni, F.J. Naples, J.W. Marsh, and J.L. Zarney, Ind. Eng. Chem., 38, 1171
(1946).
O. Suss, Liebigs Ann. Chem., 556, 65 (1944).
Jeffery C. Strieter, The Chemical behavior of Positive Working Systems, Eastman
Kodak.
http://www.crystran.co.uk/sio2data.htm.
http://www.crystran.co.uk/caf2data.htm.
MIT Lincoln labs.
http://www.crystran.co.uk/lifdata.htm.
CH7300EG Hexamethyldisilazane HMDS Electronic Grade, Petrarch Systems, Inc.
International SEMATECH.
L.F. Thompson and M.J. Bowden, Lithographic Process the Physics, in L.F. Thompson, C.G. Willson, and M.J. Bowden eds. Introduction to Microlithography, ACS
(1983).
Bruce W. Smith, Optics for Photolithography, in James R. Sheats and Bruce W.
Smith eds., Microlithography, Marcel Dekker (1998).
M.J. Bowden, J. Elctrochem. Soc., 128, 195 (1981).

106

Copyright 2000 IC Knowledge LLC, all rights

[20] A. Offner, Wavelength and Coherence Effects on the Performance of Real Optical Projection Systems, Photogr. Sci. Eng., 23, 374 (1979).
[21] Alvin Stein, The Chemistry and Technology of Negative Photoresist, Hunt Chemical.
[22] Grant Willson, Organic Resist Materials - Theory and Chemistry, in L.F. Thompson,
C.G. Willson, and M.J. Bowden eds. Introduction to Microlithography, ACS (1983).
[23] H. Ito, Chemical Amplification Resists: History and Development Within IBM, IBM J.
R&D, Vol. 41, http://www.research.ibm.com/journal/rd/411/ito.html.
[24] B.W. Smith, Introduction to DUV Lithography, presented in Principles of Microlithography Rochester Institute of Technology Microelectronic Engineering (1996).
[25] Laura J. Peters, Resists Join the Sub- Revolution, Semicon. International, 71, Sep
(1999).
[26] R.R. Kunz, T.M. Bloomstein, D.E. Hardy, R.B. Goodman, D.K. Downs, and J.E. Curtin,
Outlook for 157-nm Resist Design, in Microlithography 1999: Advances in Resist
Technology and Processing XVI, Will Conley editor, SPIE, 13, 3678 (1999).
[27] R.D. Allen, G.M. Wallraff, D.C. Hofer, and R.R. Kunz, Photoresist for 193-nm Lithography, IBM J. R&D, Vol. 41, http://www.research.ibm.com/journal/rd/411/allen.html.
[28] K. Early, D.M. Tennant, D. Jeon, P.P. Mulgrew, A.A. MacDowell, O.R. Wood II, G.D.
Kubiak, and D.A. Tichenor, :Characterization of Ray-PN resist for soft-X-ray projection lithography, presented at Soft-X-Ray Lithography Conference, Monterey, CA,
April 1992.
[29] K.P. Muller and H.S. Sachdev, Defect studies on single and bilayer resist systems, J.
Vac. Sci. Technol. B10, 2560 (1992).
[30] S.W.J. Kuan, C.W. Frank, C.C. Fu, D.R. Allee, P. Maccagno, and R.F.W. Pease,
Ultrathin polymer films for microlithography, J. Vac. Sci. Technol. B6, 2274 (1988).
[31] R.R. Kunz, Silicon-containing bilayer resist for 193-nm lithography, presented at the
IEEE Lithography workshop, Santa Fe, NM, October (1992).
[32] F.H. Dill., et.al., IEEE Trans. Electr. Dev., ED-22, 440 (1975).
[33] Scotten W. Jones, Process Technology for the 21st. Century, Semiconductor Consulting Services (1999).
[34] David J. Elliot, Integrated Circuit Fabrication Technology, McGraw Hill (1982).
[35] Peter C. Sukanek, Physical and Chemical Modification of Photoresist, Department of
Chemical Engineering, Clarkson University.
[36] Peter C. Sukanek, Dependence of Film Thickness on Speed in Spin Coating, J. Electrochem. Soc., 136, 1712 (1991).
[37] D. Meyerhofer, Characteristics of Resist Films Produced by Spinning, J. Appl. Phys.,
49, 3993 (1978).
[38] W. J. Daughton and F.L. Givens, An Investigation of the Thickness Variation of Spunon Thin Films Commonly Associated with the Semiconductor Industry, J. Electrochem.
Soc., 129, 173 (1982).
[39] Wayne M. Moreau, Semiconductor Lithography: Principles, Practices and Materials,
Plenum (1988).

Copyright 2000 IC Knowledge LLC, all rights reserved

107

[40] W.J. Daughton and F.L. Givens, An Investigation of the Thickness Variation of Spunon Thin Films Commonly Associated with the Semiconductor Industry, J. Electrochem.
Soc., 129, 173 (1982).
[41] W.M. Chan, Kodak Seminar Proceedings, (1975).
[42] L.F. Thompson and M.J. Bowden, Resist Processing, in L.F. Thompson, C.G. Willson, and M.J. Bowden eds. Introduction to Microlithography, ACS (1983).
[43] M. Koshiba, M. Murata, M. Matsui, and Y. Harita, Proc. SPIE, 920, 364 (1988).
[44] Jhy-Ping Hsu, Shi-Wei Huang, and Shiojenn Tseng, Mathematical Simulation of Soft
Baking in Photoresist Processing, J. Electrochem. Soc., 147, 1920 (2000).
[45] C.A. Mack, D.P. DeWitt, B.K. Tsai, and G. Yetter, Proc. SPIE-Int. Soc. Opt. Eng., 2195,
584 (1994).
[46] S.H. Lin, B.T. Liu, W.C. Chen, and J.P. Hsu, J. Electrochem. Soc., 145, 4256 (1998).
[47] H. Fujita, A. Kashimoto, and K. Matsumoto, Trans. Faraday Soc., 56, 424 (1960).
[48] Shinji Okazaki, Lithography Prospects for 0.18-m Technology and Beyond, IEDM,
57 (1996).
[49] http://www.personal.dundee.ac.uk/~gjberry/web/excimer.html.
[50] http://www.duke.edu/~mgf1/.
[51] http://www.columbia.edu/cu/mechanical/mrl/ntm/level2/ch02/html/l2c02s11.html.
[52] Palash Das and Uday Sengupta, Krypton Fluoride Excimer Laser for Advanced
Microlithography, in James R. Sheats and Bruce W. Smith eds. Microlithography,
Marcel Decker (1998).
[53] http://www.cymer.com.
[54] http://www.lambdaphysik.com.
[55] S.R.J. Brueck and Xiaolan Chen, Spatial frequency analysis of optical lithography resolution enhancement techniques, J. Vac. Sci. Technol. B, 17, 908 (1999).
[56] Michael S. Hibbs, System Overview of Optical Steppers and Scanners, in James R.
Sheats and Bruce W. Smith eds., Microlithography, Marcel Dekker (1998).
[57] http://www.asml.com
[58] http://www.usa.canon.com/indtech/semicondeq/prod
[59] http://www.nikon.jp/main/products
[60] http://www.svg.com/product/litho
[61] http://www.ultratec.com/semi/
[62] Bruce W. Smith, Resist Processing, in James R. Sheats and Bruce W. Smith eds.,
Microlithography, Marcel Dekker (1998).
[63] E.J. Walker, Reduction of Photoresist Standing-Wave Effects by Post Exposure Bake,
IEEE TED, 7, 464 (1975).
[64] Randy Streif and Wei Wu, High Temperature Post Exposure Bake and its Applications, http://www.semiconbay.com.
[65] W.H. Arnold and H.J. Levinson, High Resolution Optical Lithography Using an Optimized Single Layer Photoresist Process, Kodak Microelectronics Seminar, Interface,
80 (1983).
[66] M.A. Spak, High Temperature Post Exposure Bake (HTPEB) for AZ 4000 Photoresist, SPIE vol. 539, Advances in Resist Technology and Processing II, 299 (1985).

108

Copyright 2000 IC Knowledge LLC, all rights

[67] Alvin Hudson, Rex Nelson, University Physics, Harcourt Brace Jovanovich (1982).
[68] Olivier Semprez, Excimer lasers for future lithography light sources, Solid State
Technol. 255, Jul (2000).
[69] Bruce W. Smith, Multilayer Resist Technology, in James R. Sheats and Bruce W.
Smith eds., Microlithography, Marcel Dekker (1998).
[70] Semiconductor International, July (1996)
[71] K. Nakamura, Lithography, in C.Y Chang and S.M. Sze eds. ULSI Technology,
McGraw Hill (1996).
[72] C.W. Gwyn, Advances in Extreme Ultraviolet Lithography, in Lithography Challenges and Opportunities, Semicon West (1999).
[73] Jos P.H. Benschop, Anton J.J. van Dijsseldonk, Winfried M Kaiser and David C. Ockwell, EUCLIDES: European EUV lithography milestones, Solid State Technol., 43,
Sep. (1999).
[74] Andrew M. Hawryluk, Natale M. Ceglio and David A. Markle, Fourth in a Series:
EUV Lithography: First of Two Parts, Solid State Technol., 151, Jul. (1997).
[75] Andrew M. Hawryluk, Natale M. Ceglio and David A. Markle, Fourth in a Series:
EUV Lithography: Second of Two Parts, Solid State Technol., 75, Aug. (1997).
[76] SVG plans first EUV tools for 2003, says project is on track, Semicon. Bus. News,
http://204.247.196.14/sbn/sbnh2/news/19990614a2.shtml.
[77] Jack Robertson, X-ray litho now looks like a real contender: Solving mask problem
puts it back in race for work under 0.18 micron, Semicon. Bus. News, 1, Jul. (1997).
[78] Paul Castrucci, Worth Henley, and Wolfgang Liebmann, Lithography at an Inflection
Point, Solid State Technol., 127, Nov. (1997).
[79] Litho experts like EUV, Scalpel best, but 157nm, proposed roadmap complicated,
Wafer News Confidential, 1, Dec. 21 (1998).
[80] J.A. Liddle, Lithography for 130nm and Beyond, Semiconductor Fabtech, Eighth Ed.
[81] Lloyd Harriott, Warren Waskiewicz, Anthony Novembre, J. Alexander Liddle,
Favored SCALPELs continued progress, Solid State Technol., 73, July (1999).
[82] Hans Loschner, Rainer Kaesmaier, Patrick de Jager, and Bas Mertens, IPL: Ion Projection Lithography, White paper for SEMATECH, executive summary, Nov. (1999).
[83] Jeffery M. Parker, Kim R. Dean, and Daniel A. Miller, Direct temperature metrology
helps minimize CA-resist CD variation, Solid State Technol., 139, Sep. (2000).
[84] K.G. Kemp, et.al., Effects of DUV Resist Sensitivities on Lithographic Process Window, SPIE Proceedings 1295, 955 (1997).
[85] CD measurements were made at SEMATECH from cross sectioned 250nm-wide dense
features. PEB temperatures were varied  5oC about the nominal bake temperature.
IBM version 1B resist was exposed at 193nm and 180nm-wide dense features were measured.
[86] P. Seidel, J. Canning, S. Mackay, and W. Trybula, Next Generation Advanced Lithography, Semiconductor Fabtech, Seventh ed.
[87] William Hinsberg, John Hoffnagle, and Frances Houle, Chemistry and Physics of the
PEB process in a CA resist, Solid State Technol., 95, Aug. (2000).
[88] U.S. Patent 3,402,044 (1968), Shipley.

Copyright 2000 IC Knowledge LLC, all rights reserved

[89]
[90]
[91]
[92]
[93]
[94]
[95]
[96]
[97]
[98]
[99]
[100]
[101]
[102]
[103]
[104]
[105]

109

U.S. Patent 3,649,283 (1972), Shipley.


D. Meyerhofer, IEEE Trans. Electron. Dev., 912, 27 (1980).
J. Peterson and M. Stan, Microelectron. Manufact. Test, 23, Jan. (1986).
M. Watts and R. Hannifan, SPIE Opt. Eng., 539, 21 (1985).
M. Watts, J. Vac. Sci. Technol., 434, B3 (1985).
U.S Patent 3,868,254 (1975), GAF.
European Patent Appl. 129,106 (1985), Allied; Chem. Abstr. 102, 22949 (1985).
J.M. Shaw and M Hatzakis, Developer Temperature Effects on E-Beam and Optically
Exposed Positive Photoresist, J. Electrochem. Soc. 2026, 126 (1979).
V. Marriott, Proc. SPIE, 394, 144 (1983).
W.M. Moreau, A.D. Wilson, K.G. Chiong, K. Petrillo, and F. Hohn, J. Vac. Sci. technol.
2238, B, 6 (1988).
SEMI technical program, Semicon Europa (1996).
K. Jinno, Y. Matsumoto, and T. Shinozaki, Photogr. Sci. Eng., 290, 21 (1977).
T. Itani, K. Itoh, and K. Kasama, Proc. SPIE, 1925, 388 (1993).
J. Bieron and R. Conley, J. Appl. Polym. Sci.,1, 171 (1963).
Werner Hunn, UV Microscope Resolves Smaller Features, Semiconductor International, 277, Jul. (1998).
Ping Wang, Fourman Lee, K. Michael Chan, Ray Goodner and Ron Ceton, ibid, June
(1996).
Mitch Haller, Janine Sullivan, and George Collins, Multidomain Ellipsometry for Thin
Film Process Control, 269, Jul. (1998).

Вам также может понравиться