Вы находитесь на странице: 1из 26

INTRODUCCIN

Contenido

Objetivos.......................................................................................................... 4
Objetivos generales......................................................................................... 4
Objetivos especficos....................................................................................... 4
CAPITULO I: FLIP FLOP Y CONTADORES.......................................................5
1.1.

FLIP FLOPS............................................................................................ 5

1.1.1.1.

FLIP-FLOP S-R DISPARADO POR FLANCO......................................6

1.1.1.2.

FLIP FLOP JK DISPARADO POR FLANCO.......................................8

1.2.

DIVISION DE FRECUENCIA..................................................................10

1.3.

CONTADOR DE DCADAS BCD.............................................................10

CAPITULO II: PRINCIPALES CIRCUITOS INTEGRADOS..................................14


2.1.

TEMPORIZADOR 555............................................................................14

2.1.1.

PARTES DEL TEMPORIZADOR 555..............................................15

2.1.2.

FUNCIONAMIENTO COMO MONOESTABLE.................................17

2.2.

CIRCUITO INTEGRADO 7447................................................................20

2.3.

CIRCUITO INTEGRADO 7490................................................................21

CAPITULO III: DESARROLLO E IMPLEMENTACION DEL CONTADOR


ASINCORONO ASCENDENTE.........................................................................25
CAPITULO IV: SIMULACIN DE UN CONTADOR CON ARDUINO.....................25
CONCLUSIONES............................................................................................ 26
BIBLIOGRAFIA............................................................................................. 27

INDICE FIGURAS
Figura 1 : Smbolos lgicos de los flip-flops disparados por flancos positivos y
negativos............................................................................................................................7
2

Figura 2: Funcionamiento de un flip - flop flanco positivo...............................................8


Figura 3: Flip flop JK.....................................................................................................9
Figura 4: Flip-Flop JK.....................................................................................................10
Figura 5: Flip- flop JK.....................................................................................................11
Figura 6: Tabla de secuencia de un contador BCD.........................................................12
Figura 7: Contador BCD asincrono.................................................................................13
Figura 8: Tren de pulso ( division de frecuencias)..........................................................14
Figura 9: Temporizador 555............................................................................................15
Figura 10: Partes del Temporizador 555..........................................................................16
Figura 11: Diagrama funcional interno de un temporizador 555....................................18
Figura 12: El temporizador 555 conectado como monoestable......................................19
Figura 13: Temporizador 555 Antes del disparo ............................................................19
Figura 14: Temporizador 555 Durante el disparo............................................................20
Figura 15: Temporizador al Final de carga......................................................................21
Figura 16: Circuito integrado 7447.................................................................................22
Figura 17: Circuito integrado 7490.................................................................................22

Objetivos
Objetivos generales

Disear e implementar un circuito contador de 8 bits.


3

Conocer la circuitera del contador y sus principales caractersticas de la

misma.
Reconocer los principales CI del circuito.
Objetivos especficos

Realizar un anlisis y determinar la problemtica para su respectivo


diseo e implementacin.
Interpretar e demostrar las funciones de los componentes electrnicos
que conforman el circuito.
Analizar e interpretar las caractersticas del CI, teniendo en cuenta su comportamiento y
principales configuraciones.

CAPITULO I: FLIP FLOP Y CONTADORES


1.1.

FLIP FLOPS

La clula bsica de memoria, capaz de almacenar un bit (es decir, una


variable de estado se llama Flip flop. El flip flop es un circuito lgico de carcter
secuencial porque recuerda o mantiene permanentemente una variable.
Las salidas de los circuitos digitales secuenciales dependen completamente
de las entradas a estos circuitos; es decir, si las entradas cambian, la salida
tambin cambia. Sin embargo, hay requisitos de un dispositivo o circuito digital
que hacen que una sola salida deba permanecer inalterada, una vez establecida,
aunque cambie la entrada. Tal dispositivo podra ser utilizado, por ejemplo, para
almacenar un nmero binario. Un flip-flop es uno de tales circuitos y en este
captulo se consideran las caractersticas de la mayora de tipos comunes de
flips-flops utilizados en los sistemas digitales.
1.1.1. FLIPS-FLOPS DISPARADOS POR FLANCO
Los flip-flops son dispositivos sncronos de dos estados, tambin
conocidos como multivibradores biestables. En este caso, el termino
sncrono significa que la salida cambia de estado nicamente en un
instante especifico de una entrada de disparo denominada reloj (CLK) la
cual recibe el nombre de entrada de control, C. Esto significa que los
cambios en la salida se producen sincronizadamente con el reloj.
Un flip-flop disparado por flanco cambia de estado con el flanco
positivo (flanco de subida) o con el flanco negativo (flanco de bajada) del
impulso de reloj y es sensible a sus entradas solo en esta transicin del
reloj. En esta seccin se cubren tres tipos de flip-flops disparados por
flanco: S-R, D y J-K.
Los smbolos lgicos de estos dispositivos se muestran en la figura
1.Observe que pueden ser disparados por flanco positivo (sin circulo en
la entrada) o flanco negativo (circulo en la entrada C). La clave para
identificar un flip-flop disparado por flanco mediante su smbolo lgico
la da el tringulo que se encuentra dentro del bloque en la entrada del
reloj(C). El tringulo se denomina indicador de entrada dinmica.

Figura 1 : Smbolos lgicos de los flip-flops disparados por flancos positivos y


negativos

1.1.1.1. FLIP-FLOP S-R DISPARADO POR FLANCO


Las entradas S y R de un flip-flop S-R se denominan entradas
sncronas, dado que los datos en estas entradas se transfieren a las
salidas del flip-flop solo con el flanco de disparo del impulso del reloj.
Cuando S este a nivel ALTO y R en nivel BAJO, la salida Q se pone a
nivel ALTO con el flanco de disparo del impulso de reloj, pasando el
flip-flop al estado SET. Cuando S est a nivel BAJO y R est a nivel
ALTO, la salida Q se pone a nivel BAJO con el flanco de disparo del
impulso de reloj, pasando el flip-flop al estado RESET. Cuando tanto S
como R estn a nivel BAJO, la salida no cambia de estado. Cuando S y
R estn a nivel ALTO, se produce una condicin no valida.
El funcionamiento bsico de un flip-flop disparado por flanco
positivo se muestra en la figura 2 mientras que la tabla de verdad se
puede ver en la tabla 1.
Las entradas S y R se pueden cambiar en cualquier instante en que
la entrada de reloj este a nivel ALTO o nivel BAJO (excepto durante un
breve instante de tiempo en la proximidades de las transiciones de
disparo de reloj) sin que vari la salida.
6

Figura 2: Funcionamiento de un flip - flop flanco positivo

Entrada
R

Salidas
CLK

Comentarios

Q0

Q 0

NO CAMBIO

RESET

SET

NO VALIDA

Tabla 1: Tabla de verdad de un flip flop S-R disparado por flanco positivo

= Transicin del reloj de nivel Bajo a nivel Alto


X=Irrelevante

Q0 = Nivel de salida previo a la transicin del reloj

El funcionamiento y tabla de verdad de un flip-flop S-R


disparado por flanco negativo son las mismas que las de un dispositivo
disparado por flanco positivo, excepto en que el flanco de bajada de
impulso del reloj es, en este caso, el flanco de disparo.
1.1.1.2.

FLIP FLOP JK DISPARADO POR FLANCO


El flip-flop JK es verstil y es uno de los tipos de flip-flop ms

ampliamente utilizado. El funcionamiento del flip-flop JK es idntico al


7

del flip-flop S-R en las condiciones de operacin SET, RESET y de


permanencia de estado (no cambio).La diferencia est en que el flipflop J-K no tiene condiciones no validas como ocurre en el S-R.
La figura 3 muestra la lgica interna de un flip-flop JK disparado
por flanco positivo. Observe que se diferencia de flip flop S-R
disparado por flanco en que la salida Q se realimenta a la entrada de la

puerta G2 y la salida Q se realimenta a la entrada de la puerta G1. Las


dos entradas de control se denominan J y K, en honor a Jack Kilby,
quien invento el circuito integrado. Un flip-flop J-K puede ser tambin
del tipo disparado por flanco negativo, en cuyo caso, la entrada de reloj
se invierte.

Figura 3: Flip flop JK

Supongamos que el flip-flop de la figura 3 se encuentra RESET y


que la entrada J est a nivel ALTO y la entrada K est a nivel BAJO.
Cuando se produce un impulso de reloj, pasa un pico correspondiente al
flanco anterior, a travs de la puerta G 1, ya que

esta a nivel ALTO

y J tambin esta a nivel ALTO.Esto origina que la parte latch del flipflop cambie al estado SET. El flip-flop ahora est en estado SET.

Figura 4: Flip-Flop JK

Si ponemos la entrada J a nivel BAJO y la entrada K a nivel


ALTO, el siguiente pico del reloj, pasara a travs de la puerta G2, ya
que Q est a nivel ALTO y K tambin. Esto origina que la parte latch
del flip-flop permanecer en su estado a RESET.
Si ahora se aplica un nivel BAJO a las dos entradas

J y K, el

flip-flop permanecer en ese estado actual cuando se produzca un


impulso de reloj. De esta manera, un nivel BAJO en Jy origina una
condicin de no cambio.
La diferencia con el R-S tiene lugar cuando las dos entradas, J y
K, estn a nivel ALTO. Para ver esto, supongamos que el flip-flop se
encuentra en estado RESET. El nivel ALTO de la salida

activa en

la puerta G1 de forma que el pico del reloj, pasa y activa (SET) el flipflop. Ahora hay un nivel ALTO en Q, el cual permita que el siguiente
pico de reloj pase a travs de la puerta G2, y ponga el flip-flop en
estado RESET.

S
Tabla
2: tabla de
verdad de un
flip flop JK

Entrada
R
CLK

Salidas

Comentarios

Q0

Q 0

NO CAMBIO

RESET

SET

Q0

Q 0

BASCULACIN

= Transicin del reloj de nivel Bajo a nivel Alto


Q0 = Nivel de salida previo a la transicin del reloj

1.2.

DIVISION DE FRECUENCIA
Una de las aplicaciones de un flip-flop es la divisin (reduccin) de frecuencia

de una seal peridica. Cuando se aplica un tren de impulsos a la entrada de reloj


de un flip-flop J-K conectado en modo de basculacin (J=K=1), la salida Q es una
seal cuadrada que tiene una frecuencia igual a la mitad de la que tiene la seal de
reloj. Por tanto, se puede utilizar un nico flip-flop como un divisor por 2 como
muestra la figura 5. Como puede verse, el flip-flop cambia de estado en cada flanco
de disparo del impulso de reloj (flancos positivos en esta caso).Esto da lugar a una
salida que vara a la frecuencia mitad de la seal de reloj.

Figura 5: Flip- flop JK

1.3.

CONTADOR DE DCADAS BCD


Un contador de dcadas BCD es una forma especial de contador binario que

cuenta por decenas, lo hace desde cero (0000) hasta nueve (1001) en el cdigo
estndar decimal en codificacin binaria BCD.

10

Debido a que el contador tiene estos diez estados se usa ampliamente cuando
es necesario el citado cdigo para conseguir una buena comunicacin entre el
hombre y la mquina.
Los contadores BCD son muy utilizados en todo tipo de equipo electrnico.
Son particularmente populares en los medidores y otros dispositivos que tienen
una entrada o salida decimal. Los contadores digitales, los multmetros, constituyen
un buen ejemplo.
En la siguiente tabla se muestra la secuencia de contaje estndar de un contador
BCD.

Figura 6: tabla de secuencia de un contador BCD

El nmero ms alto que puede quedar representado en un contador de n


bsculas es 2. Se necesitan cuatro para contar hasta diez. Con tres bsculas es
posible representar 2 = 8 estados, que van desde el 0000 al 1111.
Con cuatro bsculas el nmero mximo de estados de estados es 2 = 16, siendo
del 0 al 15 la cantidad mxima representable. Se necesitan, pues, cuatro bits para
constituir un contador BCD.
Sin embargo, se usan circuitos especiales con las bsculas JK para poder
conseguir que el contador opere con decenas en lugar de hacerlo con grupos de 16.
En la siguiente figura se muestra el diagrama lgico de un tpico contador BCD
en integracin a media escala MSI. Se compone de cuatro bsculas JK y una puerta

11

AND. Los cuatros biestables estn en cascada como los del tpico contador binario
de 4 bits.

Figura 76: contador BCD asincrono

Obsrvese particularmente que la entrada J de las bsculas D est controlada


por una puerta AND de dos entradas procedentes de las entradas de las bsculas B
y C.
Prstese igualmente atencin al hecho de que la salida D negada vuelve a la
entrada J del biestable B.
La puerta AND y su conexin de realimentacin controla el funcionamiento de
las bsculas de tal forma que el contaje se hace por decenas
En la siguiente figura se muestra las formas de onda de entrada y salida del
contador decimal en cdigo binario.

12

Figura 8: tren de pulso ( division de frecuencias)

La entrada es simplemente un tren peridico de impulsos. Las cuatro seales de


salida se derivan de las salidas normales de las bsculas. El A corresponde al bit
menos significativo y el D al ms significativo, como es habitual.
Como puede verse es la misma que los diez primeros estados de un tpico
contador binario de 4 bits. Cuando alcanza la cantidad de nueve, sin embargo, se
recicla a cero con el nuevo incremento.

13

CAPITULO II: PRINCIPALES CIRCUITOS INTEGRADOS


2.1.

TEMPORIZADOR 555
El temporizador 555 fue introducido al mercado en el ao 1971 por la empresa
Signetics Corporation con el nombre: SE555/NE555 y fue llamado "The IC Time
Machine" (el Circuito integrado mquina del tiempo"), que en esos momentos era
el nico integrado de su tipo disponible. Hoy da sigue tan vigente como entonces
y tiene mltiples aplicaciones en los ms variados entornos y cometidos.

Figura 9: Temporizador 555

Prcticamente cada fabricante de circuitos integrados tiene su propia versin


del 555, Segn el fabricante recibe una designacin distinta, tal como TLC555,
LMC555, uA555, NE555C, MC1455, NE555, LM555, etc. aunque generalmente
se lo conoce como "el 555"o NE555.
La constitucin interna del NE555 puede verse en la figura inferior. Est
constituido por una combinacin de comparadores lineales, Flip-Flops (bsculas
digitales), un transistor de descarga y el excitador de salida. Las tensiones de
referencia de los comparadores se establecen en 2/3 V para el primer comparador
C1 y en 1/3 V para el segundo comparador C2, por medio del divisor de tensin
compuesto por 3 resistencias iguales R.

14

2.1.1.

PARTES DEL TEMPORIZADOR 555

Figura 10: Partes del Temporizador 555

La funcin de cada uno de los pines es:


1.- Tierra o masa.
2.- Disparo (trigger): Es en esta patilla, donde se establece el inicio del tiempo
de retardo, si el 555 es configurado como monoestable. Este proceso de
disparo ocurre cuando este pin va por debajo del nivel de 1/3 del voltaje de
alimentacin. Este pulso debe ser de corta duracin, pues si se mantiene bajo
por mucho tiempo la salida se quedar en alto hasta que la entrada de disparo
pase a alto otra vez.
3.- Salida (output): Aqu veremos el resultado de la operacin del
temporizador, ya sea que est conectado como monoestable, astable u otro.
Cuando la salida es alta, el voltaje ser el voltaje de aplicacin (Vcc) menos
1.7 Voltios. Esta salida se puede obligar a estar en casi 0 voltios con la ayuda
de la patilla # 4 (reset).
4.- Reset (reset): Si se pone a un nivel por debajo de 0.7 Voltios, pone la patilla
de salida # 3 a nivel bajo. Si por algn motivo esta patilla no se utiliza hay
que conectarla a Vcc para evitar que el 555 se "resetee".
5.- Control de voltaje (control voltaje): Cuando el temporizador se utiliza en el
modo de controlador de voltaje, el voltaje en esta patilla puede variar casi
15

desde Vcc (en la prctica como Vcc -1 voltio) hasta casi 0 V (aprox. 2
Voltios). As es posible modificar los tiempos en que la patilla # 3 est en alto
o en bajo independiente del diseo (establecido por las resistencias y
condensadores conectados externamente al 555). El voltaje aplicado a la
patilla # 5 puede variar entre un 45 y un 90 % de Vcc en la configuracin
monoestable. Cuando se utiliza la configuracin astable, el voltaje puede
variar desde 1.7 voltios hasta Vcc. Modificando el voltaje en esta patilla en la
configuracin astable causar la frecuencia original del astable sea modulada
en frecuencia (FM). Si esta patilla no se utiliza, se recomienda ponerle un
condensador de 0.01uF para evitar las interferencias.
6.- Umbral (threshold): Es una entrada a un comparador interno que tiene el
555 y se utiliza para poner la salida (Pin # 3) a nivel bajo.
7.- Descarga (discharge): Utilizado para descargar con efectividad el
condensador externo utilizado por el temporizador para su funcionamiento.
8.- V+ (Vcc): Es el pin donde se conecta el voltaje de alimentacin que va de 4.5
voltios hasta 16 voltios (mximo). Hay versiones militares de este integrado
que llegan hasta 18 Voltios.

Descripcin del temporizador 555

16

Figura 11: Diagrama funcional interno de un temporizador 555

2.1.2.

FUNCIONAMIENTO COMO MONOESTABLE


Para configurar un temporizador 555 como monoestable no redisparable,
se utilizan una resistencia y un condensador externos, tal como se muestra en
la figura 11. La anchura del impulso de salida se determina mediante la
constante de tiempo, que se calcula a partir de R1 y C1 segn la siguiente
formula:
t w =1,1 R 1 C 1

(1)

La entrada de tensin de control no se utiliza y se conecta a un


condensador de desacoplo C2 para evitar la aparicin de ruido que pudiera
afectar los niveles umbral y de disparo.

17

Figura 12: El temporizador 555 conectado como monoestable

Antes de aplicar el impulso de disparo, la salida est a nivel BAJO y el


transistor de descarga Q1 conduce, manteniendo C1 descargado, como se
muestra en la figura 12.

Figura 137: Temporizador 555 Antes del disparo (el camino de la corriente lo
indica la flecha

Cuando se aplica un impulso de disparo negativo en el instante t 0, la


salida pasa a nivel ALTO y el transistor de descarga se bloquea, permitiendo
18

al condensador C1 comenzar a cargarse a travs de R1, como se muestra en la


figura 13.

Figura 84: Temporizador 555 durante el disparo

Cuando C1 se ha cargado hasta 1/3 de Vcc, la salida pasa de nuevo a


nivel BAJO en t1 y Q1 entra en conduccin inmediatamente, descargndose
C1, como se indica en la figura 14.

19

Figura 95: Temporizador al final de carga

Como se puede ver, la velocidad de carga C 1 determina cuanto tiempo va


a estar la salida a nivel ALTO
El funcionamiento y tabla de verdad de un flip flop S-R disparado por
flanco negativo son las mismas que las de un dispositivo disparado por flanco
positivo, excepto en que el flanco de bajada de impulso del reloj es, en este
caso, el flanco de disparo.

2.2. CIRCUITO INTEGRADO 7447


El dispositivo SN7447 consiste en un decodificador BCD a 7 segmentos para
displays de nodo comn. Los pines identificados como A, B, C y D son las
entradas a travs de las cuales se ingresa el nmero que se desea desplegar, en
formato BCD. Los pines identificados como a, b, c, d, e, f y g son las salidas que
corresponden a cada segmento del display. VCC y GND sirven para alimentar el
dispositivo. EL RBI sirve para activar o desactivar el despliegue del nmero y
BI/RBO para activar o desactivar el display.

20

Figura 106: Circuito integrado 7447

2.3. CIRCUITO INTEGRADO 7490


Un 7490 es un contador que puede contar del 0 al 9 de una forma cclica, y ese
es su modo natural. QA, QB. QC y QD son cuatro bits en un nmero binario, y esto
pines se ciclan desde el 0 al 9. Puedes configurar el chip para que cuente a otro
nmero mximo de nmeros y luego volver a cero. Se hace cambiando el cableado
de las lneas R01, R02, R91 y R92. Si R01 y R02 son 1, es decir, 5 voltios, y tanto
R91 o R92 son 0 (tierra), entonces el chip resetear QA, QB, QC y QD a cero. Si
R91 o R92 es 1 (de nuevo 5 voltios), entonces e contador en QA, QB, QC y QD ir
a 1001. Ver figura del chip 7490 para ver mejor los pines.

Figura 117: circuito integrado 7490

Para crear un contador divisible por 10, primero conectas el pin 5 a los cinco
voltios y el pin 10 a tierra para alimentar el chip. Entonces conectas el pin 12 al pin
1 y pones tierra a los pines 2,3, 6 y 7. Pones en marcha la seal de reloj de entrada
(para la base de tiempo o un contador previo) en el pin 14. La salida aparece en
QA, QB, QC y QD. Usa la salida en el pin 11 para conectar la siguiente fase.
21

Para crear un contador divisible por 6, de nuevo, primero conectas el pin 5 a


los cinco voltios y el pin 10 para dar energa el chip. Conectas el pin 12 al pin 1 y
das tierra a los pines 6 y 7. Conectas el pin 2 al pin 9, y el pin 3 al pin 8. Pon en
marcha la seal interna del reloj al igual que hicimos con el ejemplo anterior, en el
pin 14. La salida aparece en QA, QB y QC. Usa el pin 8 para conectar la siguiente
fase.
Un contador MOD-10, es tambin conocido como contador de dcadas. Un
contador de dcadas es cualquier contador que tenga 10 estados diferentes,
independientemente de la secuencia. Un contador que cuenta en sucesin de 0000 a
1001, se denomina comnmente contador BCD, puesto que utiliza solamente los 10
grupos de cdigos BCD.
Los contadores de dcadas, especialmente los de tipo BCD, se utilizan
ampliamente en aplicaciones donde los pulsos o sucesos van a ser contados y los
resultados exhibidos en algn tipo de dispositivo de visualizacin numrica
decimal. Un contador de dcadas a menudo se utiliza tambin para dividir una
frecuencia de pulsos exactamente entre 10.
En la figura 1 se muestra la estructura interna de este circuito asi como la
configuracin de sus pines.
En la figura 2 se muestra la tabla de verdad de este circuito en donde se puede
apreciar que se puede configurar para obtener una salida dependiendo del estado en
sus pines de entrada.
Si se encuentra un estado bajo en MS1 (Pin 6) y cualquier estado, alto o bajo
en MS2 (Pin 7), todas las salidas estarn en estado bajo o cero. De acuerdo a la
figura 2 en las cuatros ultimas combinaciones en las entradas el circuito realizara la
cuenta. La conexin bsica para este circuito en cuenta del 0-9 es la que se muestra
en la figura 3.
Con esta configuracin el circuito realizara la cuenta BCD, esto es, de 0 a 9
en binario.

22

J1 en el circuito funciona como entrada de pulsos, sin embargo, como entrada


se puede utilizar cualquier fuente que entregue la seal adecuada para excitar al
circuito, como puede ser un tren de pulsos generada por algn sensor o salida de
otro circuito.
En muchas ocasiones ser necesario colocar un capacitor de desacople entre la
tensin de alimentacin y neutro o tierra con el objeto de absorber ruidos
presentes en la tensin de alimentacin.
Hay que prestar especial atencin en el circuito ya que en ocasiones ser
necesario colocar la cuenta a cero o simplemente esperar que el circuito inicie la
cuenta desde cero, para esto se encuentran las entradas MR1 y MR2, pines 2 y 3
respectivamente, al estar estas entradas en un estado alto el circuito presenta como
salida 0 asi como se muestra en la figura 2 y sin importar la seal externa la cual se
quiere contar, el circuito permanecer en cero hasta que las entradas MR1 y MR2 se
encuentren en un nivel bajo, figura 4.
Este reset se puede lograr simplemente colocando un interruptor pulsador el
cual pondr estos pines en estado alto por un momento con el fin de reiniciar al
circuito. Este objetivo no es muy fcil de lograr al momento de encender el circuito
ya que se necesita que el estado inicial del circuito sea cero desde que se polariza
con la tensin de alimentacin, esto se puede lograr utilizando un circuito de apoyo
construido con el famoso 555 configurado para generar un solo disparo (pulso) por
un determinado tiempo, figura 5.
Como se puede observar el encender el sistema todo el circuito estar
energizado, sin embargo recibir un reset por un pulso en estado alto por un tiempo
pequeo el cual es obtenido del pin 3 del circuito 555.
Se puede obtener un tiempo distinto del pulso T de acuerdo a la pequea
ecuacin mostrada en la figura 5 en donde se involucran nicamente RA y C.
Para obtener una salida de prueba, por as decirlo, ya que en ocasiones ser
necesario que el circuito muestre una seal pre definida con el fin de observar que
el circuito esta polarizado y funcionando o simplemente se necesita esa seal por
23

algn motivo, para esto se encuentran los pines MS1 y MS2 pines 6 y 7
respectivamente, figura 2.
Al estar estos dos pines en alto el circuito presentara en salida en nmero
binario 9, tal y como se muestra en la figura 2, tal vez se necesite esta seal por
algn motivo o para verificar que el circuito est funcionando al mostrar esta seal,
cabe aclarar que los pines 2 y 3 pueden estar en cualquier estado y si se encuentra
seal presente en la entrada esta no ser tomada en cuenta mientras se tenga esta
configuracin
Este circuito puede ser configurado para operar como un divisor entre 5, esto
es, que presente 5 cambios de estado en su operacin, figura 6.
De igual manera puede ser configurado como un divisor entre 9, en esta
configuracin presentara 9 cambios de estado, figura 7.
Con esto se logra un contador, figura 3, en caso de ser necesario solo se deber
agregar un circuito decodificador BCD a 7 segmentos para, a su vez, sea
desplegada la cuenta en un visualizador de 7 segmentos, como ejemplo para este
propsito se cuenta con el circuito 7447, el cual cumple con este propsito, con esto
se tiene con contador de 0 a 9, si se desea un contador de 0-99 o ms, simplemente
habr que colocar los contadores en cascada y cada uno con su codificador y
visualizador, el circuito contador se colocara en cascada como se muestra en la
figura 8.
Al tener en cascada los circuitos se pueden hacer cuentas muy grandes o
disear lo que comnmente.
Al tener en cascada los circuitos se pueden hacer cuentas muy grandes o
disear lo que comnmente se conoce como divisores de frecuencia usando
distintas configuraciones como las explicadas anteriormente, se puede realizar
divisiones de varios tipos.
Como se puede apreciar este circuito es muy verstil y aplicable en muchos
diseos.

24

CAPITULO III: DESARROLLO E IMPLEMENTACION DEL


CONTADOR ASINCORONO ASCENDENTE
3.1. MATERIALES
3.2. IMPLEMENTACIN:
CAPITULO IV: SIMULACIN DE UN CONTADOR CON
ARDUINO

25

CONCLUSIONES

Se dise un circuito contador ascendente asncrono de 00 a 99 utilizando como


base la lgica de los flip flops JK de franco negativo y los flip flops R-S,
utilizando para la implementacin del mismo CI tales como 74LS90, 74LS47 y

un timer o generador de pulsos.


Los principales circuitos utilizados en el contador son el 74LS90, 74LS47 y un
NE555, donde el 74LS90 es el circuito principal o el contador en si, el cual esta
compuesto por 3 flip flops JK y 1 flip flop R-S funcionando asncronamente, el
74LS47 funciona como decodificador y finalmente el NE555 que es el

generador de pulsos el cual hace funcionar el mismo circuito.


Para el diseo del contador asncrono fue necesario tener en cuenta la cantidad
de dgitos los cuales se quera utilizar y adems el tipo de contador a
implementar, es decir, ascendente o descendente. Adems la forma de
implementar el mismo, es decir, asncrono o sncrono, teniendo en cuenta las
ventajas y desventajas de cada uno de estos.

BIBLIOGRAFIA

26

Вам также может понравиться