Вы находитесь на странице: 1из 86

PRODUCT SPECIFICATION

<%

%/1575% 70+8'45#.
5'4+#.%10641..'4
('#674'5

Two Independent 0-to-10-mbps Full-Duplex Channels,


each with Two Baud Rate Generators and One Digital
Phase-Locked Loop for Clock Recovery

Receive Sync Stripping; Optional Preamble Transmission; 16- or 32-Bit CRC

Transparent Bisync Mode with EBCDIC or ASCII Character Code; Automatic CRC Handling; Programmable
Idle Line Condition; Optional Preamble Transmission;
Automatic Recognition of DLE, SYN, SOH, ITX, ETX,
ETB, EOT, ENQ and ITB

Multi-Protocol Operation under Program Control with


Independent Mode Selection for Receiver and Transmitter

External Character Sync Mode for Receive

Async Mode with 1 to 8 Bits/Character, 1/16 to 2 Stop


Bits/Character in 1/16-Bit Increments; Programmable
Clock Factor; Break Detect and Generation; Odd, Even,
Mark, Space or no Parity and Framing Error Detection;
Supports One Address/Data Bit and MIL STD 1553B
Protocols

DMA Interface with Separate Request and Acknowledge for Each Receiver and Transmitter

Channel Load Command for DMA Controlled Initialization

Flexible Bus Interface for Direct Connection to Most


Microprocessors; User Programmable for 8 or 16 Bits
Wide. Directly Supports 680X0 Family or 8X86 Family
Bus Interfaces

Low Power CMOS

32-Byte Data FIFOs for each Receiver and Transmitter


110-ns Bus Cycle Time, 16-Bit Data Bus Bandwidth

Byte Oriented Synchronous Mode with One to Eight


Bits/Character; Programmable Idle Line Condition; Optional Receive Sync Stripping; Optional Preamble
Transmission; 16- or 32-Bit CRC and Transmit-to-Receive Slaving (for X.21)

Bisync Mode with 2- to 16-Bit Programmable Sync


Character; Programmable Idle Line Condition; Optional

HDLC/SDLC Mode with Eight-Bit Address Compare;


Extended Address Field Option; 16- or 32-Bit CRC; Programmable Idle Line Condition; Optional Preamble
Transmission and Loop Mode

68-Pin PLCC/100-Pin VQFP Packages

)'0'4#.&'5%4+26+10
The Z16C30 USC Universal Serial Controller is a dualchannel multi-protocol data communications peripheral designed for use with any conventional multiplexed or nonmultiplexed bus. The USC functions as a serial-to-parallel,
parallel-to-serial converter/controller and may be software
configured to satisfy a wide variety of serial communications applications. The device contains a variety of new, sophisticated internal functions including two baud rate generators per channel, one digital phase-locked loop per
&55%%

channel, character counters for both receive and transmit in


each channel and 32-byte data FIFOs for each receiver and
transmitter (Figure 1).
ZiLOG now offers a high speed version of the USC with
improved bus bandwidth. CPU bus accesses have been
shortened from 160 ns per access to 110 ns per access. The
USC has a transmit and receive clock range of up to 10 MHz

<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

)'0'4#.&'5%4+26+10
%QPVKPWGF
(20 MHz when using the DPLL, BRG, or CTR) and data
transfer rates as high as 10 Mbits/sec full duplex.
The USC handles asynchronous formats, synchronous
byte-oriented formats such as BISYNC and synchronous
bit-oriented formats such as HDLC. This device supports
virtually any serial data transfer application.
The device can generate and check CRC in any synchronous
mode and can be programmed to check data integrity in various modes. The USC also has facilities for modem controls
in both channels. In applications where these controls are
not needed, the modem controls may be used for generalpurpose I/O. The same is true for most of the other pins in
each channel.
Interrupts are supported with a daisy-chain hierarchy, with
the two channels having completely separate interrupt
structures.
High-speed data transfers through DMA are supported by
a Request/Acknowledge signal pair for each receiver and
transmitter. The device supports automatic status transfer
through DMA and also allows device initialization under
DMA control.

0QVG When written to, all reserved bits must be programmed


to 0.

To aid the designer in efficiently programming the USC,


support tools are available. The Technical Manual describes
in detail all features presented in this Product Specification
and gives programming sequence hints. The Programmers
Assistant is a MS-DOS disk-based programming initialization tool to be used in conjunction with the Technical Manual. There are also available assorted application notes and
development boards to assist the designer in the hardware/software development.
All Signals with an overline, are active Low. For example:
B/W, in which WORD is active Low, and B/W, in which
BYTE is active Low.
Power connections follow these conventional descriptions:
%QPPGEVKQP

%KTEWKV

&GXKEG

2QYGT

8%%

8&&

)TQWPF

)0&

855

&55%%

<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

6Q1VJGT%JCPPGN

4GEGKXG
&/#
%QPVTQN

4GEGKXG&CVC

%27

+1&CVC$WHHGT

4GEGKXG
(+(1

D[VG

+PVGTTWRV
%QPVTQN

4GEGKXGT

+1CPF
&GXKEG
5VCVWU

%NQEM/7:
&2..
%QWPVGTU
$4)
$4)

4GEGKXG
6TCPUOKV
%NQEMU

%JCPPGN
%QPVTQN
6TCPUOKV
(+(1

D[VG

6TCPUOKV
&/#
%QPVTQN

6TCPUOKVVGT

6TCPUOKV&CVC

(KIWTG  <%$NQEM&KCITCO

&55%%

<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

PIN DESCRIPTION

#FFTGUU
&CVC
$WU

#&

6Z&#

#&

4Z&#

5GTKCN
&CVC

#&
#&

6Z%#
4Z%#

%JCPPGN
%NQEMU

#&
#&

%65#

#&

&%&#
4Z4'3#

#&
#&

4Z#%-#
6Z4'3#

#&

6Z#%-#
+06#
+'+#

#&
#&

+'1#

%JCPPGN
+PVGTTWRV
+PVGTHCEG

6Z&$
4Z&$

5GTKCN
&CVC

#&
#5

%QPVTQN

&5
4&
94

&%&$
4Z4'3$

%5
#$

4Z#%-$

&%

5+6#%9#+64&;
855

)TQWPF

6Z%$
4Z%$
%65$

49
2+6#%+PVGTTWRV

%JCPPGN
&/#
+PVGTHCEG

#&
#&

#&

$WU
6KOKPI

%JCPPGN
+1

6Z4'3$
6Z#%-$
+06$
+'+$
+'1$
4'5'6
8&&

855

8&&

855
855

8&&

855
855

8&&
8&&

855

8&&
8&&

%JCPPGN
%NQEMU
%JCPPGN
+1
%JCPPGN
&/#
+PVGTHCEG
%JCPPGN
+PVGTTWRV
+PVGTHCEG
4GUGV
&GXKEG

2QYGT

(KIWTG  <%2KP(WPEVKQPU

&55%%

<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

TXACKA
WAIT/4&;
SITACK
A/$
D/%
CS
RESET
VCC
VCC
VCC
AS
DS
RD
WR
R/9
PITACK
TXACKB

ZiLOG


10


60

#&
#&
#&
#&
#&
#&
#&
#&
)0&
8%%

2KP2.%%

26


4:#%-$
+06$
+'+$
+'1$
)0&
8%%

44


4:4'3$

TXREQA
RXCA
RXDA
DCDA
TXCA
TXDA
CTSA
GND
GND
GND
CTSB
TXDB
TXCB
DCDB
RXDB
RXCB
TXREQB

4Z#%-#
+06#
+'+#
+'1#
)0&
8%%
#&
#&
#&
#&
#&
#&
#&
#&
)0&
8%%
4:4'3#

(KIWTG  <%2KP2.%%2KP#UUKIPOGPVU

&55%%

<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

4:#%-$
+06$
+'+$
+'1$
)0&
8
#&
0%
0%
0%
0%
#&
#&
#&
0%
0%
0%
0%
#&
#&
#&
#&
)0&
8
4:4'3$

ZiLOG

%
%


0%
0%
0%
0%
6:#%-$
2+6#%49
94
4&
&5
#5
8%%
8%%
8%%
4'5'6
%5
&%
#$
5+6#%9#+64&;
6:#%-#
0%
0%
0%
0%



76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100

%%








50
49
48
47
46
45
44
43
42
41
40
39
38
37
36
35
34
33
32
31
30
29
28
27
26

2KP83(2









%%

4:#%-#
+06#
+'+#
+'1#
)0&
8
#&
0%
0%
0%
0%
#&
#&
#&
0%
0%
0%
0%
#&
#&
#&
#&
)0&
8
4:4'3#

%
%

0%
0%
0%
0%
6:4'3$
4:%$
4:&$
&%&$
6:%$
6:&$
%65$
)0&
)0&
)0&
%65#
6:&#
6:%#
&%&#
4:&#
4:%#
6:4'3#
0%
0%
0%
0%

(KIWTG  2KP83(22KP#UUKIPOGPVU

The Z16C30 contains 13 pins per channel for channel I/O,


16 pins for address and data, 12 pins for CPU handshake
and 14 pins for power and ground.

The 8-bit bus with separate address is selected by setting


BCR bit 2 to 0 and, during the BCR write, forcing AD15
to a 1 and forcing AD14AD8 to 0.

Three separate bus interface types are available for the device. The Bus Configuration Register (BCR) and external
connections to the AD bus control selection of the bus type.
A 16-bit bus is selected by setting BCR bit 2 to a 1. The 8bit bus is selected by setting BCR bit 2 to 0 and tying
AD15AD8 to VSS.

The multiplexed bus is selected for the USC if there is an


Address Strobe prior to or during the transaction which
writes the BCR. If no Address Strobe is present prior to or
during the transaction which writes the BCR, a nonmultiplexed bus is selected (see Figure 29).

&55%%

<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

2+0(70%6+105
4'5'64GUGV
KPRWVCEVKXG.QY This signal resets the

device to a known state. The first write to the USC after a


reset accesses the BCR to select additional bus options for
the device.
#5#FFTGUU5VTQDG
KPRWVCEVKXG.QY This signal is
used in the multiplexed bus modes to latch the address on
the AD lines. The AS signal is not used in the nonmultiplexed bus modes and should be tied to VDD.
&5&CVC5VTQDG
KPRWVCEVKXG.QY This signal strobes
data out of the device during a read and may strobe an interrupt vector out of the device during an interrupt acknowledge cycle. DS also strobes data into the device on the state
of R/W.
4&4GCF5VTQDG
KPRWVCEVKXG.QY This signal strobes
data out of the device during a read and may strobe an interrupt vector out of the device during an interrupt acknowledge cycle.
949TKVG5VTQDG
KPRWVCEVKXG.QY T h i s
strobes data into the device during a write.

signal

494GCF9TKVG
KPRWV This signal determines the direction of data transfer for a read or write cycle in conjunction
with DS.
%5%JKR5GNGEV
KPRWVCEVKXG.QY This signal selects

the device for access and must be asserted for read and write
cycles, but is ignored during interrupt acknowledge and flyby DMA transfers. In the case of a multiplexed bus interface, CS is latched by the rising edge of AS.

2+6#%- 2WNUGF +PVGTTWRV #EMPQYNGFIG


KPRWV CEVKXG
.QY This signal is a strobe signal that indicates that an in-

terrupt acknowledge cycle is in progress. The device is capable of returning an interrupt vector that may be encoded
with the type of interrupt pending during this acknowledge
cycle. PITACK may be programmed to accept a single pulse
or double pulse acknowledge type. This programming is
done in the BCR. With the double pulse type selected, the
first PITACK is recognized but no action takes place. The
interrupt vector is returned on the second pulse if the no vector option is not selected. The double pulse type is compatible with 8X86 family microprocessors.
9#+64&;9CKV&CVC4GCF[
QWVRWVCEVKXG.QY T h i s
signal serves to indicate when the data is available during
a read cycle, when the device is ready to receive data during
a write cycle, and when a valid vector is available during
an interrupt acknowledge cycle. It may be programmed to
function either as a Wait signal or a Ready signal using the
state of the A/$ pin during the BCR write. When A/B is High
during the BCR write, this signal functions as a wait output
and thus supports the READY function of 8X86 family microprocessors. When A/B is Low during the BCR write, this
signal functions as a ready output and thus supports the
DTACK function of 680X0 family microprocessors.
#& #& #FFTGUU&CVC $WU
DKFKTGEVKQPCN CEVKXG
*KIJVTKUVCVG The AD signals carry addresses to, and

5+6#%- 5VCVWU +PVGTTWRV #EMPQYNGFIG


KPRWV CEVKXG
.QY This signal is a status signal that indicates that an in-

data to and from, the device. When the 16-bit nonmultiplexed bus is selected, AD15AD0 carry data to and from
the device. Addresses are provided using a pointer within
the device that is loaded with the desired register address.
When selecting the 8-bit nonmultiplexed bus (without separate address) only AD7AD0 are used to transfer data. The
pointer is used for addressing, with AD15AD8 unused.
When selecting the 8-bit nonmultiplexed bus (with separate
address), AD7AD0 are used to transfer data with
AD15AD8 used as address bus. When the 16-bit multiplexed bus is selected, addresses are latched from
AD7AD0 and data transfers are sixteen bits wide. When
selecting the 8-bit multiplexed bus (without separate address) only AD7AD0 are used to transfer addresses and
data, with AD15AD8 unused. When the 8-bit multiplexed
bus with separate address is selected, only AD7AD0 are
used to transfer data, while AD15AD8 are used as an address bus.

terrupt acknowledge cycle is in progress. The device is capable of returning an interrupt vector that may be encoded
with the type of interrupt pending during this acknowledge
cycle. This signal is compatible with 680X0 family microprocessors.

+06#+06$+PVGTTWRV4GSWGUV
QWVRWVUCEVKXG.QY T h e s e
signals indicate that the channel has an interrupt condition
pending and is requesting service. These outputs are NOT
open-drain.

#$%JCPPGN#%JCPPGN$5GNGEV
KPRWV This signal se-

lects between the two channels in the device. High selects


channel A and Low selects channel B. This signal is sampled and the result is latched during the BCR (Bus Configuration Register) write. It programs the sense of the
WAIT/RDY signal appropriate for different bus interfaces.
&%&CVC%QPVTQN5GNGEV
KPRWV This signal, when High,

provides for direct access to the RDR and TDR. In the case
of a multiplexed bus interface, D/% High overrides the address provided to the device.

&55%%

<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT
+'+#+'+$+PVGTTWRV'PCDNG+P
KPRWVUCEVKXG*KIJ T h e
IEI signal for each channel is used with the accompanying
IEO signal to form an interrupt daisy chain. An active IEI
indicates that no device having higher priority is requesting
or servicing an interrupt.
+'1#+'1$+PVGTTWRV'PCDNG1WV
QWVRWVUCEVKXG*KIJ 

The IEO signal for each channel is used with the accompanying IEI signal to form an interrupt daisy chain. IEO is Low
if IEI is Low, an interrupt is under service in the channel,
or an interrupt is pending during an interrupt acknowledge
cycle.
6Z#%-# 6Z#%-$ 6TCPUOKV #EMPQYNGFIG
KPRWVU QT
QWVRWVUCEVKXG.QY The primary function of these sig-

nals is to perform fly-by DMA transfers to the transmit


FIFOs. They may also be used as bit inputs or outputs.
4Z#%-# 4Z#%-$ 4GEGKXG #EMPQYNGFIG
KPRWVU QT
QWVRWVUCEVKXG.QY The primary function of these sig-

ZiLOG

as outputs for various transmitter signals or internal clock


signals.
4Z%# 4Z%$ 4GEGKXG %NQEM
KPRWVU QT QWVRWVU CEVKXG
.QY These signals are used as clock inputs for any of the

functional blocks within the device. They may also be used


as outputs for various receiver signals or internal clock signals.
6Z4'3#6Z4'3$6TCPUOKV4GSWGUV
KPRWVUQTQWVRWVU
CEVKXG.QY The primary function of these signals is to re-

quest DMA transfers to the transmit FIFOs. They may also


be used as simple inputs or outputs.
4Z4'3#4Z4'3$4GEGKXG4GSWGUV
KPRWVUQTQWVRWVU
CEVKXG.QY The primary function of these signals is to re-

quest DMA transfers from the receive FIFOs. They may


also be used as simple inputs or outputs.

nals is to perform fly-by DMA transfers from the receive


FIFOs. They may also be used as bit inputs or outputs.

%65#%65$%NGCT6Q5GPF
KPRWVUQTQWVRWVUCEVKXG
.QY These signals are used as enables for the respective

6Z&# 6Z&$ 6TCPUOKV &CVC


QWVRWVU CEVKXG *KIJ VTK
UVCVG These signals carry the serial transmit data for each

channel.

transmitters. They may also be programmed to generate interrupts on either transition or used as simple inputs or outputs.

4Z&#4Z&$4GEGKXG&CVC
KPRWVUCEVKXG*KIJ These
signals carry the serial receive data for each channel.

&%&# &%&$ &CVC %CTTKGT &GVGEV


KPRWVU QT QWVRWVU
CEVKXG.QY These signals are used as enables for the re-

6Z%#6Z%$6TCPUOKV%NQEM
KPRWVUQTQWVRWVUCEVKXG
.QY These signals are used as clock inputs for any of the

spective receivers. They may also be programmed to generate interrupts on either transition or used as simple inputs
or outputs.

functional blocks within the device. They may also be used

&55%%

<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

#$51.76'/#:+/7/4#6+0)5
5[ODQN

&GUETKRVKQP

/KP

/CZ

7PKVU

8%%

5WRRN[8QNVCIG





656)

5VQTCIG6GOR

u

u

6#

1RGT#ODKGPV
6GOR
2QYGT&KUUKRCVKQP

%


Stresses greater than those listed under Absolute Maximum


Ratings may cause permanent damage to the device. This
is a stress rating only; operation of the device at any condition above those indicated in the operational sections of
these specifications is not implied. Exposure to absolute
maximum rating conditions for extended periods may affect
device reliability.

0QVGU
8QNVCIGQPCNNRKPUYKVJTGURGEVVQ)0&
5GG1TFGTKPI+PHQTOCVKQP

56#0&#4&6'56%10&+6+105
The DC Characteristics and Capacitance section below apply for the following standard test conditions, unless otherwise noted. All voltages are referenced to GND. Positive
current flows into the referenced pin (Figure 5). Standard
conditions are as follows:

IOL

+4.5 V < VCC < +5.5 V


GND = 0 V
TA as specified in Ordering Information

VOL max +VOH min

From Pin

CL
50 pF

IOH

(KIWTG  6GUV.QCF&KCITCO

&55%%

<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

CAPACITANCE
5[ODQN

2CTCOGVGT

%+0
%176

/KP

/CZ

7PKV

+PRWV%CRCEKVCPEG



R(

7POGCUWTGFRKPU

1WVRWV%CRCEKVCPEG



R(

TGVWTPGFVQ)TQWPF



R(

%+1
$KFKTGEVKQPCN%CRCEKVCPEG
0QVGf = 1 MHz over specified temperature range.

%QPFKVKQP

/+5%'..#0'175
6TCPUKUVQT%QWPV
6'/2'4#674'4#6+0)5
Standard = 0C to 70C
Extended = 40C to +85C

&%%*#4#%6'4+56+%5
<%
5[ODQN

2CTCOGVGT

/KP

6[R

8+*

+PRWV*KIJ8QNVCIG



8+.

+PRWV.QY8QNVCIG

81*

1WVRWV*KIJ8QNVCIG



+1* O#

81*

1WVRWV*KIJ8QNVCIG

8%% 

+1* z#

81.

1WVRWV.QY8QNVCIG



+1. O#

++.

+PRWV.GCMCIG

v

z#

8+0 8

+1.

1WVRWV.GCMCIG

v

z#

8176 8

+%%N

8%%5WRRN[%WTTGPV



O#

8%%88+*88+.
8



/CZ

7PKV

8%% 



%QPFKVKQP

0QVGVCC= 5V 10% unless otherwise specified, over specified temperature range.



&55%%

<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

#%%*#4#%6'4+56+%5
<%
6CDNG  #%%JCTCEVGTKUVKEU
0Q

5[ODQN

2CTCOGVGT

/KP

/CZ

7PKVU



6E[E

$WU%[ENG6KOG





6Y#5N

#5.QY9KFVJ



PU



6Y#5J

#5*KIJ9KFVJ



PU



6Y&5N

&5.QY9KFVJ



PU



6Y&5J

&5*KIJ9KFVJ



PU



6F#5
&5

#54KUGVQ&5(CNN&GNC[6KOG

PU



6F&5
#5

&54KUGVQ#5(CNN&GNC[6KOG

PU



6F&5
&4C

&5(CNNVQ&CVC#EVKXG&GNC[

PU



6F&5
&4X

&5(CNNVQ&CVC8CNKF&GNC[



6F&5
&4P

&54KUGVQ&CVC0QV8CNKF&GNC[



6F&5
&4\

&54KUGVQ&CVC(NQCV&GNC[



6U%5
#5

%5VQ#54KUG5GVWR6KOG



6J%5
#5

%5VQ#54KUG*QNF6KOG



6U#&&
#5

&KTGEV#FFTGUUVQ#54KUG5GVWR6KOG



6J#&&
#5

&KTGEV#FFTGUUVQ#54KUG*QNF6KOG



6U5+#
#5

5+6#%-VQ#54KUG5GVWR6KOG



6J5+#
#5

5+6#%-VQ#54KUG*QNF6KOG

PU




PU
PU



PU



PU

PU



PU

PU



PU

PU



6U#&
#5

#FFTGUUVQ#54KUG5GVWR6KOG



PU



6J#&
#5

#FFTGUUVQ#54KUG*QNF6KOG

PU



6U49
&5

49VQ&5(CNN5GVWR6KOG

PU



6J49
&5

49VQ&5(CNN*QNF6KOG



PU



6U&5H
443

&5(CNNVQ4Z4'3+PCEVKXG&GNC[



6F&5T
443

&54KUGVQ4Z4'3#EVKXG&GNC[



6U&9
&5





PU

PU

9TKVG&CVCVQ&54KUG5GVWR6KOG



PU

6J&9
&5

9TKVG&CVCVQ&54KUG*QNF6KOG

PU



6F&5H
643

&5(CNNVQ6Z4'3+PCEVKXG&GNC[



6F&5T
643

&54KUGVQ6Z4'3#EVKXG&GNC[



6Y4&N





PU

PU

4&.QY9KFVJ



PU

6Y4&J

4&*KIJ9KFVJ



PU



6F#5
4&

#54KUGVQ4&(CNN&GNC[6KOG

PU



6F4&
#5

4&4KUGVQ#5(CNN&GNC[6KOG

PU



6F4&
&4C

4&(CNNVQ&CVC#EVKXG&GNC[

PU



6F4&
&4X

4&(CNNVQ&CVC8CNKF&GNC[



6F4&
&4P

4&4KUGVQ&CVC0QV8CNKF&GNC[



6F4&
&4\

4&4KUGVQ&CVC(NQCV&GNC[



PU



6F4&H
443

4&(CNNVQ4Z4'3+PCEVKXG&GNC[



PU



6F4&T
443

4&4KUGVQ4Z4'3#EVKXG&GNC[



6Y94N

94.QY9KFVJ

&55%%

0QVG






PU
PU

PU



PU



<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

#%%*#4#%6'4+56+%5
%QPVKPWGF
6CDNG  #%%JCTCEVGTKUVKEU
%QPVKPWGF
0Q

5[ODQN

2CTCOGVGT

/KP

/CZ



6Y94J

94*KIJ9KFVJ



PU



6F#5
94

#54KUGVQ94(CNN&GNC[6KOG

PU



6F94
#5

944KUGVQ#5(CNN&GNC[6KOG

PU



6U&9
94

9TKVG&CVCVQ944KUG5GVWR6KOG



PU



6J&9
94

9TKVG&CVCVQ944KUG*QNF6KOG

PU



6F94H
643

94(CNNVQ6Z4'3+PCEVKXG&GNC[



7PKVU

PU

0QVG



6F94T
643

944KUGVQ6Z4'3#EVKXG&GNC[

PU



6U%5
&5

%5VQ&5(CNN5GVWR6KOG

PU



6J%5
&5

%5VQ&5(CNN*QNF6KOG



PU



6U#&&
&5

&KTGEV#FFTGUUVQ&5(CNN5GVWR6KOG

PU





6J#&&
&5

&KTGEV#FFTGUUVQ&5(CNN*QNF6KOG



PU





6U5+#
&5

5+6#%-VQ&5(CNN5GVWR6KOG

PU



6J5+#
&5

5+6#%-VQ&5(CNN*QNF6KOG



PU



6U%5
4&

%5VQ4&(CNN5GVWR6KOG

PU



6J%5
4&

%5VQ4&(CNN*QNF6KOG



PU



6U#&&
4&

&KTGEV#FFTGUUVQ4&(CNN5GVWR6KOG

PU





6J#&&
4&

&KTGEV#FFTGUUVQ4&(CNN*QNF6KOG



PU





6U5+#
4&

5+6#%-VQ4&(CNN5GVWR6KOG

PU



6J5+#
4&

5+6#%-VQ4&(CNN*QNF6KOG



PU



6U%5
94

%5VQ94(CNN5GVWR6KOG

PU



6J%5
94

%5VQ94(CNN*QNF6KOG



PU



6U#&&
94

&KTGEV#FFTGUUVQ94(CNN5GVWR6KOG

PU





6J#&&
94

&KTGEV#FFTGUUVQ94(CNN*QNF6KOG



PU





6U5+#
94

5+6#%-VQ94(CNN5GVWR6KOG

PU



6J5+#
94

5+6#%-VQ94(CNN*QNF6KOG



PU



6Y4#-N

4Z#%-.QY9KFVJ



PU



6Y4#-J

4Z#%-*KIJ9KFVJ



PU



6F4#-
&4C

4Z#%-(CNNVQ&CVC#EVKXG&GNC[

PU



6F4#-
&4X

4Z#%-(CNNVQ&CVC8CNKF&GNC[



6F4#-
&4P

4Z#%-4KUGVQ&CVC0QV8CNKF&GNC[



6F4#-
&4\

4Z#%-4KUGVQ&CVC(NQCV&GNC[



PU



6F4#-H
443

4Z#%-(CNNVQ4Z4'3+PCEVKXG&GNC[



PU



6F4#-T
443

4Z#%-4KUGVQ4Z4'3#EVKXG&GNC[



6Y6#-N






PU
PU

PU

6Z#%-.QY9KFVJ



PU

6Y6#-J

6Z#%-*KIJ9KFVJ



PU



6U&9
6#-

9TKVG&CVCVQ6Z#%-4KUG5GVWR6KOG



PU



6J&9
6#-

9TKVG&CVCVQ6Z#%-4KUG*QNF6KOG

PU



6F6#-H
643

6Z#%-(CNNVQ6Z4'3+PCEVKXG&GNC[



6F6#-T
643

6Z#%-4KUGVQ6Z4'3#EVKXG&GNC[



6F&5H
4&;

&5(CNN
+06#%- VQ4&;(CNN&GNC[





PU



PU

PU

&55%%

<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

6CDNG  #%%JCTCEVGTKUVKEU
%QPVKPWGF
0Q

5[ODQN

2CTCOGVGT



6F4&;
&4X



/KP

/CZ

7PKVU

4&;(CNNVQ&CVC8CNKF&GNC[



PU

6F&5T
4&;

&54KUGVQ4&;4KUG&GNC[



PU



6U+'+
&5+

+'+VQ&5(CNN
+06#%- 5GVWR6KOG





6J+'+
&5+

+'+VQ&54KUG
+06#%- *QNF6KOG



6F+'+
+'1

+'+VQ+'1&GNC[



PU



6F#5
+'1

#54KUG
+PVCEM VQ+'1&GNC[



PU



6F&5+
+06

&5(CNN
+06#%- VQ+06+PCEVKXG&GNC[



PU



6F&5+
9T

&5(CNN
+06#%- VQ9#+64KUG&GNC[



PU



6F9
&4X

9#+64KUGVQ&CVC8CNKF&GNC[



PU



6F4&H
4&;

4&(CNN
+06#%- VQ4&;(CNN&GNC[



PU



6F4&T
4&;

4&4KUGVQ4&;4KUG&GNC[



PU

0QVG

PU
PU



6U+'+
4&+

+'+VQ4&(CNN
+06#%- 5GVWR6KOG



PU



6J+'+
4&+

+'+VQ4&4KUG
+06#%- *QNF6KOG

PU



6F4&+
+06

4&(CNN
+06#%- VQ+06+PCEVKXG&GNC[



6F4&+
9H





PU

4&(CNN
+06#%- VQ9#+6(CNN&GNC[



PU

6F4&+
9T

4&(CNN
+06#%- VQ9#+64KUG&GNC[



PU



6Y2+#N

2+6#%-.QY9KFVJ



PU



6Y2+#J

2+6#%-*KIJ9KFVJ



PU



6F#5
2+#

#54KUGVQ2+6#%-(CNN&GNC[6KOG

PU



6F2+#
#5

2+6#%-4KUGVQ#5(CNN&GNC[6KOG

PU



6F2+#
&4C

2+6#%-(CNNVQ&CVC#EVKXG&GNC[

PU



6F2+#
&4P

2+6#%-4KUGVQ&CVC0QV8CNKF&GNC[

PU



6F2+#
&4\

2+6#%-4KUGVQ&CVC(NQCV&GNC[



6U+'+
2+#

+'+VQ2+6#%-(CNN5GVWR6KOG



PU



6J+'+
2+#

+'+VQ2+6#%-4KUG*QNF6KOG

PU



6F2+#
+'1

2+6#%-(CNNVQ+'1&GNC[



6F2+#
+06



PU



PU

2+6#%-(CNNVQ+06+PCEVKXG&GNC[



PU



6F2+#H
4&;

2+6#%-(CNNVQ4&;(CNN&GNC[



PU



6F2+#T
4&;

2+6#%-4KUGVQ4&;4KUG&GNC[



PU



6F2+#
9H

2+6#%-(CNNVQ9#+6(CNN&GNC[



PU



6F2+#
9T

2+6#%-(CNNVQ9#+64KUG&GNC[



PU



6F5+#
+06

5+6#%-(CNNVQ+'1+PCEVKXG&GNC[



PU



6Y56$J

5VTQDG*KIJ9KFVJ



PU



6Y4'5N

4'5'6.QY9KFVJ



PU



6Y4'5J

4'5'6*KIJ9KFVJ



PU





6FTGU
56$

4'5'64KUGVQ56$(CNN



6F&5H
4&;

&5(CNNVQ4&;(CNN&GNC[



PU



6F94H
4&;

94(CNNVQ4&;(CNN&GNC[



PU



6F94T
4&;

944KUGVQ4&;4KUG&GNC[



PU



6F4&H
4&;

4&(CNNVQ4&;(CNN&GNC[



PU



6F4#-H
4&;

4Z#%-(CNNVQ4&;(CNN&GNC[



PU

&55%%

PU



<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

#%%*#4#%6'4+56+%5
%QPVKPWGF
6CDNG  #%%JCTCEVGTKUVKEU
%QPVKPWGF
0Q

5[ODQN

2CTCOGVGT



6F4#-T
4&;




/KP

/CZ

7PKVU

4Z#%-4KUGVQ4&;4KUG&GNC[



PU

6F6#-H
4&;

6Z#%-(CNNVQ4&;(CNN&GNC[



PU

6F6#-T
4&;

6Z#%-4KUGVQ4&;4KUG&GNC[



PU

0QVG

0QVGU
 &KTGEVCFFTGUUKUCP[QH#$&%QT#& #&WUGFCUCPCFFTGUUDWU
 6JGRCTCOGVGTCRRNKGUQPN[YJGP#5KUPQVRTGUGPV
 5VTQDG
56$ KUCP[QH&54&942+6#%-4Z#%-QT6Z#%-
 2CTCOGVGTCRRNKGUQPN[KHTGCFGORVKGUVJGTGEGKXG(+(1
 2CTCOGVGTCRRNKGUQPN[KHYTKVGHKNNUVJGVTCPUOKV(+(1
 (QTGZVGPFGFVGORGTCVWTGRCTV6F&5+
9H OCZPU
 (QTGZVGPFGFVGORGTCVWTGRCTV6F&5(
643 OCZPU



&55%%

<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

75%6+/+0)
The USC interface timing is similar to that found on a static
RAM, except that it is much more flexible. Up to eight separate timing strobe signals may be present on the interface:
DS, RD, WR, PITACK, RxACKA, RxACKB, TxACKA
and TxACKB. Only one of these timing strobes may be active at any time. Should the external logic activate more than

one of these strobes at the same time the USC will enter a
pre-reset state that is only exited by a hardware reset. Do
not allow overlap of timing strobes. The timing diagrams,
beginning on the next page, illustrate the different bus transactions possible, with the necessary setup, hold and delay
times.

4'5'6




56$


0QVG56$KUCP[QH&54&942+6#%-4Z#%-QT6Z#%-

(KIWTG  4GUGV6KOKPI

56$





(KIWTG  $WU%[ENG6KOKPI

&55%%



<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

4Z#%



#& #&







4Z4'3



9#+64&;

9CKV

9#+64&;

4GCF[






0QVG56$KUCP[QH&54&942+6#%-4Z#%-QT6Z#%-

(KIWTG  &/#4GCF%[ENG

6Z#%


#& #&




6Z4'3




9#+64&;

9CKV

9#+64&;

4GCF[




(KIWTG  &/#9TKVG%[ENG



&55%%

<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

%5












#$&%

#%-

#5


49




&5



#& #&









4Z4'3




9#+64&;

9CKV

9#+64&;

4GCF[






(KIWTG  /WNVKRNGZGF&54GCF%[ENG

&55%%



<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

%5












#$&%

5+6#%-

#5



49




&5


#& #&








6Z4'3




9#+64&;

9CKV

9#+64&;

4GCF[




(KIWTG  /WNVKRNGZGF&59TKVG%[ENG



&55%%

<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

%5



#$&%








5+6#%-

#5







4&



#& #&












4Z4'3



9#+64&;

9CKV

9#+64&;

4GCF[






(KIWTG  /WNVKRNGZGF4&4GCF%[ENG

&55%%



<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

%5












#$&%

5+6#%-

#5



49




&5



#& #&








6Z4'3




9#+64&;

9CKV

9#+64&;

4GCF[




(KIWTG  /WNVKRNGZGF949TKVG%[ENG



&55%%

<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

%5












#$&%

5+6#%-

#5







94




#& #&








6Z4'3




9#+64&;

9CKV

9#+64&;

4GCF[




(KIWTG  0QPOWNVKRNGZGF&54GCF%[ENG

&55%%



<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

%5
















#$&%

5+6#%-

49

&5


#& #&




6Z4'3




9#+64&;

9CKV

9#+64&;

4GCF[




(KIWTG  0QPOWNVKRNGZGF&59TKVG%[ENG



&55%%

<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

%5












#$&%

5+6#%-

4&




#& #&







4Z4'3




9#+64&;

9CKV

9#+64&;

4GCF[






(KIWTG  0QPOWNVKRNGZGF4&4GCF%[ENG

&55%%



<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

%5




#$&%








5+6#%-

94





#& #&




6Z4'3




9#+64&;

9CKV

9#+64&;

4GCF[




(KIWTG  0QPOWNVKRNGZGF949TKVG%[ENG



&55%%

<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

#5


5+6#%

&5



#& #&









9#+64&;

9CKV






9#+64&;

4GCF[




+'+




+'1




+06


(KIWTG  /WNVKRNGZGF&5+PVGTTWRV#EMPQYNGFIGF%[ENG

&55%%



<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

#5




5+6#%

4&



#& #&









9#+64&;

9CKV






9#+64&;

4GCF[




+'+




+'1




+06


(KIWTG  /WNVKRNGZGF4&+PVGTTWRV#EMPQYNGFIG%[ENG



&55%%

<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

#5







2+6#%



#& #&











9#+64&;

9CKV





9#+64&;

4GCF[




+'+




+'1




+06


(KIWTG  /WNVKRNGZGF2WNUGF+PVGTTWRV#EMPQYNGFIG%[ENG

&55%%



<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

5+6#%



&5





#& #&






9#+64&;

9CKV





9#+64&;

4GCF[




+'+




+'1




+06


(KIWTG  0QPOWNVKRNGZGF&5+PVGTTWRV#EMPQYNGFIG%[ENG



&55%%

<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

5+6#%



4&





#& #&






9#+64&;

9CKV





9#+64&;

4GCF[




+'+




+'1




+06


(KIWTG  0QPOWNVKRNGZGF4&+PVGTTWRV#EMPQYNGFIG%[ENG

&55%%



<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

2+6#%




#& #&










9#+64&;

4GCF[


+'+




+'1




+06




9#+64&;

9CKV




(KIWTG  0QPOWNVKRNGZGF2WNUGF+PVGTTWRV#EMPQYNGFIG%[ENG



&55%%

<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

#5











2+6#%
2WNUG








#& #&













9#+64&;

4GCF[






9#+64&;

9CKV





+'+




+'1




+06


(KIWTG  /WNVKRNGZGF&QWDNG2WNUG+PVCEM%[ENG

&55%%



<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

2+6#%
2WNUG










#& #&





9#+64&;

4GCF[






9#+64&;

9CKV





+'+




+'1




+06


(KIWTG  0QPOWNVKRNGZGF&QWDNG2WNUG+PVCEM%[ENG



&55%%

<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

#%%*#4#%6'4+56+%5
<%)GPGTCN6KOKPI
0Q

5[ODQN

2CTCOGVGT

6U4Z&
4Z%T

4Z&VQ4Z%4KUG5GVWR6KOG
Z/QFG

6J4Z&
4Z%T

/KP

/CZ

7PKVU

0QVGU

PU

4Z&VQ4Z%4KUG*QNF6KOG
Z/QFG



PU

6U4ZF
4Z%H

4Z&VQ4Z%(CNN5GVWR6KOG
Z/QFG

PU



6J4Z&
4Z%H

4Z&VQ4Z%(CNN*QNF6KOG
Z/QFG



PU



6U5[
4Z%

&%&CU5;0%VQ4Z%4KUG5GVWR6KOG

PU

6J5[
4Z%

&%&CU5;0%VQ4Z%4KUG*QNF6KOG
Z/QFG



PU

6F6Z%H
6Z&

6Z%(CNNVQ6Z&&GNC[

PU

6F6Z%T
6Z&

6Z%4KUGVQ6Z&&GNC[

PU



6Y4Z%J

4Z%*KIJ9KFVJ



PU



6E4Z%

4Z%%[ENG6KOG



PU



6Y6Z%J

6Z%*KIJ9KFVJ



PU



6Y6Z%N

6Z%.QY9KFVJ



PU



6E6Z%

6Z%%[ENG6KOG



PU



6Y'Z6

&%&QT%652WNUG9KFVJ



PU



695;

&%&CU5;0%+PRWV2WNUG9KFVJ



PU



6Y%.-J

%.-*KIJ9KFVJ



PU



6Y%.-+

%.-*KIJ9KFVJ



PU



6E%.-

%.-%[ENG6KOG



PU




0QVGU
 4Z%KU4Z%QT6Z%YJKEJGXGTKUUWRRN[KPIVJGTGEGKXGENQEM
 6Z%KU6Z%QT4Z%YJKEJGXGTKUUWRRN[KPIVJGVTCPUOKVENQEM
 2CTCOGVGTCRRNKGUQPN[VQ(/GPEQFKPIFGEQFKPI
 %.-KU4Z%QT6Z%YJGPUWRRN[KPI&2..$4)QT%64ENQEM

+06

&55%%



<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

4Z%6Z%
4GEGKXG

4Z'3
4GSWGUV


4Z%CU
4GEGKXGT
1WVRWV

+06


4Z%6Z%
6TCPUOKV

6Z4'3

6Z%CU
6TCPUOKVVGT
1WVRWV


%65&%&
6Z4'3
4Z4'3


0QVG%.-KU4Z%QT6Z%YJGPUWRRN[KPI&2..$4)QT%64ENQEM

(KIWTG  <%5[UVGO6KOKPI



&55%%

<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

<%5[UVGO6KOKPI
0Q

5[ODQN

2CTCOGVGT

/KP

/CZ

7PKVU

0QVGU

6F4Z%
4'3

4Z%4KUGVQ4Z4'38CNKF&GNC[



PU

6F4Z%
4Z%

6Z%4KUGVQ4Z%CU4GEGKXGT1WVRWV8CNKF&GNC[



PU

6F4Z%
+06

4Z%4KUGVQ+068CNKF&GNC[



PU

6F6Z%
4'3

6Z%(CNNVQ6Z4'38CNKF&GNC[



PU

6F6Z%
6Z%

4Z%(CNNVQ6Z%CU6TCPUOKVVGT1WVRWV8CNKF&GNC[



PU




6F6Z%
+06

6Z%(CNNVQ+068CNKF&GNC[



PU

6F':6
+06

%65&%&6Z4'34Z4'3VTCPUKVKQPVQ+068CNKF
&GNC[



PU

0QVGU
 4Z%KU4Z%QT6Z%YJKEJGXGTKUUWRRN[KPIVJGTGEGKXGENQEM
 6Z%KU6Z%QT4Z%YJKEJGXGTKUUWRRN[KPIVJGVTCPUOKVENQEM

&55%%



<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

#4%*+6'%674'
The USC internal structure includes two completely independent full-duplex serial channels, each with two baud rate
generators, a digital phase-locked loop for clock recovery,
transmit and receive character counters and a full-duplex
DMA interface. The two serial channels share a common
bus interface. The bus interface is designed to provide easy
interface to most microprocessors, whether they employ a
multiplexed or nonmultiplexed, 8-bit or16-bit bus structure.

Each channel is controlled by a set of thirty 16-bit registers,


nearly all of which are readable and writable. There is one
additional 16-bit register in the bus interface used to configure the nature of the bus interface. The BCR functions
are shown in below.

#FFTGUU0QPG
& & & & & &

& &

&

&

&

&

& &

&

&
5JKHV4KIJV#FFTGUUGU
&QWDNG2WNUG+06#%$KV$WU

4GUGTXGF
5VCVG#NN2KPU
5GRCTCVG#FFTGUUHQT$KV$WU

/WUVDGRTQITCOOGFCU

(KIWTG  $WU%QPHKIWTCVKQP4GIKUVGT

&#6#2#6*
Both the transmitter and the receiver in the channel are actually microcoded serial processors. As the data shifts
through the transmit or receive shift register, the microcode
watches for specific bit patterns, counts bits, and at the ap-

propriate time transfers data to or from the FIFOs. The microcode also checks status and generates status interrupts
as appropriate.

(70%6+10#.&'5%4+26+10
The functional capabilities of the USC are described from
two different points of view: as a data communications device, it transmits and receives data in a wide variety of data
communications protocols; as a microprocessor peripheral,
the USC offers such features as read/write registers, a flexible bus interface, DMA interface support and vectored interrupts.

&CVC%QOOWPKECVKQPU%CRCDKNKVKGU
The USC provides two independent full-duplex channels
programmable for use in any common data communication
protocol. The receiver and transmitter modes are complete

ly independent, as are the two channels. Each receiver and


transmitter is supported by a 32-byte deep FIFO and a 16bit message length counter. All modes allow optional even,
odd, mark or space parity. Synchronous modes allow the
choice of two 16-bit or one 32-bit CRC polynomial. Selection of from one to eight bits-per-character is available in
both receiver and transmitter, independently. Error and status conditions are carried with the data in the receive and
transmit FIFOs to greatly reduce the CPU overhead required to send or receive a message. Specific, appropriately
timed interrupts are available to signal such conditions as
overrun, parity error, framing error, end-of-frame, idle line
received, sync acquired, transmit underrun, CRC sent, clos&55%%

ZiLOG

ing sync/flag sent, abort sent, idle line sent and preamble
sent. In addition, several useful internal signals such as receive FIFO load, received sync, transmit FIFO read and
transmission complete may be sent to pins for use by external circuitry.
#U[PEJTQPQWU/QFGThe receiver and transmitter can
handle data at a rate of 1/16, 1/32, or 1/64 the clock rate.
The receiver rejects start bits less than one-half a bit time
and will not erroneously assemble characters following a
framing error. The transmitter is capable of sending one,
two, or anywhere in the range of 1/16 to two stop bits per
character in 1/16 bit increments.
'ZVGTPCN5[PE/QFGThe receiver is synchronized to the
receive data stream by an externally-supplied signal on a
pin for custom protocol applications.
+UQEJTQPQWU/QFGBoth transmitter and receiver may op-

erate on start-stop (async) data using a 1x clock. The transmitter can send one or two stop bits.
#U[PEJTQPQWU9KVJ%QFG8KQNCVKQPUThis is similar to
Isochronous mode except that the start bit is replaced by a
three bit-time code violation pattern as in MIL-STD 1553B.
The transmitter can send zero, one or two stop bits.
/QPQU[PE/QFGIn this mode, a single character is used

for synchronization. The sync character can be either eight


bits long with an arbitrary data character length, or programmed to match the data character length. The receiver
is capable of automatically stripping sync characters from
the received data stream. The transmitter may be programmed to automatically send CRC on either an underrun
or at the end of a programmed message length.
$KU[PE/QFGThis mode is identical to monosync mode
except that character synchronization requires two successive characters for synchronization. The two characters
need not be identical.
*&.%/QFGIn this mode, the receiver recognizes flags,

performs optional address matching, accommodates extended address fields, 8- or 16-bit control fields and logical
control fields, performs zero deletion and CRC checking.
The receiver is capable of receiving shared-zero flags, recognizes the abort sequence and can receive arbitrary length
messages. The transmitter automatically sends opening and
closing flags, performs zero insertion and can be programmed to send an abort, an extended abort, a flag or CRC
and a flag on transmit underrun. The transmitter can also
automatically send the closing flag with optional CRC at
the end of a programmed message length. Shared-zero flags
are selected in the transmitter and a separate character
length may be programmed for the last character in the
frame.
&55%%

<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT
$KU[PE6TCPURCTGPV/QFGIn this mode, the synchronization pattern is DLESYN, programmable selected from either ASCII or EBCDIC encoding. The receiver recognizes
control character sequences and automatically handles
CRC calculation without CPU intervention. The transmitter
can be programmed to send either SYN, DLESYN,
CRCSYN, or CRCDLESYN upon underrun and can automatically send the closing DLESYN with optional CRC
at the end of a programmed message length.
0$+2/QFGThis mode is identical to async except that the
receiver checks for the status of an additional address/data
bit between the parity bit and the stop bit. The value of this
bit is FIFOed along with the data. This bit is automatically
inserted in the transmitter with the value that is FIFOed
with the transmit data.
/QFGThis mode implements the data format of
IEEE 802.3 with 16-bit address compare. In this mode,
DCD and CTS are used to implement the carrier sense and
collision detect interactions with the receiver and transmitter.
5NCXGF/QPQU[PE/QFGThis mode is available only in
the transmitter and allows the transmitter (operating as
though it were in monosync mode) to send data that is bytesynchronous to the data being received by the receiver.
*&.%.QQR/QFGThis mode is also available only in the

transmitter and allows the USC to be used in an HDLC loop


configuration. In this mode, the receiver is programmed to
operate in HDLC mode so that the transmitter echoes received messages. Upon receipt of a particular bit pattern (actually a sequence of seven consecutive ones) the transmitter
breaks the loop and inserts its own frame(s).

&CVC'PEQFKPI
The USC may be programmed to encode and decode the serial data in any of eight different ways as shown in Figure
28. The transmitter encoding method is selected independently of the receiver decoding method.
04<In NRZ, a 1 is represented by a High level for the duration of the bit cell and a 0 is represented by a Low level
for the duration of the bit cell.
04<$Data is inverted from NRZ.
04<+/CTMIn NRZI-Mark, a 1 is represented by a transition at the beginning of the bit cell. That is, the level present
in the preceding bit cell is reversed. A 0 is represented by
the absence of a transition at the beginning of the bit cell.
04<+5RCEGIn NRZI-Space, a 1 is represented by the ab-

sence of a transition at the beginning of the bit cell. That is,


the level present in the preceding bit cell is maintained. A


<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

0 is represented by a transition at the beginning of the bit


cell.

sented by a transition at the beginning of the bit cell and another transition at the center of the bit cell.

$KRJCUG/CTMIn Biphase-Mark, a 1 is represented by a


transition at the beginning of the bit cell and another transition at the center of the bit cell. A 0 is represented by a
transition at the beginning of the bit cell only.

$KRJCUG.GXGNIn Biphase-Level, a 1 is represented by a


High during the first half of the bit cell and a Low during
the second half of the bit cell. A 0 is represented by a Low
during the first half of the bit cell and a High during the second half of the bit cell.

$KRJCUG5RCEGIn Biphase-Space, a 1 is represented by a


transition at the beginning of the bit cell only. A 0 is repre-

Data

NRZ

NRZB

NRZI-M

NRZI-S

BI-PHASE-M

BIPHASE-S

BIPHASE-L

DIFFERENTIAL
BIPHASE-L

(KIWTG  &CVC'PEQFKPI

&KHHGTGPVKCN$KRJCUG.GXGNIn Differential Biphase-Level,


a 1 is represented by a transition at the center of the bit
cell, with the opposite polarity from the transition at the center of the preceding bit cell. A 0 is represented by a transition
at the center of the bit cell with the same polarity as the transition at the center of the preceding bit cell. In both cases
there may be transitions at the beginning of the bit cell to
set up the level required to make the correct center transition.



%JCTCEVGT%QWPVGTU
Each channel in the USC contains a 16-bit character counter
for both receiver and transmitter. The receive character
counter may be preset either under software control or automatically at the beginning of a receive message. The
counter decrements with each receive character and at the
end of the receive message the current value in the counter
is automatically loaded into a four-deep FIFO. This allows
DMA transfer of data to proceed without CPU intervention
at the end of a received message, as the values in the FIFO
&55%%

<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

allow the CPU to determine message boundaries in memory. Similarly, the transmit character counter is loaded either under software control or automatically at the beginning of a transmit message. The counter is decremented with
each write to the transmit FIFO. When the counter has decremented to 0, and that byte is sent, the transmitter automatically terminates the message in the appropriate fashion
(usually CRC and the closing flag or sync character) without
requiring CPU intervention.

$CWF4CVG)GPGTCVQTU
Each channel in the USC contains two baud rate generators.
Each generator consists of a 16-bit time constant register
and a 16-bit down counter. In operation, the counter decrements with each baud rate generator clock, with the time
constant automatically reloaded when the count reaches zero. The output of the baud rate generator toggles when the
counter reaches a count of one-half of the time constant and
again when the counter reaches zero.A new time constant
may be written at any time but the new value will not take
effect until the next load of the counter. The outputs of both
baud rate generators are sent to the clock multiplexer for use
internally or externally. The baud rate generator output frequency is related to the baud rate generator input clock frequency by the following formula:

data rate. The DPLL uses this clock, along the data stream,
to construct a clock for the data. This clock may then be routed to the receiver, transmitter, or both, or to a pin for use
externally. In all modes, the DPLL counts the input clock
to create nominal bit times. As the clock is counted, the
DPLL watches the incoming data stream for transitions.
Whenever a transition is detected, the DPLL makes a count
adjustment (during the next counting cycle), to produce an
output clock which tracks the incoming bit cells. The DPLL
provides properly phased transmit and receive clocks to the
clock multiplexer.

%QWPVGTU
Each channel contains two 5-bit counters, which are programmed to divide an input clock by 4, 8, 16 or 32. The inputs of these two counters are sent to the clock multiplexer.
The counters are used as prescalers for the baud rate generators, or to provide a stable transmit clock from a common
source when the DPLL is providing the receive clock.

%NQEM/WNVKRNGZGT

Output frequency = Input frequency/(time constant + 1).

The clock multiplexer in each channel selects the clock


source for the various blocks in the channel and selects an
internal clock signal to potentially be sent to either the RxC
or TxC pin.

This allows an output frequency in the range of 1 to 1/65536


of the input frequency, inclusive.

6GUV/QFGU

&KIKVCN2JCUG.QEMGF.QQR

The USC can be programmed for local loopback or auto


echo operation. In local loopback, the output of the transmitter is internally routed to the input of the receiver. This
allows testing of the USC data paths without any external
logic. Auto echo connects the RxD pin directly to the TxD
pin. This is useful for testing serial links external to the USC.

Each channel in the USC contains a Digital Phase-Locked


Loop (DPLL) to recover clock information from a data
stream with NRZI or Biphase encoding. The DPLL is driven
by a clock that is nominally 8, 16 or 32 times the receive

&55%%



<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

+1+06'4(#%'%#2#$+.+6+'5
The USC offers the choice of polling, interrupt (vectored
or nonvectored) and block transfer modes to transfer data,
status and control information to and from the CPU.

2QNNKPI
All interrupts are disabled. The registers in the USC are automatically updated to reflect current status. The CPU polls
the Daisy Chain Control Register (DCCR) to determine status changes and then reads the appropriate status register
to find and respond to the change in status. USC status bits
are grouped according to function to simplify this software
action.

+PVGTTWRV
When a USC responds to an interrupt acknowledge from
the CPU, an interrupt vector may be placed on the data bus.
This vector is held in the Interrupt Vector Register (IVR).
To speed interrupt response time, the USC modifies three
bits in this vector to indicate which type of interrupt is being
requested.
Each of the six sources of interrupts in each channel of the
USC (Receive Status, Receive Data, Transmit Status,
Transmit Data, I/O Status and Device Status) has three bits
associated with the interrupt source: Interrupt Pending (IP),
Interrupt-Under-Service (IUS) and Interrupt Enable (IE). If
the IE bit for a given source is set, that source can request
interrupts. Note that individual sources within the six
groups also have interrupt enable bits which are set for the
particular source. In addition, there is a Master Interrupt Enable (MIE) bit in each channel which globally enables or
disables interrupts within the channel.
The other two bits are related to the interrupt priority chain.
A channel in the USC may request an interrupt only when
no higher priority interrupt source is requesting one, e.g.,
when IEI is High for the channel. In this case the channel
activates the INT signal. The CPU then responds with an
interrupt acknowledge cycle, and the interrupting channel
places a vector on the data bus.
In the USC, the IP bit signals that an interrupt request is being serviced. If an IUS is set, all interrupt sources of lower
priority within the channel and external to the channel are
prevented from requesting interrupts. The internal interrupt
sources are inhibited by the state of the internal daisy chain,
while lower priority devices are inhibited by the IEO output
of the channel being pulled Low and propagated to subsequent peripherals. An IUS bit is set during an interrupt ac-



knowledge cycle if there are no higher priority devices requesting interrupts.


There are six sources of interrupt in each channel: Receive
Status, Receive Data, Transmit Status, Transmit Data, I/O
Status and Device Status, prioritized in that order within the
channel. There are six sources of Receive Status interrupt,
each individually enabled: exited hunt, idle line,
break/abort, code violation/end-of-transmission/end-offrame, parity error and overrun error. The Receive Data interrupt is generated whenever the receive FIFO fills with
data beyond the level programmed in the Receive Interrupt
Control Register (RICR).
There are six sources of Transmit Status interrupt, each individually enabled: preamble sent, idle line sent, abort sent,
end-of-frame/end-of-transmission sent, CRC sent and underrun error. The Transmit Data interrupt is generated
whenever the transmit FIFO empties below the level programmed in the Transmit Interrupt Control Register
(TICR). The I/O Status interrupt serves to report transitions
on any of six pins. Interrupts are generated on either or both
edges with separate selection and enables for each pin. The
pins programmed to generate I/O Status interrupts are RxC,
TxC, RxREQ, TxREQ, DCD and CTS. These interrupts are
independent of the programmed function of the pins. The
Device Status interrupt has four separately enabled sources:
receive character count FIFO overflow, DPLL sync acquired, BRG1 zero count and BRGO zero count.

$NQEM6TCPUHGT/QFG
The USC accommodates block transfers through DMA
through the RxREQ, TxREQ, RxACK and TxACK pins.
The RxREQ signal is activated when the fill level of the receive FIFO exceeds the value programmed in the RICR. The
DMA may respond with either a normal bus transaction or
by activating the RxACK pin to read the data directly (flyby transfer). The TxREQ signal is activated when the empty
level of the transmit FIFO falls below the value programmed in the TICR. The DMA may respond either with
a normal bus transaction or by activating the TxACK pin
to write the data directly (fly-by transfer). The RxACK and
TxACK pin functions for this mode are controlled by the
Hardware Configuration Register (HCR). Then using the
RxACK and TxACK pins to transfer data, no chip select is
necessary; these are dedicated strobes for the appropriate
FIFO.

&55%%

<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

241)4#//+0)
The registers in each USC channel are programmed by the
system to configure the channels. Before this can occur,
however, the system must program the bus interface by writing to the Bus Configuration Register (BCR). The BCR has
no specific address and is only accessible immediately after
a hardware reset of the device. The first write to the USC,
after a hardware reset, programs the BCR. From that time
on, the normal channel registers may be accessed. No specific address need be presented to the USC for the BCR write
because the first write after a hardware reset is automatically
programmed for the BCR.

pin, without disturbing the contents of the pointer in the


CCAR.

In the multiplexed bus case, all registers are directly addressable through the address latched by AS at the beginning of a bus transaction. The address is decoded from either
AD6AD0 or AD7AD1. This is controlled by the Shift
Right/Shift Left bit in the BCR. The address maps for these
two cases are shown in Table 2. The D/C pin is still used
to directly access the receive and transmit data registers
(RDR and TDR) in the multiplexed bus; if D/C is High the
address latched by AS is ignored and an access of RDR or
TDR is performed.
In the nonmultiplexed bus case, the registers in each channel
are accessed indirectly using the address pointer in the
Channel Command/Address Register (CCAR) in each
channel. The address of the desired register is first written
to the CCAR and then the selected register is accessed; the
pointer in the CCAR is automatically cleared after this access. The RDR and TDR are accessed directly using the D/C

&55%%

6CDNG  /WNVKRNGZGF$WU#FFTGUU#UUKIPOGPVU
#FFTGUU5KIPCN

5JKHV.GHV

5JKHV4KIJV

$[VG9QTF#EEGUU

#&

#&

#FFTGUU

#&

#&

#FFTGUU

#&

#&

#FFTGUU

#&

#&

#FFTGUU

#&

#&

#FFTGUU

#&

#&

7RRGT.QYGT$[VG5GNGEV

#&

#&

There are two important things to note about the USC. First,
the Channel Reset bit in the CCAR places the channel in
the reset state. To exit this reset state either a word of all
zeros must be written to the CCAR (16-bit bus) or a byte
of all zeros must be written to the lower byte of the CCAR
(8-bit bus). The second thing to note is that after reset, the
transmit and receive clocks are not connected. The first
thing that should be done in any initialization sequence is
a write to the Clock Mode Control Register (CMCR) to select a clock source for the receiver and transmitter.
The register addressing is shown in Table 3 while the bit
assignments for the registers are shown in Figure 29.



<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

4GUGV
#P[6TCPUCEVKQP
7R6QCPF+PENWFKPI

0Q#5

$%49TKVG

#V.GCUV1PG#5

0QP/WNVKRNGZGF

/WNVKRNGZGF

$WU

$WU

$%4
9TKVG
6TCPUCEVKQP
$%4=?

$%4=?

$%4=?

$%4=?

$KV9KVJ

$KV9KVJQWV

5GRCTCVG

5GRCTCVG

#FFTGUU

#FFTGUU

$%4=?

$KV

$%4=?

$%4=?

$%4=?

$%4=?

$KV9KVJ

$KV9KVJQWV

5GRCTCVG

5GRCTCVG

#FFTGUU

#FFTGUU

$%4=?

$KV

0QVG
6JGRTGUGPEGQHQPGVTCPUCEVKQPYKVJCP#5CEVKXGDGVYGGPTGUGVWRVQ
CPFKPENWFKPIVJG$%4YTKVGEJQQUGUCOWNVKRNGZGFV[RGQHDWU

(KIWTG  $%44GUGV5GSWGPEGCPF$KV#UUKIPOGPVU



&55%%

<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG
6CDNG  4GIKUVGT#FFTGUU.KUV
#FFTGUU# #


%%#4




%/4
%%54












:

%%4
6/&4
6/%4
%/%4
*%4
+84
+1%4
+%4
&%%4
/+54
5+%4
4&4

6CDNG  4GIKUVGT#FFTGUU.KUV
#FFTGUU# #

%JCPPGN%QOOCPF#FFTGUU
4GIKUVGT
%JCPPGN/QFG4GIKUVGT
%JCPPGN%QOOCPF5VCVWU
4GIKUVGT
%JCPPGN%QPVTQN4GIKUVGT
6GUV/QFG&CVC4GIKUVGT
6GUV/QFG%QPVTQN4GIKUVGT
%NQEM/QFG%QPVTQN4GIKUVGT
*CTFYCTG%QPHKIWTCVKQP4GIKUVGT
+PVGTTWRV8GEVQT4GIKUVGT
+1%QPVTQN4GIKUVGT
+PVGTTWRV%QPVTQN4GIKUVGT
&CKU[%JCKP%QPVTQN4GIKUVGT
/KUE+PVGTTWRV5VCVWU4GIKUVGT
5VCVWU+PVGTTWRV%QPVTQN4GIKUVGT
4GEGKXG&CVC4GIKUVGT
4GCF1PN[

&55%%








:

4/4
4%54
4+%4
454
4%.4
4%%4
6%4
6&4




6/4
6%54



6+%4





:::::

654
6%.4
6%%4
6%4
$%4

4GEGKXG/QFG4GIKUVGT
4GEGKXG%QOOCPF5VCVWU4GIKUVGT
4GEGKXG+PVGTTWRV%QPVTQN4GIKUVGT
4GEGKXG5[PE4GIKUVGT
4GEGKXG%QWPV.KOKV4GIKUVGT
4GEGKXG%JCTCEVGT%QWPV4GIKUVGT
6KOG%QPUVCPV4GIKUVGT
6TCPUOKV&CVC4GIKUVGT
9TKVG
1PN[
6TCPUOKV/QFG4GIKUVGT
6TCPUOKV%QOOCPF5VCVWU
4GIKUVGT
6TCPUOKV+PVGTTWRV%QPVTQN
4GIKUVGT
6TCPUOKV5[PE4GIKUVGT
6TCPUOKV%QWPV.KOKV4GIKUVGT
6TCPUOKV%JCTCEVGT%QWPV4GIKUVGT
6KOG%QPUVCPV4GIKUVGT
$WU%QPHKIWTCVKQP4GIKUVGT



<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

%10641.4')+56'45
#FFTGUU
& & & & & &

& &

&

&

&

&

& &

&

&
7RRGT.QYGT$[VG5GNGEV
9
#FFTGUU
9
#FFTGUU
9
#FFTGUU
9
#FFTGUU
9
#FFTGUU
9
$[VG9QTF#EEGUU
9
&/#%QPVKPWG
9











0QTOCN1RGTCVKQP
#WVQ'EJQ
'ZVGTPCN.QECN.QQRDCEM
+PVGTPCN.QECN.QQRDCEM

/QFG
%QPVTQN
%JCPPGN4GUGV






































































































































































0WNN%QOOCPF
4GUGTXGF
4GUGV*KIJGUV+75
4GUGTXGF
6TKIIGT%JCPPGN.QCF&/#
6TKIIGT4Z&/#
6TKIIGT6Z&/#
6TKIIGT4Z6Z&/#
4GUGTXGF
4Z(+(12WTIG
6Z(+(12WTIG
4Z6Z(+(12WTIG
4GUGTXGF
.QCF4Z%JCTCEVGT%QWPV
.QCF6Z%JCTCEVGT%QWPV
.QCF4Z6Z%JCTCEVGT%QWPV
4GUGTXGF
.QCF6%
.QCF6%
.QCF6%6%
5GNGEV5GTKCN&CVC.5$(KTUV
5GNGEV5GTKCN&CVC/5$(KTUV
5GNGEV5VTCKIJV/GOQT[&CVC
5GNGEV5YCRRGF/GOQT[&CVC
4GUGTXGF
4Z2WTIG
4GUGTXGF
4GUGTXGF
4GUGTXGF
4GUGTXGF
4GUGTXGF
4GUGTXGF

%JCPPGN
%QOOCPF

9

5GNGEVGFCV4GUGV

(KIWTG  %JCPPGN%QOOCPF#FFTGUU4GIKUVGT



&55%%

<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

#FFTGUU
& & & & & &

& &

&

&

&

&

& &




















































&


















&

#U[PEJTQPQWU
'ZVGTPCNU[PEJTQPQWU
+UQEJTQPQWU
#U[PEJTQPQWUYKVJ%8
/QPQU[PE
$KU[PE
*&.%
6TCPURCTGPV$KU[PE
0$+(

4GUGTXGF
4GUGTXGF
4GUGTXGF
4GUGTXGF
4GUGTXGF
4GUGTXGF

4GEGKXG
/QFG

4Z5WDOQFG
4Z5WDOQFG
4Z5WDOQFG
4Z5WDOQFG

















 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 


















#U[PEJTQPQWU
4GUGTXGF
+UQEJTQPQWU
#U[PEJTQPQWUYKVJ%8
/QPQU[PE
$KU[PE
*&.%
6TCPURCTGPV$KU[PE
0$+2

4GUGTXGF
4GUGTXGF
5NCXGF/QPQU[PE
4GUGTXGF
*&.%.QQR
4GUGTXGF

6TCPUOKVVGT
/QFG

6Z5WDOQFG
6ZUWDOQFG
6Z5WDOQFG
6ZUWDOQFG

(KIWTG  %JCPPGN/QFG4GIKUVGT

&55%%



<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

#FFTGUU
& & & & & &

& &

&

&

&

&

& &












&

&

 #U[PEJTQPQWU

:&CVC4CVG
:&CVC4CVG
:&CVC4CVG
4GUGTXGF

4GEGKXGT
/QFG

4Z%NQEM4CVG

4GUGTXGF
4GUGTXGF





















 #U[PEJTQPQWU

:&CVC4CVG
:&CVC4CVG
:&CVC4CVG
4GUGTXGF

6Z%NQEM4CVG

1PG5VQR$KV
6YQ5VQR$KVU
1PG5QR$KV5JCTGF
6YQ5VQR$KVU5JCTGF

6TCPUOKVVGT
/QFG

6Z5VQR$KVU

(KIWTG  %JCPPGN/QFG4GIKUVGT#U[PEJTQPQWU/QFG

#FFTGUU
& & & & & &

& &

&

&

&

&

& &

&



&

'ZVGTPCN5[PE

4GEGKXGT
/QFG

4GUGTXGF


4GUGTXGF

6TCPUOKVVGT
/QFG
4GUGTXGF

(KIWTG  %JCPPGN/QFG4GIKUVGT'ZVGTPCN5[PE/QFG



&55%%

<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

#FFTGUU
& & & & & &

& &

&

&

&

&

& &

&

&

4GEGKXGT
/QFG

 +UQEJTQPQWU

4GUGTXGF


 +UQEJTQPQWU

6TCPUOKVVGT
/QFG
4GUGTXGF
6Z6YQ5VQR$KVU
4GUGTXGF

(KIWTG  %JCPPGN/QFG4GIKUVGT+UQEJTQPQWU/QFG

#FFTGUU
& & & & & &

& &

&

&

&

&

& &

&

&

#U[PEJTQPQWUYKVJ%8

4GEGKXGT
/QFG

4Z'ZVGPFGF9QTF
4GUGTXGF


#U[PEJTQPQWUYKVJ%8

6TCPUOKVVGT
/QFG
%82QNCTKV[
6Z'ZVGPFGF9QTF











1PG5VQR$KV
6YQ5VQR$KVU
0Q5VQR$KV
4GUGTXGF

6Z5VQR$KVU

(KIWTG  %JCPPGN/QFG4GIKUVGT#U[PEJTQPQWU/QFGYKVJ


%QFG8KQNCVKQP
/+.56&

&55%%



<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

#FFTGUU
& & & & & &

& &

&

&

&

&

& &

&

&

 /QPQU[PE

4GEGKXGT
/QFG

4Z5JQTV5[PE%JCTCEVGT
4Z5[PE5VTKR
4GUGTXGF

 /QPQU[PE

6TCPUOKVVGT
/QFG
6Z5JQTV5[PE%JCTCEVGT
6Z2TGCODNG'PCDNG
4GUGTXGF
6Z%4%QP7PFGTTWP

(KIWTG  %JCPPGN/QFG4GIKUVGT/QPQU[PE/QFG



&55%%

<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

#FFTGUU
& & & & & &

& &

&

&

&

&

& &

&

&

4GEGKXGT
/QFG

 $KU[PE

4Z5JQTV5[PE%JCTCEVGT
4Z5[PE5VTKR
4GUGTXGF

 $KU[PE

6TCPUOKVVGT
/QFG
6Z5JQTV5[PE%JCTCEVGT
6Z2TGCODNG'PCDNG











5;0
5;05;0
%4%5;0
%4%5;05;0

6Z
7PFGTTWP
%QPFKVKQP

(KIWTG  %JCPPGN/QFG4GIKUVGT$KU[PE/QFG

&55%%



<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

#FFTGUU
& & & & & &

& &

&

&

&

&

& &











&

&

 *&.%

&KUCDNGF
1PG$[VG0Q%QPVTQN
1PG$[VG2NWU%QPVTQN
'ZVGPFGF2NWU%QPVTQN

4GEGKXGT
/QFG

4Z#FFTGUU
5GCTEJ
/QFG
4Z$KV%QPVTQN
4Z.QIKECN
%QPVTQN'PCDNG

 *&.%

6TCPUOKVVGT
/QFG
5JCTGF<GTQ(NCIU
6Z2TGCODNG'PCDNG











#DQTV
'ZVGPFGF#DQTV
(NCI
%4%(NCI

6Z
7PFGTTWP
%QPFKVKQP

(KIWTG  %JCPPGN/QFG4GIKUVGT*&.%/QFG



&55%%

<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

#FFTGUU
& & & & & &

& &

&

&

&

&

& &

&

&

 6TCPURCTGPV$KU[PE

4GEGKXGT
/QFG

'$%&+%
4GUGTXGF

 6TCPURCTGPV$KU[PE

6TCPUOKVVGT
/QFG
'$%&+%
6Z2TGCODNG'PCDNG











5;0
&.'5;0
%4%5;0
%4%&.'5;0

6Z
7PFGTTWP
%QPFKVKQP

(KIWTG  %JCPPGN/QFG4GIKUVGT6TCPURCTGPV$KU[PE/QFG

&55%%



<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

#FFTGUU
& & & & & &

& &

&

&

&

&

& &












&

&

 0$+2

4GEGKXGT
/QFG

:&CVC4CVG
:&CVC4CVG
:&CVC4CVG
4GUGTXGF
4Z2CTKV[QP&CVC
4GUGTXGF












:&CVC4CVG
:&CVC4CVG
:&CVC4CVG
4GUGTXGF

 0$+2

6TCPUOKVVGT
/QFG

6Z%NQEM
4CVG
6Z2CTKV[QP&CVC
6Z#FFTGUU$KV

(KIWTG  %JCPPGN/QFG4GIKUVGT0$+2/QFG



&55%%

<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

#FFTGUU
& & & & & &

& &

&

&

&

&

& &

&

&

 

4GEGKXGT
/QFG
4Z#FFTGUU5GCTEJ
4GUGTXGF

 

6TCPUOKVVGT
/QFG
4GUGTXGF
6Z%4%QP7PFGTTWP

(KIWTG  %JCPPGN/QFG4GIKUVGT/QFG

#FFTGUU
& & & & & &

& &

&

&

&

&

& &

&

&

 4GUGTXGF

4GEGKXGT
/QFG

4GUGTXGF


 5NCXGF/QPQU[PE

6TCPUOKVVGT
/QFG
6Z5JQTV5[PE%JCTCEVGT
6Z#EVKXGQP4GEGKXGF5[PE
4GUGTXGF
6Z%4%QP7PFGTTWP

(KIWTG  %JCPPGN/QFG4GIKUVGT5NCXGF/QPQU[PE/QFG

&55%%



<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

#FFTGUU
& & & & & &

& &

&

&

&

&

& &

&

&

 4GUGTXGF

4GEGKXGT
/QFG

4GUGTXGF

 *&.%.QQR

6TCPUOKVVGT
/QFG
5JCTGF<GTQ(NCIU
6Z#EVKXGQP2QNN











#DQTV
'ZVGPFGF#DQTV
(NCI
%4%(NCI

6Z
7PFGTTWP
%QPFKVKQP

(KIWTG  %JCPPGN/QFG4GIKUVGT*&.%.QQR/QFG



&55%%

<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG
#FFTGUU
& & & & & &

& &

&

&

&

&

& &

&

&

4Z#%-
4
6Z#%-
4












  












$KVU
$KV
$KVU
$KVU
$KVU
$KVU
$KVU
$KVU

*&.%6Z.CUV
%JCTCEVGT.GPIVJ

4GUGTXGF
.QQR5GPFKPI
4
1P.QQR
4











$QVJ'FIGU
4KUKPI'FIG1PN[
(CNNKPI'FIG1PN[
#FLWUV5[PE+PRWV

&2..
#FLWUV
5[PE'FIG
%NQEM/KUUGF.CVEJGF7PNCVEJ
%NQEMU/KUUGF.CVEJGF7PNCVEJ
&2..KP5[PE3WKEM5[PE
4%%(+(1%NGCT
9
4%%(+(18CNKF
4
4%%(+(11XGTHNQY
4

(KIWTG  %JCPPGN%QOOCPF5VCVWU4GIKUVGT

&55%%



<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

#FFTGUU
& & & & & &

& &

&

&

&

&

& &

&

&
4GUGTXGF
9CKVHQT4Z&/#6TKIIGT











0Q5VCVWU$NQEM
1PG9QTF5VCVWU$NQEM
6YQ9QTF5VCVWU$NQEM
4GUGTXGF

4Z5VCVWU
$NQEM6TCPUHGT
6Z5JCXGF$KV.GPIVJ

#U[PE1PN[




















$KVU
$KVU
$KVU
$KVU

#NN<GTQU
#NN1PGU
#NVGTPCVKPICPF
#NVGTPCVKPICPF

6Z2TGCODNG
2CVVGTP

#NN5[PE

6Z2TGCODNG
.GPIVJ
6Z(NCI2TGCODNG
9CKVHQT6Z&/#6TKIIGT











0Q5VCVWU$NQEM
1PG9QTF5VCVWU$NQEM
6YQ9QTF5VCVWU$NQEM
4GUGTXGF

6Z5VCVWU
$NQEM6TCPUHGT

(KIWTG  %JCPPGN%QPVTQN4GIKUVGT

#FFTGUU
& & & & & &

& &

&

&

&

&

& &

&

&

4GUGTXGF

(KIWTG  2TKOCT[4GUGTXGF4GIKUVGT



&55%%

<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

#FFTGUU
& & & & & &

& &

&

&

&

&

& &

&

&

4GUGTXGF

(KIWTG  5GEQPFCT[4GUGTXGF4GIKUVGT

#FFTGUU
& & & & & &

& &

&

&

&

&

& &

&

&
6GUV&CVC
6GUV&CVC
6GUV&CVC
6GUV&CVC
6GUV&CVC
6GUV&CVC
6GUV&CVC
6GUV&CVC
6GUV&CVC
6GUV&CVC
6GUV&CVC
6GUV&CVC
6GUV&CVC
6GUV&CVC
6GUV&CVC
6GUV&CVC

(KIWTG  6GUV/QFG&CVC4GIKUVGT

&55%%



<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

#FFTGUU
& & & & & &

& &

&

&

&

&


































& &



































































&

&



































































0WNN#FFTGUU
*KIJ$[VGQH5JKHVGTU
%4%$[VG
%4%$[VG
4Z(+(1
9TKVG
%NQEM/WNVKRNGZGT1WVRWVU
%64CPF%64%QWPVGTU
%NQEM/WNVKRNGZGT+PRWVU
&2..5VCVWU
.QY$[VGQH5JKHVGTU
%4%$[VG
%4%$[VG
6Z(+(1
4GCF
4GUGTXGF
6GUV
+1CPF&GXKEG5VCVWU.CVEJGU 4GIKUVGT
#FFTGUU
+PVGTPCN&CKU[%JCKP
4GUGTXGF
4GUGTXGF
4GUGTXGF
4GUGTXGF
4GUGTXGF
4GUGTXGF
4GUGTXGF
4GUGTXGF
*
*
*
*
*
*
*
*

4GUGTXGF

(KIWTG  6GUV/QFG%QPVTQN4GIKUVGT



&55%%

<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

#FFTGUU
& & & & & &

& &

&

&

&

&

& &

&





  














  









































&KUCDNGF
&KUCDNGF
4Z%2KP
6Z%2KP
















%641WVRWV
%641WVRWV
4Z%2KP
6Z%2KP

&KUCDNGF
&KUCDNGF
4Z%2KP
6Z%2KP

%64%NQEM
5QWTEG










 &KUCDNGF
 4Z%2KP
 6Z%2KP
 &2..1WVRWV
 $4)1WVRWV
 $4)1WVRWV
 %641WVRWV
 %641WVRWV

$4)1WVRWV
$4)1WVRWV
4Z%2KP
6Z%2KP

%641WVRWV
%641WVRWV
4Z%2KP
6Z%2KP

&

&KUCDNGF
4Z%2KP
6Z%2KP
&2..1WVRWV
$4)1WVRWV
$4)1WVRWV
%641WVRWV
%641WVRWV

4GEGKXG%NQEM
5QWTEG

6TCPUOKV%NQEM
5QWTEG

&2..%NQEM
5QWTEG

$4)%NQEM
5QWTEG

$4)%NQEM
5QWTEG

%64%NQEM
5QWTEG

(KIWTG  %NQEM/QFG%QPVTQN4GIKUVGT

&55%%



<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

#FFTGUU
& & & & & &

& &

&

&

&

&

& &

&

&

$4)'PCDNG
$4)5KPING%[ENG%QPVKPWQWU










5VCVG1WVRWV
4Z#EMPQYNGFIG+PRWV
1WVRWV
1WVRWV

4Z#%2KP%QPVTQN

$4)'PCDNG
$4)UKPING%[ENGEQPVKPWQWU




























5VCVG1WVRWV
6Z#EMPQYNGFIG+PRWV
1WVRWV
1WVRWV

&KUCDNGF
04<04<+
$KRJCUG/CTM5RCEG
$KRJCUG.GXGN

Z%NQEM/QFG
Z%NQEM/QFG
Z %NQEM/QFG
4GUGTXGF

6Z#%2KP%QPVTQN

&2..
/QFG

&2..%NQEM
4CVG
#EEGRV%QFG8KQNCVKQPU
%644CVG/CVEJ&2..%64











Z%NQEM/QFG
Z%NQEM/QFG
Z %NQEM/QFG
Z %NQEM/QFG

%64%NQEM
4CVG

(KIWTG  *CTFYCTG%QPHKIWTCVKQP4GIKUVGT



&55%%

<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

#FFTGUU
& & & & & &

& &

&

&

&

&

& &

&

&

+8
+8
+8
+8
+8
+8
+8
+8
+8
4





  




















0QPG
&GXKEG5VCVWU
+15VCVWU
6TCPUOKV&CVC
6TCPUOKV5VCVWU
4GEGKXG&CVC
4GEGKXG5VCVWU
0QV7UGF

/QFKHKGF
8GEVQT
4

+8
4
+8
4
+8
4
+8
4

(KIWTG  +PVGTTWRV8GEVQT4GIKUVGT

&55%%



<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

#FFTGUU
& & & & & &

& &

&

&

&

&

& &

&

&





  














  














































+PRWV2KP
4Z%NQEM1WVRWV
4Z$[VG%NQEM1WVRWV
5;0%1WVRWV
$4)1WVRWV
$4)1WVRWV
%641WVRWV
&2..4Z1WVRWV

+PRWV2KP
6ZENQEM1WVRWV
6Z$[VGENQEM1WVRWV
6Z%QORNGVG1WVRWV
$4)1WVRWV
$4)1WVRWV
%641WVRWV
&2..1WVRWV

6Z&CVC1WVRWV
5VCVG1WVRWV
1WVRWV
1WVRWV

5VCVG1WVRWV
4Z4GSWGUV1WVRWV
1WVRWV
1WVRWV

5VCVG1WVRWV
6Z4GSWGUV1WVRWV
1WVRWV
1WVRWV

&%&+PRWV
&%&5;0%+PRWV
1WVRWV
1WVRWV

%65+PRWV
%65+PRWV
1WVRWV
1WVRWV





























4Z%2KP
%QPVTQN

6Z%2KP
%QPVTQN

6Z&2KP
%QPVTQN

4Z4'32KP
%QPVTQN

6Z4'32KP
%QPVTQN

&%&2KP
%QPVTQN

%652KP
%QPVTQN

(KIWTG  +1%QPVTQN4GIKUVGT



&55%%

<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

#FFTGUU
& & & & & &

& &

&

&

&

&

& &

&

&

&GXKEG5VCVWU+'
+15VCVWU+'
6TCPUOKV&CVC+'
6TCPUOKV5VCVWU+'
4GEGKXG&CVC+'
4GEGKXG5VCVWU+'










0WNNEQOOCPF
0WNNEQOOCPF
4GUGV+'
5GV+'

+'%QOOCPF

9
4GEGKXGF





  




















#NN
#NN
+15VCVWUCPF#DQXG
6TCPUOKV&CVCCPF#DQXG
6TCPUOKV5VCVWUCPF#DQXG
4GEGKXG&CVC
4GEGKXG5VCVWU1PN[
0QPG

8+5
.GXGN

8+5
08
&.%
/+'

(KIWTG  +PVGTTWRV%QPVTQN4GIKUVGT

&55%%



<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

#FFTGUU
& & & & & &

& &

&

&

&

&

& &

&

&

&GXKEG5VCVWU+2
+15VCVWU+2
6TCPUOKV&CVC+2
6TCPUOKV5VCVWU+2
4GEGKXG&CVC+2
4GEGKXG5VCVWU+2










0WNNEQOOCPF
4GUGV+2CPF+75
4GUGV+2
5GV+2

+2%QOOCPF

9
&GXKEG5VCVWU+75
+15VCVWU+75
6TCPUOKV&CVC+75
6TCPUOKV5VCVWU+75
4GEGKXG&CVC+75
4GEGKXG5VCVWU+75











0WNNEQOOCPF
0WNNEQOOCPF
4GUGV+75
5GV+75

+75%QOOCPF

9

(KIWTG  &CKU[%JCKP%QPVTQN4GIKUVGT



&55%%

<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

#FFTGUU
& & & & & &

& &

&

&

&

&

& &

&

&
$4)<%.CVEJGF7PNCVEJ
$4)<%NCVEJGF7PNCVEJ
&2..5;0%NCVEJGF7PNCVEJ
4%%1XGTHNQY.CVEJGF7PNCVEJ
%65
4
%65.CVEJGF7PNCVEJ
&%&
4
&%&.CVEJGF7PNCVEJ
6Z4'3
4
6Z4'3.CVEJGF7PNCVEJ
4Z4'3
4
4Z4'3.CVEJGF7PNCVEJ
6Z%
4
6Z%.CVEJGF7PNCVEJ
4Z%
4
4Z%.CVEJGF7PNCVEJ

(KIWTG  /KUEGNNCPGQWU+PVGTTWRV5VCVWU4GIKUVGT

&55%%



<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

#FFTGUU
& & & & & &

& &

&

&

&

&

& &

&

&

$4)<%+'
$4)<%+'
&2..5;0%+'
4%%1XGTHNQY+'














































&KUCDNGF
4KUKPI'FIG1PN[
(CNNKPI'FIG1PN[
$QVJ'FIGU

&KUCDNGF
4KUKPI'FIG1PN[
(CNNKPI'FIG1PN[
$QVJ'FIGU

&KUCDNGF
4KUKPI'FIG1PN[
(CNNKPI'FIG1PN[
$QVJ'FIGU

&KUCDNGF
4KUKPI'FIG1PN[
(CNNKPI'FIG1PN[
$QVJ'FIGU

&KUCDNGF
4KUKPI'FIG1PN[
(CNNKPI'FIG1PN[
$QVJ'FIGU

&KUCDNGF
4KUKPI'FIG1PN[
(CNNKPI'FIG1PN[
$QVJ'FIGU











%65
+PVGTTWRVU

&%&
+PVGTTWRVU

6Z4'3
+PVGTTWRVU

4Z4'3
+PVGTTWRVU

6Z%
+PVGTTWRVU

4Z%
+PVGTTWRVU

(KIWTG  5VCVWU+PVGTTWRV%QPVTQN4GIKUVGT



&55%%

<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

#FFTGUUZ
& & & & & &

& &

&

&

&

&

& &

&

&

4Z&#6

4

4Z&#6

4

4Z&#6

4

4Z&#6

4

4Z&#6

4

4Z&#6
4
4Z&#6

4

4Z&#6

4

4Z&#6
4
4Z&#6

4

4Z&#6

4

4Z&#6

4

4Z&#6

4

4Z&#6

4

4Z&#6

4

4Z&#6

4

(KIWTG  4GEGKXG&CVC4GIKUVGT

&55%%



<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

#FFTGUU
& & & & & &

& &

&

&

&

&

& &













  













'XGP
1FF
5RCEG
/CTM










&

&











$KVU
$KV
$KVU
$KVU
$KVU
$KVU
$KVU
$KVU

&KUCDNG+OOGFKCVGN[
&KUCDNG#HVGT4GEGRVKQP
'PCDNG9KVJQWV#WVQ'PCDNGU
'PCDNG9KVJ#WVQ'PCDNG

4Z
'PCDNG

4Z%JCTCEVGT
.GPIVJ

4Z2CTKV[
5GPUG
3WGWG#DQTV
4Z%4%'PCDNG
4Z%4%2TGUGV8CNWG

















  

















%4%%%+66
%4%
%4%
4GUGTXGF

04<
04<$
04<+/CTM
04<+5RCEG
$KRJCUG/CTM
$KRJCUG5RCEG
$KRJCUG.GXGN
&KHH$KRJCUG.GXGN

4Z%4%
2QN[PQOKCN

4Z&CVC
&GEQFKPI

(KIWTG  4GEGKXG/QFG4GIKUVGT



&55%%

<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

#FFTGUU
& & & & & &

& &

&

&

&

&

& &

&

&
4Z%JCTCEVGT#XCKNCDNG
4
4Z1XGTTWP
2CTKV['TTQT(TCOG#DQTV
%4%(TCOKPI'TTQT
4Z%8'16'1(
4Z$TGCM#DQTV
4Z+FNG
'ZKVGF*WPV
5JQTV(TCOG%82QNCTKV[
4
4GUKFWG%QFG
4
4GUKFWG%QFG
4
4GUKFWG%QFG
4





































































0WNN%QOOCPF
4GUGTXGF
2TGUGV%4%
'PVGT*WPV/QFG
4GUGTXGF
5GNGEV(+(15VCVWU
5GNGEV(+(1+PVGTTWRV.GXGN
5GNGEV(+(15VCVWU.GXGN
4GUGTXGF
4GUGTXGF
4GUGTXGF
4GUGTXGF
4GUGTXGF
4GUGTXGF
4GUGTXGF
4GUGTXGF

4GEGKXG
%QOOCPF
4

(KTUV$[VGKP'TTQT
4
5GEQPF$[VGKP'TTQT
4

(KIWTG  4GEGKXG%QOOCPF5VCVWU4GIKUVGT

&55%%



<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

#FFTGUU
& & & & & &

& &

&

&

&

&

& &

&

&
6%44GCF%QWPV6%
4Z1XGTTWP+#
2CTKV['TTQT(TCOG#DQTV+#
5VCVWUQP9QTFU
4Z%8'16'1(+#
4Z$TGCM#DQTV+#
4Z+FNG+#
'ZKVGF*WPV+#
4Z(+(1%QPVTQNCPF5VCVWU

(KNN+PVGTTWRV&/#.GXGN

(KIWTG  4GEGKXG+PVGTTWRV%QPVTQN4GIKUVGT



&55%%

<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

#FFTGUU
& & & & & &

& &

&

&

&

&

& &

&

&
45;0
45;0
45;0
45;0
45;0
45;0
45;0
45;0
45;0
45;0
45;0
45;0
45;0
45;0
45;0
45;0

(KIWTG  4GEGKXG5[PE4GIKUVGT

&55%%



<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

#FFTGUU
& & & & & &

& &

&

&

&

&

& &

&

&
4%.
4%.
4%.
4%.
4%.
4%.
4%.
4%.
4%.
4%.
4%.
4%.
4%.
4%.
4%.
4%.

(KIWTG  4GEGKXG%QWPV.KOKV4GIKUVGT



&55%%

<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

#FFTGUU
& & & & & &

& &

&

&

&

&

& &

&

&

4%%


4

4%%

4

4%%

4

4%%

4

4%%

4

4%%
4
4%%

4

4%%

4

4%%
4
4%%

4

4%%

4

4%%

4

4%%

4

4%%

4

4%%

4

4%%

4

(KIWTG  4GEGKXG%JCTCEVGT%QWPV4GIKUVGT

&55%%



<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

#FFTGUU
& & & & & &

& &

&

&

&

&

& &

&

&
6%
6%
6%
6%
6%
6%
6%
6%
6%
6%
6%
6%
6%
6%
6%
6%

(KIWTG  6KOG%QPUVCPV4GIKUVGT



&55%%

<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

#FFTGUUZ
& & & & & &

& &

&

&

&

&

& &

&

&

6Z&#6

9

6Z&#6

9

6Z&#6

9

6Z&#6

9

6Z&#6

9

6Z&#6
9
6Z&#6

9

6Z&#6

9

6Z&#6
9
6Z&#6

9

6Z&#6

9

6Z&#6

9

6Z&#6

9

6Z&#6

9

6Z&#6

9

6Z&#6
9

(KIWTG  6TCPUOKV&CVC4GIKUVGT

&55%%



<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

#FFTGUU
& & & & & &

& &

&

&

&

&

& &













  












&

&











$KVU
$KV
$KVU
$KVU
$KVU
$KVU
$KVU
$KVU

&KUCDNG+OOGFKCVGN[
&KUCDNG#HVGT4GEGRVKQP
'PCDNG9KVJQWV#WVQ'PCDNGU
'PCDNG9KVJ#WVQ'PCDNG

6Z
'PCDNG

4Z%JCTCEVGT
.GPIVJ

6Z2CTKV[GPCDNG










'XGP
1FF
5RCEG
/CTM

6Z2CTKV[
5GPUG
6Z%4%QP'1('1/
6Z%4%'PCDNG
6Z%4%2TGUGV8CNWG

















  



 %4%%%+66
 %4%
 %4%
4GUGTXGF

 04<
 04<$
 04<+/CTM
 04<+5RCEG
 $KRJCUG/CTM
 $KRJCUG5RCEG
 $KRJCUG.GXGN
 &KHH$KRJCUG.GXGN

6Z%4%
2QN[PQOKCN

6Z&CVC
&GEQFKPI

(KIWTG  6TCPUOKV/QFG4GIKUVGT



&55%%

<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

#FFTGUU
& & & & & &

& &

&

&

&

&

& &

&

&
6Z$WHHGT'ORV[
4
6Z7PFGTTWP
#NN5GPV
4
6Z%4%5GPV
6Z'1('165GPV
6Z#DQTV5GPV
6Z+FNG5GPV
6Z2TGCODNG5GPV




























0WNN%QOOCPF
4GUGTXGF
2TGUGV%4%
'PVGT*WPV/QFG
4GUGTXGF
5GNGEV(+(15VCVWU
5GNGEV(+(1+PVGTTWRV.GXGN
5GNGEV(+(15VCVWU.GXGN

6Z+FNG.KPG
%QPFKVKQP

6Z9CKVQP7PFGTTWP




































































0WNN%QOOCPF
4GUGTXGF
2TGUGV%4%
'PVGT*WPV/QFG
4GUGTXGF
5GNGEV(+(15VCVWU
5GNGEV(+(1+PVGTTWRV.GXGN
5GNGEV(+(15VCVWU.GXGN

5GPF(TCOG/GUUCIG
5GPF#DQTV
4GUGTXGF
4GUGTXGF
4GUGV&.'+PJKDKV
5GV&.'+PJKDKV
4GUGV'1('1/
5GV'16'1/

6TCPUOKV
%QOOCPF
9

(KIWTG  6TCPUOKV%QOOCPF5VCVWU4GIKUVGT

&55%%



<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

#FFTGUU
& & & & & &

& &

&

&

&

&

& &

&

&
6%44GCF%QWPV6%
6Z1XGTTWP+#
9CKVHQT5GPF%QOOCPF
6Z%4%5GPV+#
6Z'1('165GPV+#
6Z#DQTV5GPV+#
6Z+FNG5GPV+#
6Z2TGCODNG5GPV+#
6Z(+(1%QPVTQNCPF5VCVWU

(KNN+PVGTTWRV&/#NGXGN

(KIWTG  6TCPUOKV+PVGTTWRV%QPVTQN4GIKUVGT



&55%%

<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

#FFTGUU
& & & & & &

& &

&

&

&

&

& &

&

&

65;0
65;0
65;0
65;0
65;0
65;0
65;0
65;0
65;0
65;0
65;0
65;0
65;0
65;0
65;0
65;0

(KIWTG  6TCPUOKV5[PE4GIKUVGT

&55%%



<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

#FFTGUU
& & & & & &

& &

&

&

&

&

& &

&

&
6%.
6%.
6%.
6%.
6%.
6%.
6%.
6%.
6%.
6%.
6%.
6%.
6%.
6%.
6%.
6%.

(KIWTG  6TCPUOKV%QWPV.KOKV4GIKUVGT



&55%%

<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

#FFTGUU
& & & & & &

& &

&

&

&

&

& &

&

&

6%%
6%%
6%%
6%%
6%%
6%%
6%%
6%%
6%%
6%%
6%%
6%%
6%%
6%%
6%%
6%%

(KIWTG  6TCPUOKV%JCTCEVGT%QWPV4GIKUVGT

&55%%



<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

#FFTGUU
& & & & & &

& &

&

&

&

&

& &

&

&
6%
6%
6%
6%
6%
6%
6%
6%
6%
6%
6%
6%
6%
6%
6%
6%

(KIWTG  6KOG%QPUVCPV4GIKUVGT



&55%%

<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

#FFTGUU0QPG
& & & & & &

& &

&

&

&

&

& &

&

&

4%%
4Z1XGTTWP
2CTKV['TTQT(TCOG#DQTV
%4%'TTQT
4Z%8'16'1(
4%%(+(11XGTHNQY


5JQTV(TCOG%82QNCTKV[
4GUKFWG%QFG
4GUKFWG%QFG
4GUKFWG%QFG


(KTUV$[VGKP'TTQT
5GEQPF$[VGKP'TTQT
4GHGTVQ(KIWTG%JCPPGN%QPVTQN4GIKUVGT$KVU HQT#EEGUU/GVJQF

(KIWTG  4GEGKXG5VCVWU$NQEM4GIKUVGT

&55%%



<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

#FFTGUU0QPG
& & & & & &

& &

&

&

&

&

& &

&

&

4GUGTXGF



























$KVU
$KV
$KVU
$KVU
$KVU
$KVU
$KVU
$KVU

*&.%6Z.CUV
%JCTCEVGT.GPIVJ

4GUGTXGF
6Z5WDOQFG
6Z5WDOQFG
6Z5WDOQFG
6Z5WDOQFG
4GHGTVQ(KIWTG%JCPPGN%QPVTQN4GIKUVGT$KVU HQT#EEGUU/GVJQF

(KIWTG  6TCPUOKV5VCVWU$NQEM4GIKUVGT

#FFTGUU0QPG
& & & & & &

& &

&

&

&

&

& &

&

&
5JKHV4KIJV#FFTGUUGU
&QWDNG2WNUG+06#%$KV$WU

4GUGTXGF
5VCVG#NN2KPU
5GRCTCVG#FFTGUUHQT$KV$WU

/WUVDGRTQITCOOGFCU\GTQ

(KIWTG  $WU%QPHKIWTCVKQP4GIKUVGT



&55%%

ZiLOG

<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

2#%-#)'+0(14/#6+10

(KIWTG  2KP2.%%2CEMCIG&KCITCO

(KIWTG  2KP83(22CEMCIG&KCITCO


&55%%



<%
%/1575% 7PKXGTUCN5GTKCN%QPVTQNNGT

ZiLOG

14&'4+0)+0(14/#6+10
<%
/*\
2KP2.%%

<%85%

For fast results, contact your local ZiLOG sales office for
assistance in ordering the part desired.

%1&'5
2CEMCIG
6GORGTCVWTG
5RGGF
'PXKTQPOGPVCN

82NCUVKE.GCFGF%JKR%CTTKGT
5u%VQ u%
/*\
%2NCUVKE5VCPFCTF

'ZCORNG
< %  8 5 %

KUC<%/*\2.%%VQ %2NCUVKE5VCPFCTF(NQY
'PXKTQPOGPVCN(NQY
6GORGTCVWTG
2CEMCIG
5RGGF
2TQFWEV0WODGT
<K.1)2TGHKZ

1999 by ZiLOG, Inc. All rights reserved. Information in this


publication concerning the devices, applications, or technology
described is intended to suggest possible uses and may be
superseded. ZiLOG, INC. DOES NOT ASSUME LIABILITY
FOR OR PROVIDE A REPRESENTATION OF ACCURACY
OF THE INFORMATION, DEVICES, OR TECHNOLOGY
DESCRIBED IN THIS DOCUMENT. ZiLOG ALSO DOES
NOT ASSUME LIABILITY FOR INTELLECTUAL
PROPERTY INFRINGEMENT RELATED IN ANY
MANNER TO USE OF INFORMATION, DEVICES, OR
TECHNOLOGY DESCRIBED HEREIN OR OTHERWISE.


Except with the express written approval of ZiLOG, use of


information, devices, or technology as critical components of
life support systems is not authorized. No licenses are conveyed,
implicitly or otherwise, by this document under any intellectual
property rights.
ZiLOG, Inc.
910 East Hamilton Avenue, Suite 110
Campbell, CA 95008
Telephone (408) 558-8500
FAX (408) 558-8300
Internet: http://www.zilog.com
&55%%

Вам также может понравиться