Академический Документы
Профессиональный Документы
Культура Документы
General Chair
Byung Gook Park
Seoul National University, Korea
General Co-chair
Sharon Peng
President, IEEE Consumer Electronics Society, USA
Organizing Committee Chair
Seung Woo Seo
Seoul National University, Korea
-i-
ICEIC 2015
Technical Program Overview
On behalf of the Technical Program Committee of the 14th International Conference on Electronics,
Information, and Communication (ICEIC 2015), it is our pleasure to welcome all of you to the conference.
This year, among the papers from 13 countries from all over the world, the Technical Program Committee
selected 302 papers for presentation; 119 papers for oral presentation and 183 papers for poster presentation.
We did not make any distinction in terms of quality between these two presentations. Our committee made
the technical program with 5 parallel tracks, total of 22 oral presentation sessions and 5 poster presentation
sessions. Besides them, the committee arranged two plenary talks and four tutorials.
We would like to express our sincere gratitude to all the authors, the speakers, the reviewers, the chairpersons,
and the members of the Technical Program Committee. We hope that this conference will be valuable and
profitable for all participants.
Thank you very much.
Committee
Organizing Committee
Advisory Committee
General Chair
Byung Gook Park (Seoul National University, Korea)
General Co-chair
Sharon Peng (IEEE CE Society, USA)
Tutorial Chair
Yong Jin Yoon (Nanyang Technological University, Singapore)
Publicity Chair
Ji-Hoon Yun (Seoul National University of Science and Technology, Korea)
Local Chair
Seong-Woo Kim (Seoul National University, Korea)
- iii -
ICEIC 2015
Time Table
January 28 (Wed), 2015
15:00-17:00
17:30
Salon 1
Salon 2
Salon 5
08:30-15:00
Salon 6
Grand Salon 1
09:15-10:45
Totorial 1 (Salon 1)
Totorial 2 (Salon 2)
11:00-11:15
11:15-12:30
12:30-13:30
TO-2-1
Special Symposium
13:30-15:00 on Intelligent Control
and Robotics I
TO-2-2
System and
Control
TO-2-3
Communication
System and Wireless
Networking I
15:00-15:30
TO-2-4
Access Networks
and Systems I
TO-2-5
Emerging
Technologies
TO-3-4
ICT Convergence
TO-3-5
Low-Power and
High-Performance
Circuits and Systems
P-2
Special Symposium on
Semiconductor and Device,
System and Control
Grand Salon 1
Coffee Break
TO-3-3
Sensors and
Images
TO-3-1
Special Symposium
15:30-17:00 on Intelligent Control
and Robotics II
14:00
P-1
Special Symposium on
Semiconductor and Device
Salon 1
Salon 2
Salon 5
08:30-15:00
09:15-10:45
Salon 6
Tutorial 4 (Salon 2)
10:45-11:00
FO-1-1
Special Symposium on
Intelligent
11:00-12:30
Control and
Robotics
(Lab-to-Lab) I
Coffee Break
FO-1-2
Signal
Processing I
FO-1-3
Computer and
Information I
FO-2-2
Signal
Processing II
FO-2-3
Computer and
Information II
FO-1-4
FO-1-5
P-3
Communication Special Symposium on Computer and Information,
Software, Services, Semiconductor and
Emerging Technologies,
and Applications
Device I
Signal Processing
12:30-14:00
FO-2-1
Special Symposium on
Intelligent
14:00-15:30
Control and
Robotics
(Lab-to-Lab) II
15:30-15:45
15:45-17:15
Lunch
FO-2-4
Access Networks
and Systems II
FO-2-5
Special Symposium on
Semiconductor and
Device II
P-4
Telecommunications
FO-3-5
Special Symposium
on Semiconductor
and Device III
P-5
Signal Processing
Coffee Break
FO-3-3
Access Networks
and Systems III
FO-3-4
Communication
System and Wireless
Networking II
18:00
10:00-12:00
- iv -
Level 2
Floor Map
-v-
ICEIC 2015
General Information
Official Language
The official language of the conference is English.
Registration Desk
The registration desk hours:
Wednesday, January 28, 2015
15:00-17:00
08:30-15:00
08:3015:00
Oral Presentation
Please meet a session chair in your session at least 10 minutes before the session
starts. Bring unzipped PPT presentation file on USB memory and check the file with
AV staff in order to confirm that it is working properly. This is very important to pay
attention to this time frame. The visual equipment provided is only LCD projector.
Time assignment including discussion is as follow:
Tutorial: 90 minutes
Plenary: 90 minutes
Regular: 15 minutes
Poster Presentation
The dimensions of the poster board are 90cm (width) X 180cm (length). You need to attach
your poster on the poster board in your session room at least 10 minutes before the session
starts, and then remove your poster from the poster board after the session finishes.
- vi -
Social Program
Welcome Reception
Time: 17:30 ~
Date: Wednesday, January 28, 2015
The welcome reception will be held at the Grand Hyatt, Singapore. An invitation to the welcome
reception is extended to all participants including registered students.
Lunch
Time: 12:30 ~ 13:30
Date: Thursday, January 29, 2015
Place: Gallery
Banquet
Time: 18:00 ~
Date: Friday, January 30, 2015
Place: Gallery
We hope this banquet will offer you a good opportunity to promote friendship with
participants. Delicious food will be offered at the banquet. A banquet ticket is included
in the Regular Registration. Student Registration does not include the banquet.
- vii -
ICEIC 2015
CONTENT
Oral Session
TO-2-1 Special Symposium on Intelligent Control and Robotics I
Design and Control of Prosthetic Robot Hand for Dexterous Manipulation2
Seok Hwan Jeong1, Pyungkang Kim2, Young June Shin2, Kyung-Soo Kim1
(1KAIST, 2Agency for Defense Development, Korea)
Yet another tutorial of disturbance observer in view of robust stabilization and robust transient response4
Hyungbo Shim
(Seoul National University, Korea)
TO-2-2
A 12b 1.25MS/s SAR ADC for DMPPT Control for Photovoltaic System14
In-Su Cho, Min-Ki Kim, Sung-Chan Rho, Shin-Il Lim
(SeoKyeong University, Korea)
- viii -
TO-2-3
Energy Efficient Cross Layer Design Protocols using Distributed Method of Information
sharing in Wireless Sensor Networks-A review35
Prathibhavani P. M1, T. G. Basavaraju2
(Acharya Institute of Technology1, Govt. SKSJTI, Bangalore2)
TO-2-4
Relay Selection for Cooperative Jamming in Amplify-and-Forward Relay Network with Eavesdropper52
Yongyun Choi, Jae Hong Lee
(Seoul National University, Korea)
Power and Resource Allocation Scheme for Device-to-Device Communications Underlaying Uplink Cellular
Networks54
Gil-Mo Kang, Oh-Soon Shin
(Soogsil University, Korea)
- ix -
TO-2-5
Emerging Technologies
TO-3-1
Urban Post-Disaster Search and Rescue Solutions with Unmanned Aircraft Systems 91
Swee King Phang, Jinqiang Cui, Z. Y. Kevin Ang, Fei Wang, Xiangxu Dong, Yijie Ke, Shupeng Lai,
Kun Li, Xiang Li, Feng Lin, Jing Lin, Peidong Liu, Tao Pang, Biao Wang, Kangli Wang, Zhaolin Yang, Ben M. Chen*
(National University of Singapore)
-x-
TO-3-3
Fast Up-scaling Method for UHD Imaging System Using Combined Directional Interpolation and Local
Self-similarity96
Soohwan Yu, Wonseok Kang, Joonki Paik
(Chung-Ang University, Korea)
Robust Feature Extraction Algorithm for Out-of-Focus Images under Low Illumination97
Jinbeum Jang1, Yoonjong Yoo1, Jongheon Kim2, Joonki Paik1
(Chung-Ang University1, SK Hynix2, Korea)
Fast Semantic Place Recognition Using Bag of Features and Support Vector Machine98
Semi Jeon, Eunjung Chae, Eunsung Lee, Inhye Yoon, Joonki Paik
(Chung-Ang University, Korea)
A Dual-Exposure In-Pixel Charge Subtraction CTIA CMOS Image Sensor for Centroid
Measurement in Star Trackers102
Xinyuan Qian1, Menghan Guo1, Hang Yu1, Shoushun Chen2, Kay Soon Low1
(Satellite Research Center1, Nanyang Technological University2, Singapore)
TO-3-4
ICT Convergence
Optimal Power Allocation for Full Duplex Decode and Forward Relay Systems121
Taehoon Kwon, Joon Woo Lee*
(KISTI, Korea)
The Design of a Data Gathering Protocol for Cognitive Wireless Sensor Networks123
Chih-Shun Hsu, Pin-Jie Wang
(Shih Hsin University, Taiwan)
- xi -
TO-3-5
Energy-efficient DAC with floating and charge averaging switching for differential type SAR ADCs140
Ju Eon Kim1, Taegeun Yoo1, Dong-Kyu Jung1, Hong Chang Yeoh2, Kwang-Hyun Baek2
(Chung-Ang University, Korea1, Analog Devices Inc., USA2)
A SIMO DC-DC Buck Converter using Output Voltage-Related Charge Control Scheme142
Ngoc-Son Pham, WonJune Hwang, JuEon Kim, DongHyun Yoon, Kwang-Hyun Baek
(Chung-Ang University, Korea)
FO-1-1
- xii -
Obstacle Avoidance for Autonomous Driving in Clustered Pedestrian Environment: Framework and
Experimental Evaluation151
Wei Liu1, Seong-Woo Kim2, Marcelo H. Ang Jr.1
(National University of Singapore, Singapore1, Seoul National University, Korea2)
FO-1-2
Signal Processing I
Lattice Sphere Detection Techniques with Special Channel Matrices for Wireless Communication Systems160
Mahmoud A. M. Albreem
(University Malaysia Perlis, Malaysia)
Bag-of-Audio-Words Feature Representation Using GMM Clustering for Sound Event Classification170
Hyungjun Lim, Myung Jong Kim, Hoirin Kim
(KAIST)
FO-1-3
Reversible Data Hiding Using Enhanced Prediction for Progressive Image Transmission180
Hsiang-Cheh Huang1, Feng-Cheng Chang2, Wai-Chi Fang3, Ting-Hsuan Wang1
(National University of Kaohsiung1, Tamkang University2, National Chiao-Tung University3, ROC)
- xiii -
FO-1-4
A Massive MIMO Architecture for Highly Efficient mm-Wave Communications with Saturated Amplifiers194
Rui Dinis1,2, Paulo Montezuma1,2,3, Pedro Bento1,4, Marco Gomes1,4, Vitor Silva1,4
(Instituto de Telecomunicaes (IT)1, FCT Universidade Nova de Lisboa2, Instituto de Desenvolvimento de
Novas Tecnologias3, University of Coimbra4, Portugal)
Smartphone-based Train Tracking Information Systems for Awareness and Safety Enhancement202
Adhitya Bhawiyuga
(University of Brawijaya)
The Sub Optimal Number of Duplicated Packets Determining Method for Improving QoS in
High- Functional Node Network206
Akihiro Terashima, Katsunori Yamaoka
(Tokyo Institute of Technology, Japan)
FO-1-5
- xiv -
2-string AC-powered LED driver with current regulation reduction based on simple circuit225
Kilsoo Seo, Kihyun Kim, Hyungwoo Kim, Kyungho Lee, Jonghyun Kim
(KERI, Korea)
A 3.1-V 21-mW 1.5-Bit Fourth-Order Double-Sampled ADC with 92-dB Dynamic Range230
Young-Ouk Kim, Gil-Cho Ahn
(Sogang University, Korea)
A Serializer for Converting Parallel Data with Various Data Rates to Serial Data232
Keun-Seon Ahn1, Chang-Hyun Bae1,2, Changsik Yoo1
(Hanyang University1, Samsung Electronics2, Korea)
Investigation of the effect of gate space length variation on the retention characteristics in NAND flash array234
Joo Yun Seo, Dae Woong Kwon, Sang-Ho Lee, Byung-Gook Park
(Seoul National University, Korea)
FO-2-1
Functional Hip and Knee Joint Assistive Torque Controller for Gait Training242
Jinfu Li, Bingquan Shen, Chee-Meng Chew
(National University of Singapore, Singapore)
FO-2-2
Signal Processing II
Phase Error Compensation of Chirp Signal Generator Implemented on Direct Digital Synthesizer252
Heein Yang, Jae-Hyun Kim
(Ajou University, Korea)
- xv -
A compressed domain fast motion and no motion detection for multiple channel
IP video surveillance system254
Young-Woong Kim1, Yong-Jo Ahn1, Jae-Jin Lee2, Kyung-Jin Byun2, Dong-Gyu Sim1
(Kwangwoon University1, ETRI2, Korea)
The DVS Technique Based on Clipped LMS Filter for MPEG-2 Application257
Dongwan Kim, Sunshin An
(Korea University, Korea)
Modified Transform Skip Signaling Method for HEVC Screen Content Coding260
Dahee Lee, Seungha Yang, Hiuk Jae Shim, Byeungwoo Jeon
(Sungkyunkwan University, Korea)
FO-2-3
FO-2-4
- xvi -
Performance Evaluation of Emergency Vehicle Travel Support System Based on V2V Communications287
Hiroaki Minami, Atsushi Kinoshita, Yumi Takaki, Chikara Ohta, Hisashi Tamaki
(Kobe University, Japan)
FO-2-5
A new multiple frequency out of DLL with Glitch Elimination and Phase Interpolator for DDR4310
Wei-Bin Yang1, Chi-Hsiung Wang1, Kuo-Hsiang Hsu1, Han-Hsien Wang1, Yu-Yao Lin1, Horng-Yuan Shih1, Yu-Lung Lo2
(Tamkang University1, National Kaohsiung Normal University2, Taiwan)
Modeling and Simulation of a Pair-Wise Serial ROIC for Uncooled Microbolometer Array316
Syed Irtaza Haider, Sohaib Majzoub, Mohammed Alturaigi, Mohamed Abdel-Rahman
(King Saud University)
- xvii -
FO-3-3
FO-3-4
Optimal Relay Design for MIMO Relay Networks Using Gradient Projection349
Apriana Toding
(Universitas Kristen Indonesia Paulus, Indonesia)
The Analysis of Prophet on IBR-DTN for Digital Information Sharing System in Rural Area355
Magdalena Trie P.1, Eko Sakti P.2, Achmad Basuki3
(Brawijaya University)
- xviii -
FO-3-5
Design of Electrically Injected Photonic Crystal Laser with Oxide Current Guiding Layers374
Seonghyun Paik1, Seongjae Cho2, Byung-Gook Park3, James S. Harris, Jr.1
(Stanford University, USA1, Gachon University, Korea2, Seoul National University, Korea3)
Improvement of On-Off Current Ratio in Vertical Electron-Hole Bilayer Tunnel Field-Effect Transistors
(V-EHBTFETs)376
Sang Wan Kim1, Seongjae Cho2, Jang Hyun Kim3, Byung-Gook Park3, Woo Young Choi4
(University of California, Berkeley, USA1, Gachon University, Korea2, Seoul National University, Korea3, Sogang University, Korea4)
A Practical Solution to Ringing Error Problems with Lucy-Richardson Deconvolution for Spatiotemporal SRAM
Margin Variation Effect Analyses378
Hiroyuki Yamauchi, Worawit Somha
(Fukuoka Institute of Technology, Japan)
- xix -
Poster Session
PS-1
A Wide Output Range Step-Up DC-DC Converter with Maximum 92.5% Power Efficiency for High Voltage
Application391
Joo-Young Chun, Young-Jun Park, Juri Lee, Kang-Yoon Lee
(Sungkyunkwan University, Korea)
Trapped Electron Retention Depending on Erase States in SONOS Type NAND Flash Memory395
Do-Bin Kim, Dae Woong Kwon, Byung-Gook Park
(Seoul National University, Korea)
The Fast Switching LED Driver Using Current Peaking and Carrier Sweep Out Techniques407
for High Speed Visual Light Communication System
Chang Kyu Lee1,2, Seong Jin Oh2, Kang Yoon Lee2
(Samsung Electronics CO., Ltd., Korea1, Sungkyunkwan University, Korea2)
- xx -
Positive Bias Temperature Instability in Solution Processed InGaZn Oxide Thin Film Transistors415
Ji-Hwan Kim, Eung-Kyu Park, Min-Ho Park, Dong-Hoon Lee, Dawoon Han, Yong-Sang Kim*
(Sungkyunkwan University, Korea)
A 1.8-6 Gb/s Clock and data recovery circuit (CDR) with pre-locking loop419
Dongha Lee, Jongyeon Lee, Jinwook Burm
(Sogang University, Korea)
A 12bit 50MS/s Pipelined ADC with High Performance S/H for CMOS Image Sensors423
Jongyeon Lee, Jinyoon Jang, Jinwook Burm
(Sogang University, Korea)
Stability enhancement of IZO thin film transistor using SU-8 passivation layer427
Sang-jo Kim, Ji-hoon Shin, Yong-jin Im, Seung-soo Ha, Chan-hee Park, Moonsuk Yi
(Pusan National University, Korea)
A Proximity-Based Motion Gesture Sensor with a single LED for Thin Mobile Devices435
Jeong Seok Kim, Yong Sin Kim
- xxi -
Sensitivity Analysis for Random Dopant Fluctuation in DG MOSFET using Regression Model437
Yong Hyeon Shin, Edward Namkyu Cho, Ilgu Yun
(Yonsei University, Korea)
Static and Dynamic analysis of Split-gate Nitride Resurf Stepped Oxide (SNRSO) MOSFETs
for 100V applications439
Doohyung Cho1,2, Kwanhoon Song1, Sanggi Kim2, Kwangsoo Kim1
(Sogang University, Korea1, Electonics and Telecommunications Research Institute (ETRI), Korea2)
Improved Reverse Recovery Characteristic for 50V Power MOSFET with Integrated Schottky Body Diode451
Byunghwa Lee, Doohyung Cho, Kwangsoo Kim
(Sogang University, Korea)
An Improved 4H-SiC Trench MOS Barrier Schottky (TMBS) Rectifier Made with High-k Material Layer453
Seulgi Sim, Kwangsoo Kim
(Sogang University, Korea)
A design of new voltage-to current converters with high linearity and wide tuning range455
Min-Hyeong Cho, Won-Ho Lee, Hi-Seok Kim, ,Hyeong-Woo Cha
(Cheongju University, Korea)
A BGA Ball Minimization Technique for the SoC Package Design Process459
Baekseok Ko1, Joowon Kim2, Jaemin Rwoo2, Chulsoon Hwang2, Jeong Cho1, Soo-Won Kim1
(Korea University, Korea1, Samsung Electronics co. Ltd., Korea2)
A 6-bit Current-Steering DAC for High Speed Current Mode SAR ADC463
Wen-Liang Ma, Jae-Koo Park, Se-Jin Baik, Sang-Yun Kim, Jong-Min Baek, Kee-Won Kwon
(Sungkyunkwan University, Korea)
PS-2
Extinction ratio enhancement of triangular resonator with surface plasmon resonance mirror470
Tae-Ryong Kim1, Hong-Seung Kim1, Guem-Yoon Oh1, Doo-Gun Kim2, Young-Wan Choi1,*
(Chung-Ang University, Korea1, Photonics Bio Research Center, Korea2)
The Study for the Performance Analysis of the Stereo Radiation Detector479
Young-Gwan Hwang, Nam-Ho Lee, Jong-Yeol Kim, Sang-Hun Jeong
(Korea Atomic Energy Research Institute)
- xxiii -
An improved adaptive on-time control scheme for reducing switching frequency variation in
valley current mode buck converters487
Hyeok-Kyu Kwon, Dong Keon Lee, Suncheol Yang, Raehong Kim, Hang-Geun Jeong
(Chonbuk National University, Korea)
A 6-b 1-GS/s Adaptive Input Dynamic Range ADC Using Double Reference-Level for Radar System495
Hye-In Lee1, You-Sun Won1, Chung-Hwan Kim2, Sang-Gug Lee1
(KAI S T, Korea1, Wooriro Optical Telecom Co., LTD., Korea2)
A Study on On-Board Energy Storage system for Energy Regenerated from Electric Train501
Hanmin Lee
(Korea Railroad research Institute)
A Design of 4-Gb/s, 380-W Low-Power Optical Receiver Front-End in 65-nm CMOS Technology511
Haram Ju, Gyu-Seob Jeong, Woorham Bae, Deog-Kyoon Jeong
(Seoul National University, Korea)
- xxiv -
Autonomous Navigation of the Mobile Robot using the Exterior Penalty Function Method519
Young-Jong Jung, Gon-Woo Kim
(Chungbuk Natl University, Korea)
The development of Multiple Encoder Interface SoC for Industrial control system528
Seong Jin Cho, Seung Hyun Yun, Jae Wook Jeon*
(Sungkyunkwan University, Korea)
Design of High Voltage Gate Driver in DMPPT Control for Photovoltaic System536
Byung-Hun Yoon, Min-Ki Kim, Hee-Jin Kang, Shin-Il Lim
(Seokyeong University, Korea)
Frequency Characteristic Analysis to Reduce the False Alarm by Using Electronic Security
Fence of Friction Electricity Sensor544
SeokJin Yun1, Seoyeon Won1, Hiesik Kim1, Youngcheol Lee2, Wooyoung Jang2
(University of Seoul, Korea1, GES Co., Ltd., Korea2)
- xxv -
PS-3
PCI Express Gen3 Switch Card Development by Using High-Speed Signal Integrity Analysis547
Won-ok Kwon, Young Woo Kim, Hagyoung Kim
(Cloud Computing Research Department Electronics and Telecommunications Research Institute, Korea)
Sintering Temperature Effects of (Bi, Na)TiO3-based Composites for Piezoelectric Energy Harvester584
Young-Hoon Kwon, Gun-Hyun Lee, Jung-Hyuk Koh*
(Chung-Ang University, Korea)
Analysis of Digital Development Process (DDP) for Intravascular Ultrasound (IVUS) Image596
Seon Mi Ji1, Sung Min Kim1, Soo Chan Kim2, Jong Seob Jeong1,*
(Dongguk University, Korea1, Hankyong National University, Korea2)
- xxvii -
Development of a Dementia-screening Application using Urine Test and Depression Check in a Smartphone602
Myeon-gyun Cho
(Semyung University, Korea)
Automatic Flower Image Segmentation Using Color Similarity and Watershed Algorithm in
Natural Background Image607
Hosung Lee, Hyo-Haeng Lee, Kwang-Seok Hong
(Sungkyunkwan University, Korea)
PS-4
Telecommunications
Design of optical delay line architecture for automotive radar test system621
Byung-Hee Son, Kwang-Jin Kim, Ye Li, Young-Wan Choi
(Chung-Ang University, Korea)
Micromachined Composite Right/Left-Handed Unit Cell using Gold-Coated Silicon for V-band Applications625
Kyeongseob Kim, Ik-Jae Hyeon, Chang-Wook Baek, Sungjoon Lim
(Chung-Ang University, Korea)
- xxviii -
Performance Analysis of Reliable AL-FEC Mechanism for SOTM systems in DVB-RCS Networks631
Kyu-Hwan Lee1, Hyun-Ki Jung1, Mi-Jeong Hoh2, Jae-Hyun Kim1
(Ajou University1, Agency for Defense Development2)
Efficient Location-based Routing Protocol for Border Surveillance Wireless Sensor Networks635
Jaekeun Yun1, Daehee Kim1, Noureddine Boudriga2, Sunshin An1
(Korea University, Korea1, Communication Networks and Security Research Lab., University of Carthage, Tunisia2)
An Outlier-based Heavy User Detection and Penalization Algorithm for the Fair Bandwidth
Allocation in the Internet645
Young-Min Kim, Hak-Suh Kim, Hea-Sook Park
(Electronics and Telecommunications Research Institute)
- xxix -
A Relay Selection Method Based on Ergodic Capacity For Amplify-and-Forward MIMO Relays650
Roger K. Ahiadormey, Kyoung-Jae Lee
(Hanbat National University, Korea)
Effect of Line-of-Sight Wave on Performance of Simple Matched Filter in Massive MIMO Systems666
Ji-Ho Lee, Young-Jun Kim, Young-Chai Ko
(Korea University, Korea)
A vertical handover management scheme based on decision modeling in heterogeneous wireless networks668
Murad Khan, Junhyung Kim, Jeongbae Yun, Keuchul Cho, Paul Chiedozie Uzoh, Cao Zhenbo, Kijun Han*
(Kyungpook National University, Korea)
Cross-Layered Packet Length Aware Sleep Algorithm for the Efficient Video Streaming Service674
Taewook Chung, Chulho Chung, Jaesoek Kim
(Yonsei University, Korea)
Characterization of computer generated hologram for use of LCoS-based wavelength selective switch682
Yong-Min Lee1, EunSang Jang2
(Sun Moon University, Korea1, East Photonics, Korea2)
- xxx -
Generation of Correlated Underwater Acoustic MISO Channel Signal and Its Ergodic Channel Capacity698
Joonsuk Kim1, Il-Suek Koh2, Yongshik Lee1
(Yonsei University, Korea1, Inha University Korea2)
Miniaturization of Transmission Line Using Shunt Capacitors with Minimized Bandwidth Shrinkage702
Taejun Lim, Yongshik Lee
(Yonsei University, Korea)
PS-5
Signal Processing
Fast Intra Coding Method with Reduced RDO Complexity Using Regional Edge Information in HEVC709
Byungjin Chung, Changhoon Yim
(Konkuk University, Korea)
- xxxi -
Formant refinement algorithm using K-means clustering for HMM-based speech synthesis714
Sunghee Jung, Sangjun Park, Keunseok Cho, Minsoo Hahn
(KAIST, Korea)
Restricted Intra Block Copy Search for Fast Encoding of HEVC Screen Content Coding717
Jonghyun Ma, Xiangjian Wu, Youngwoong Kim, Donggyu Sim
(Kwangwoon University, Korea)
Skin color segmentation using training set partitioning and multiple elliptical Gaussian models731
Young-Ji Yoon, Oh-Yeol Kwon, Sung-Il Chien
(Kyungpook National University, Korea)
Analysis of Micro Doppler Detection algorithms for Human Motion Gesture in Software Defined Radar739
Jung S. Jung1, Myeong S. Park2, In S. Woo1, Young K. Kwag1
(Korea Aerospace University1, Korea Aerospace University Radar Research Institute2)
Noise Power Scheduling for Online Secondary Path Modeling in Multi-channel Feedback ANC Systems743
J.B. Seo, S.W. Nam
(Hanyang University, Korea)
- xxxii -
Combining Edge-aware Disparity Propagation and Iterative Voting for Stereo matching758
Eunah Choi, Min Choi , Changkyoung Eem, Hyunki Hong
(Chung-Ang University, Korea)
Pedestrian detection Using individual feature information from multiple pedestrian tracking process764
Jeong-Muk Kim, Ramesh Kumar Lama, Dibash Basukala, Suk-seung Hwang, Jae-Young Pyun, Goo-Rak Kwon
(Chosun University, Korea)
A Real-Time Eye Gaze Correction Method based on Head Pose Estimation in a Calibrated Environment766
Chia-Wei Lim, Moonsoo Ra, Whoi-Yul Kim
(Hanyang University, Korea)
- xxxiii -
Online Gesture Recognition Using Template Matching Method with Particle Swarm Movement780
Clementine Nyirarugira, Tae Yong Kim
(Chung-Ang University, Korea)
A direct power measure method for LDO regulator of power management IC797
Young-Min Lim1,2, Dong-Soo Lee1, Ji-Hoon Kang1, Dam Yoon1, Jung-Woo Ha2, Kang-Yoon Lee1
(Sungkyunkwan University, Korea1, Samsung Electronics, Korea2)
Remote Inspection of Injected Sealant on Car Body Assembly by Using Small Camera799
Jae Hong Joo*, Hie Sik Kim, Gi Doo Kim, Seung Jae Lee, Seung Ho Han
(University of Seoul, Korea)
- xxxiv -
ICEIC 2015
Oral Session
TO-2-1
Special Symposium on Intelligent
Control and Robotics I
TO-2-1-1
'HVLJQDQG&RQWURORI3URVWKHWLF5RERW+DQGIRU'H[WHURXV0DQLSXODWLRQ
6HRN+ZDQ-HRQJ3\XQJNDQJ.LP<RXQJ-XQH6KLQDQG.\XQJ6RR.LP
'HSDUWPHQWRI0HFKDQLFDO(QJLQHHULQJ.$,675HSRI.25($
$JHQF\IRU'HIHQVH'HYHORSPHQW5HSRI.25($
N\XQJVRRNLP#NDLVWDFNU.6.LP
$EVWUDFW
,QWKHSDSHUDURERWKDQGLVGHVLJQHGIRUSURVWKHVLV,Q
RUGHUWRDFKLHYHWKHOLJKWZHLJKWEXWKLJKJUDVSLQJ IRUFH
ZH SUHVHQW WKH UHFHQW UHVXOWV RQ WKH GLVWULEXWHG DFWXDWLRQ
SULQFLSOHDQGWKHWZLVWHGVWULQJDFWXDWLRQZLWKGXDOPRGHV
$OVRWKHSUHOLPLQDU\ZRUNVIRULQWHUIDFLQJWKHURERWKDQGV
WRKXPDQZLOOEHSUHVHQWHG
.H\ZRUGV5RERWKDQGSURVWKHVLVDFWXDWLRQPHFKDQLVP
,QWURGXFWLRQ
7KHUHKDYHEHHQPDQ\DSSURDFKHVWRGHYHORSWKHURERW
KDQGVLQYDULRXVSXUSRVHV)RUH[DPSOHDKXPDQRLGURERW
QHHGVURERWKDQGVIRUUHDOL]LQJWKHKXPDQKDQGIXQFWLRQV
$OVR D JULSSHU IRU LQGXVWULDO URERWV PD\ EH YLHZHG DV D
URERW KDQG 7KHVH NLQGV RI URERW KDQGV IRU URERWLF
RSHUDWLRQ DUH DOORZHG WR EH UHODWLYHO\ KHDY\ WR VRPH
H[WHQWDQGRSHUDWHZLWKVLPSOHIXQFWLRQVVXFKDVJULSSLQJ
+RZHYHU LQ WHUPV RI SURVWKHVLV WKH URERWLF KDQG GHVLJQ
EHFRPHVYHU\WRXJKLWVKRXOGEHOLJKWKDYHODUJHJUDVSLQJ
IRUFH HJ D KXPDQ URERW ILQJHU ZKLFK LV PHUHO\ OLJKWHU
WKDQJFDQJHQHUDWHXSWR1DQGEHGH[WHURXV$QG
PRUHRYHU WKH SURVWKHWLF URERW KDQG VKRXOG KDYH WKH
PRELOLW\DQGUHODWLYHO\ORQJWHUPXVH&RQVLGHULQJDOOWKHVH
WKH FRQYHQWLRQDO DSSURDFKHV PD\ QRW EH HIIHFWLYH WR WKH
SURVWKHWLF URERW KDQG GHVLJQ 7KURXJK WKH SDSHU ZH
SUHVHQW WKH UHFHQW UHVXOWV RQ WKH GLVWULEXWHG DFWXDWLRQ
SULQFLSOHWKHWZLVWHGVWULQJDFWXDWLRQZLWKGXDOPRGHVDQG
WKHGHYHORSHGURERWKDQG$OVRWKHSUHOLPLQDU\ZRUNVIRU
LQWHUIDFLQJWKHURERWKDQGVWRKXPDQZLOOEHSUHVHQWHG
1HZDFWXDWLRQPHFKDQLVPV
$FFRUGLQJ WR WKH PXVFOHDQGMRLQW DUFKLWHFWXUH RI WKH
KXPDQ ILQJHUV VLPSOH EHQGLQJ RU H[WHQGLQJ PRWLRQV DUH
REWDLQHG QRW E\ WKH OXPSHG DFWXDWLRQ EXW E\ WKH VSDWLDOO\
GLVWULEXWHGDFWXDWLRQRIDQXPEHURIPXVFOHVRYHUDILQJHU
-2-
)LJ&RQFHSWRIDGXDOPRGHURERWILQJHU>@
)LQJHUWLS)RUFHNJI
ICEIC 2015
,QGH[)LQJHU
0LGGOH)LQJHU
(VWLPDWHG,QGH[
(VWLPDWHG0LGGOH
7LPHV
)LJ)LQJHUWLSIRUFHHVWLPDWLRQUHVXOWE\DSURSRVHG
PRGHOXVLQJVXUIDFH(0*V
)LJ7KHURERWKDQGZLWKWKHGXDOPRGHDFWXDWLRQ>@
)LJ&RQFHSWRIDFWLYHGXDOPRGHDFWXDWLRQ
XSWRGHJUHHVLQHDFKPRGH>@
+RZHYHULWLVGLIILFXOWWRXVHWKHGXDOPRGHDFWXDWLRQLQ
UHDO HQYLURQPHQW EHFDXVH RI LQFRQVLVWHQW FRQGLWLRQ RI
PRGHFKDQJHDQGFRPSOH[H[WHQGLQJSURFHGXUHFDXVHGE\
WKH SDVVLYH EUDNH 7R VROYH WKHVH SUREOHPV DFWLYH GXDO
PRGHDFWXDWLRQKDVEHHQQHZO\SURSRVHGDVVKRZQLQ)LJ
8VLQJ D ORZ SRZHU PLQLDWXUH '& PRWRU LQVWHDG RI WKH
SDVVLYH EUDNH WKH DFWLYH GXDOPRGH UHDOL]HV DFWLYH PRGH
FKDQJH DQG VLPSOH H[WHQGLQJ SURFHGXUH 7KURXJK WKLV
PHFKDQLVPFRPSDFWDQGDFWLYHSRZHUWUDQVPLVVLRQV\VWHP
FDQEHUHDOL]HG
,QWHUIDFLQJWR+XPDQ
(OHFWURP\RJUDSK\ (0* LV D UHODWLYHO\ VWURQJ DQG
GLUHFWVLJQDORIKXPDQLQWHQVLRQIRUSURVWKHVHVFRQWURO,Q
WKH SDVW WKUHH GHFDGHV FODVVLILFDWLRQ EDVHG DSSURDFKHV
KDYHDFKLHYHGTXLWHKLJKDFFXUDFLHVIRUGLVFUHWHQXPEHURI
JHVWXUHV >@ +RZHYHU IRU DQ LQWXLWLYH DQG VLPXOWDQHRXV
FRQWURO RI VHYHUDO ILQJHUV WKLV PHWKRG LV QRW VXLWDEOH
EHFDXVHLWFDQFRQWURORQO\RQHGHJUHHRIIUHHGRPDWDWLPH
,QVWHDG ZH SURSRVH D PXVFXORVNHOHWDO PRGHO ZKLFK
SUHGLFWV D ILQJHUWLS IRUFH ZLWK VXUIDFH (0*V 7KH PRGHO
FRQWDLQV D FRQFHSW RI PXVFOH SK\VLRORJ\ DQG H[SODLQV
QRQOLQHDULW\ RI PXVFOHWRIRUFH UHODWLRQVKLS DQG FRXSOLQJ
EHWZHHQILQJHUV,QWKHH[SHULPHQWIRUDQDEOHERGLHGZLWK
VXUIDFH (0* VHQVRUV WKH PRGHO SUHGLFWHG LQGH[ DQG
PLGGOH ILQJHUWLS IRUFHV SURSRUWLRQDOO\ DQG VLPXOWDQHRXVO\
)LJ)RUWKLVVXEMHFW3HDUVRQVFRUUHODWLRQFRHIILFLHQWV
IRULQGH[DQGPLGGOHDUHDQGUHVSHFWLYHO\
-3-
&RQFOXGLQJ5HPDUNV
,Q WKLV SDSHU ZH KDYH SURSRVHG QRYHO FRQFHSWV RI
DFWXDWLRQ PHFKDQLVP IRU SURVWKHWLF URERW KDQG IRU
DFKLHYLQJWKHIDVWEHQGLQJVSHHGDQGODUJHJUDVSLQJIRUFH
,Q DGGLWLRQ DQ V(0*EDVHG KXPDQURERWLQWHUIDFH LV
VXJJHVWHG ZKLFK DOORZV WKH DFFXUDWH HVWLPDWLRQ RI WKH
LQGH[DQGPLGGOHILQJHUWLSIRUFHV7KURXJKWKHVHUHVXOWVLW
LVH[SHFWHGWKDWWKHSURSRVHGURERWKDQGFDQEHFRQWUROOHG
E\DQRSHUDWRUEDVHGRQKLVKHUPRWLRQLQWHQWLRQLQWXLWLYHO\
$FNQRZOHGJPHQW
7KLVUHVHDUFKZDVVXSSRUWHGE\%DVLF6FLHQFH5HVHDUFK
3URJUDP WKURXJK WKH 1DWLRQDO 5HVHDUFK )RXQGDWLRQ RI
.RUHD15)IXQGHGE\WKH0LQLVWU\RI(GXFDWLRQ5HSRI
.RUHD
5HIHUHQFHV
>@<-6KLQDQG.6.LP'LVWULEXWHG$FWXDWLRQ0HFKDQLVP
IRU D )LQJHUW\SH 0DQLSXODWRU 7KHRU\ ([SHULPHQWV ,(((
7UDQVDFWLRQVRQ5RERWLFVSS-XQH
>@ 7 :XUW] & 0D\ % +RO] & 1DWDOH * 3DOOL DQG &
0HOFKLRUUL 7KHWZLVWHGVWULQJDFWXDWLRQV\VWHP0RGHOLQJDQG
FRQWURO ,((($60( ,QWHUQDWLRQDO &RQIHUHQFH RQ $GYDQFHG
,QWHOOLJHQW0HFKDWURQLFVSS-XO\
>@<-6KLQ+-OHH.6.LPDQG6.LP$5RERW)LQJHU
'HVLJQ XVLQJ D 'XDO0RGH 7ZLVWLQJ 0HFKDQLVP WR $FKLHYH
+LJK6SHHG 0RWLRQ DQG /DUJH *UDVSLQJ )RUFH ,(((
7UDQVDFWLRQVRQ5RERWLFVSS'HFHPEHU
>@<-6KLQ.+5HZ.6.LPDQG6.LP'HYHORSPHQW
RI $QWKURSRPRUSKLF 5RERW KDQG ZLWK 'XDOPRGH 7ZLVWLQJ
$FWXDWLRQDQG(OHFWURPDJQHWLF-RLQW/RFNLQJ0HFKDQLVP,(((
,QWHUQDWLRQDO&RQIHUHQFHRQ5RERWLFVDQG$XWRPDWLRQSS
0D\
>@ ( 6FKHPH DQG . (QJOHKDUW (OHFWURP\RJUDP SDWWHUQ
UHFRJQLWLRQ IRU FRQWURO RI SRZHUHG XSSHUOLPE SURVWKHVHV VWDWH
RI WKH DUW DQG FKDOOHQJHV IRU FOLQLFDO XVH -RXUQDO RI
UHKDELOLWDWLRQUHVHDUFKDQGGHYHORSPHQWSS6HSWHPEHU
TO-2-1-2
we may not tune all the controllers in a massproduction of control systems one-by-one. Rather, we
need another inner-loop controller, whose role is just to
make the real plant under external disturbance become
a nominal plant without disturbance. If this task is
achieved at least approximately, then the outer-loop
controller that is designed just for the nominal plant
will work well in the real closed-loop system, showing
the nominal transient as well as steady-state
performance.
Abstract
In this presentation, recent findings on disturbance
observer are briefly reviewed, and we discuss how to
use the disturbance observer as a tool for robust
control; in particular, for robust transient behavior
and robust stabilization.
keywords: disturbance observer, robust transient
behavior, robust control
This is the underlying idea of the disturbance-observerbased robust control, and Ill discuss what has been
done in the past few years in this direction of research.
-4-
TO-2-1-3
Abstract
Conventional actuators have been used for a long time
in biomedical application. However, the rigid nature of
the actuators has a lot of drawbacks when it comes in
contact with human body especially for rehabilitation
applications. Recently, soft elastomeric actuators are
drawing attention due to their high compliance and
customizability. In this paper, a soft elastomeric
actuator and its actuation characteristics such as bend
radius and force output will be presented. This work
serves as a guideline for designing soft elastomeric
actuators with application-specific requirements such
as soft exoskeleton for rehabilitation. The
rehabilitation application of the actuators will also be
demonstrated in this work.
Keywords:
Exoskeleton
Soft
Actuators,
Wearable,
Hand
1. Introduction
Soft robotics is an emerging field that seeks to
replace traditional rigid robots, particularly in
applications where complex and expensive hard robots
are deemed unsuitable [1-3]. Compared to hard robots
that require a complex mechanical structure, soft
robots involve very simple design and control to
generate desired actuation. Complex motion can be
integrated into a simple and soft structure, to achieve a
range of actuation from a single degree-of-freedom
movement to robot with multiple modes of gait [2-4].
2. Fabrication of Actuators
The soft elastomeric actuator was fabricated using
two different types of silicone rubber (Table 1). The
actuator was embedded with air chambers that inflate
-5-
ICEIC 2015
upon pressurization. In order to attain a bending
motion, a layer of strain-limiting fabric was attached to
the bottom surface of the actuator. Upon
pressurization, the top surface of the actuator expands
due to the inflation of the embedded air chambers,
while the elongation of bottom surface remained
unchanged (Fig 1). As a result, bending movement
and torque were produced during actuation.
Figure 4. Prototype of soft wearable exoskeleton with soft
actuator a) Front View b) During Actuation
Shore Hardness
00-30
A-20
3. Actuator Characterization
3.1 Bend radius - Pressure
Figure 2 shows the relationship between bend
radius of the bending actuator and input pressure. For
Ecoflex 00-30, the minimum bend radius achieved was
12mm at 26kPa; For Dragon Skin 20, the minimum
bend radius was 30mm at 210kPa. The actuator
responded faster at first and slowly reached its steady
state. When the bend radius reached the steady state,
further increase in input pressure did not result in much
changes of the bend radius.
5. Conclusion
This work characterizes few design parameters such
as bend radius and force output of the soft elastomeric
actuator. Preliminary results have proved the feasibility
of developing application such as wearable hand
exoskeleton using soft elastomeric actuator.
References
[4] Martinez, R.V., et al., Robotic Tentacles with ThreeDimensional Mobility Based on Flexible Elastomers.
Advanced Materials, 2013. 25(2): p. 205-212.
-6-
TO-2-1-4
Abstract
In this paper, we propose a tele-presence robotic
system which integrates human robot synchronization
and audio-video conferencing technology. By using the
motion sensing input device, the social robot Adam is
able to reproduce a persons dynamic upper body
posture that represents his nonverbal behavior, thus
creating a feeling of presence for his communicator.
The proposed system can provide with users a
multimodal communication experience and enhance
the level of interaction between local user and his
remote communicator. Experiments show that the
system can increase the interactivity and the
effectiveness of communications between humans.
Keywords: Social Robot, Gesture Mapping, Telepresence, Kinect
1. Introduction
2. System Configuration
The proposed tele-presence robotic system consists
of three parts, namely the audio-video conferencing
software, the skeleton analysis module and the social
robot Adam. The audio-video conferencing software is
a mature and convenient tool to transmit video and
voice signal for verbal communication between users.
The skeleton analysis module is responsible for
analyzing the 3D skeleton point coordinates that are
extracted from the images of the remote users upper
body captured by Kinect sensor. The social robot
Adam will synchronize the remote users upper body
posture according to result of joint angle computation
in the skeleton analysis module.
-7-
ICEIC 2015
joint positions so as to realize human robot posture
synchronization.
y
z
RS2
RS
Direction of the
Kinect Sensor
RS1
R1
n1
RE
L1 L2
L1 L2
RE arccos
R1 R 2
R1 R 2
References
n1 R 1
n1 R 1
ny R 1
ny R 1
[3] J. Cabibihan, W.-C. So, and S. Pramanik, Humanrecognizable robotic gestures, IEEE Transactions on
Autonomous Mental Development, vol. 4, no. 4, pp. 305
314, 2012.
-8-
TO-2-1-5
,QWHJUDWHG'HVLJQRID)OH[XUH%DVHG$FWXDWRU8VLQJ*.<3/HPPD
+DL\XH=KX&KHH.KLDQJ3DQJDQG7DW-RR7HR
1
Department of Electrical and Computer Engineering, National University of Singapore
2
Singapore Institute of Manufacturing Technology, A*STAR, Singapore
E-mail {a0094169, justinpang}@nus.edu.sg tjteo@SIMTech.a-star.edu.sg
GHQRPLQDWRU SRO\QRPLDOV e.g dp(p) ZKHUH p GHQRWHV D
YHFWRURIGHVLJQSDUDPHWHUVWREHGHWHUPLQHG$FFRUGLQJO\
DVWDQGDUGQHJDWLYHIHHGEDFNFRQWUROOHUKLVIRUPXODWHGDV
nk Ok
K
dk
ZKHUHkUHSUHVHQWVWKHGHVLJQSDUDPHWHUVLQWKHFRQWUROOHU
7KH VHQVLWLYLW\ DQG FRPSOHPHQWDU\ VHQVLWLYLW\ WUDQVIHU
IXQFWLRQRIWKHFORVHGORRSV\VWHPDUHH[SUHVVHGDV
d p O p d k
S
n p nk Ok d p O p d k
n p nk Ok
T
n p nk Ok d p O p d k
$EVWUDFW
This paper presents an integrated design framework that
systematically design closed-loop flexure-based actuator
considering the control performance. By creating and
solving an optimization formulated by the specified control
specifications, the design parameters in both the plant and
controller are obtained simultaneously.
.H\ZRUGVMechatronics design, integrated design,
optimization, linear matrix inequality.
,QWURGXFWLRQ
0HFKDWURQLFV GHVLJQ RIWHQ JR WKURXJK D F\FOLFDO
SURFHVV WUDGLWLRQDOO\ >@ WKDW OLPLW LWV SHUIRUPDQFH DQG
LQFUHDVHWKHFRVW5HFHQWO\PHWKRGVWKDWLQWHJUDWHGGHVLJQ
ERWKSODQWDQGFRQWUROOHUDUHSURSRVHG>@,QWKLVSDSHUDQ
LQWHJUDWHG GHVLJQ IUDPHZRUN LV SURSRVHG WR GHVLJQ WKH
PHFKDWURQLFV V\VWHPDWLFDOO\ WKDW FRQVLGHULQJ FORVHGORRS
FRQWURO SHUIRUPDQFH 7KH GHVLJQ IUDPHZRUN LV FRQGXFWHG
E\ FUHDWLQJ DQ RSWLPL]DWLRQ SUREOHP ZKHUH WKH FORVHG
ORRS FRQWURO VSHFLILFDWLRQV DUH IRUPXODWHG DV WKH
FRQVWUDLQWV YLD OLQHDU PDWUL[ LQHTXDOLW\ DQG ERWK WKH
SDUDPHWHUVLQSODQWDQGFRQWUROOHUDUHDIILQHO\ORFDWHG%\
VROYLQJWKHRSWLPL]DWLRQSUREOHPWKHGHVLJQSDUDPHWHUVLQ
ERWKWKHSODQWDQGFRQWUROOHU DUHREWDLQHGVLPXOWDQHRXVO\
ZKLFK IXOILO WKH GHVLUHG VSHFLILFDWLRQV $Q H[DPSOH LV
SUHVHQWHGWRGHVLJQDIOH[XUHEDVHGHOHFWURPDJQHWLFOLQHDU
DFWXDWRU
3UREOHP)RUPXODWLRQ
7KHPHFKDQLFDOSODQWLVUHSUHVHQWHGE\D6LQJOH,QSXW
6LQJOH2XWSXW 6,62 V\VWHP ZLWK WKH GHVLJQ SDUDPHWHUV
DV
np
P
dp
ZKHUH np DQG dp DUH WKH QXPHUDWRU DQG GHQRPLQDWRU
SRO\QRPLDOV RI WKH SODQW UHVSHFWLYHO\ 7R LQWHJUDWHG
GHVLJQ WKH PHFKDQLFDO SODQW WKH GHVLJQ SDUDPHWHUV DUH
DIILQHO\ ORFDWHG LQ HLWKHU SODQW QXPHUDWRU SRO\QRPLDOV RU
-9-
ZKHUHLVSHUIRUPDQFHLQGH[DLPLQJWREHRSWLPL]HGDQG
WKHVSHFLILFDWLRQVGHQRWHWKHGHVLUHGSHUIRUPDQFHVSHFLILHG
RQWKHFORVHGORRSV\VWHPYLD/0,FRQVWUDLQWVZKLFKZLOO
EHVWXGLHGLQGHWDLOLQ6HFWLRQ
3HUIRUPDQFH6SHFLILFDWLRQV
$ 6WDELOLW\
)RUFRQWUROV\VWHPVWKHVWDELOLW\LVWKH PRVWLPSRUWDQW
LVVXH WR EH FRQVLGHUHG WKH VWDELOLW\ FRQGLWLRQ RI WKH
FORVHGORRSV\VWHPFDQH[SUHVVHGE\WKHIROORZLQJ
n p nk Ok d p O p d k
D s
ZKHUHDsLVDFKRVHQVWDEOHFHQWUDOSRO\QRPLDODQG LV
WKHVHWRISRVLWLYHUHDOWUDQVIHUIXQFWLRQ
% 'LVWXUEDQFH5HMHFWLRQ
7R DFKLHYH WKH GHVLUHG GLVWXUEDQFH DWWHQXDWLRQ
FDSDELOLW\ WKH PDJQLWXGH RI FORVHGORRS VHQVLWLYLW\
IXQFWLRQ S VKRXOG EH GHVLJQHG DV VPDOOHU DV SRVVLEOH RU
EHORZ VRPH VSHFLILHG YDOXHV LQ WKHVH IUHTXHQF\ LQWHUYDOV
7KLVFRQGLWLRQLVH[SUHVVHGDV
ICEIC 2015
S
d p O p d k
n p nk Ok d p O p d k
J dr Z : dr
%RGH'LDJUDP
0DJQLWXGHG%
ZKHUH dr LV VHW RI VSHFLILHG IUHTXHQF\ UDQJHV DQG dr LV
SHUIRUPDQFH LQGH[ TXDQWLI\LQJ WKH GLVWXUEDQFH UHMHFWLRQ
FDSDELOLW\
3KDVHGHJ
)LJ'HVLJQUHVXOWVRISODQWFRQWUROOHUDQGWKHLURSHQ
ORRSWUDQVIHUIXQFWLRQ
)UHTXHQF\+]
' +LJK)UHTXHQF\5ROORII
7KH FRPSOHPHQWDU\ VHQVLWLYLW\ IXQFWLRQ T VKRXOG EH
GHVLJQHGWRUROORIILQKLJKIUHTXHQF\LQRUGHUWRDYRLGWKH
H[FLWDWLRQ RI XQPRGHOHG KLJK IUHTXHQF\ UHVRQDQW PRGHV
7KLVUROORIIFRQGLWLRQLQKLJKIUHTXHQF\LVH[SUHVVHGE\
T MZ :MZ Z Zh f
3ODQW
&RQWUROOHU
2SHQORRS
ZKHUHbLVWKHVSHFLILHGEDQGZLGWKWREHDFKLHYHG
'HVLJQ([DPSOH
& %DQGZLGWK
7KH EDQGZLGWK VSHFLILFDWLRQ FDQ EH HQVXUHG E\ WKH
IROORZLQJFRQGLWLRQ
d p O p d k
S
G% Z Zb
n p nk Ok d p O p d k
)LJ)($6LPXODWLRQ
&RQFOXVLRQ
,QWKLVSDSHUDQLQWHJUDWHGGHVLJQIUDPHZRUNLVSURSRVHG
WR GHVLJQ PHFKDQLFDO V\VWHP DQG LWV FRQWUROOHU E\
FRQVLGHULQJJLYHQVSHFLILFDWLRQV7KHDOJRULWKPLVXVHGWR
GHVLJQ D IOH[XUHEDVHG HOHFWURPDJQHWLF OLQHDU DFWXDWRU
ZKHUHWKHGDPSLQJDQGVWLIIQHVVLVWKHGHVLJQYDULDEOHV
$FNQRZOHGJPHQW
7KH DXWKRUV DFNQRZOHGJH WKH VXSSRUW IURP WKH
&ROODERUDWLYH 5HVHDUFK 3URMHFW XQGHU WKH 6,07HFK186
-RLQW/DERUDWRU\3UHFLVLRQ0RWLRQ6\VWHPV5HI85
-/ DQG WKLV ZRUN ZDV DOVR VXSSRUWHG E\ 6LQJDSRUH
02($F5)7LHU*UDQW5$
5HIHUHQFHV
>@ < = 7DQ & . 3DQJ ) +RQJ DQG 7 + /HH
,QWHJUDWHG VHUYRPHFKDQLFDO GHVLJQ RI KLJKSHUIRUPDQFH
PHFKDWURQLFV XVLQJ JHQHUDOL]HG .<3 OHPPD
Microsystem Technologies9RO1RVSS
6HSWHPEHU
>@.+LUDPRWR.0*ULJRULDGLV,QWHJUDWHGGHVLJQRI
VWUXFWXUDO DQG FRQWURO V\VWHPV ZLWK D KRPRWRS\ OLNH
LWHUDWLYH PHWKRG International Journal of Control 9RO
1RSS
>@ 7 ,ZDVDNL DQG 6 +DUD *HQHUDOL]HG .<3 /HPPD
8QLILHG )UHTXHQF\ 'RPDLQ ,QHTXDOLWLHV ZLWK 'HVLJQ
$SSOLFDWLRQV IEEE Transactions on Automatic Control
9RO1RSS-DQXDU\
- 10 -
ICEIC 2015
Oral Session
TO-2-2
System and Control
TO-2-2-1
Abstract
Many industrial motion systems use electronic
motors. However, for systems that make automobile
frames, roll blending machines and many other
machines that exert large forces cannot use electric
motors because of the relatively small force they
produce. Hydraulic press machines usually require
over 100 axes to produce precisely shaped large size
frames. As such, in this paper we focus on a
synchronization strategy for press machines and
discuss the results.
Cld1
A2
A1
P1
u
P2
m
Fl (t )
Amplifier
card
Vlv1
PS
PR
Pump
Tank
1. Introduction
Psync =
U sync Volt
x Unit
(1)
- 12 -
ICEIC 2015
part Usync. The gain Psync of the synchronization
controller is thus the ratio of Usync to the
synchronization error.
4. Conclusions
We proposed a synchronization control method for a
hydraulic press machine. To precisely control the
machine we used a position and pressure controller
with an adjustment algorithm and fine positioning
block, due to the characterization of the press machine.
Acknowledgement
This work was supported by Industrial Technology
Innovation Program(Advance Technology Center
Program) funded by the Ministry of Trade, Industry
and Energy (MOTIE, Korea) (No. 10048277)
References
[1] Huayong, Y. Hu, S. Guofang, G. and Guoliang, H.,
Electro-hydraulic proportional control of thrust system for
shield tunneling machine, Automation in Construction, Vol.
18, pp. 950 - 956, 2009
[2] Li, K., M.A. Mannan, M. Xu, and Z. Xiao, Electrohydraulic proportional control of twin-cylinder hydraulic
elevators, Control Engineering Practice, 2001, 9, 367 - 373
[3] Ferreira J. A., P. Sun and J.J. Gracio, Design and control
of a hydraulic press, Computer Aided Control System
Design, 4-6 Oct. 2006 pp. 814-819
[4] H.M. Chen, J.C. Renn, and J.P. Su, Sliding mode
control with varying boundary layers for an electro-hydraulic
position servo system, The International Journal of
Advanced Manufacturing Technology, Springer-Verlag,
2005, 26, 117-123
3. Experimental Results
We considered the overall the experimental system
shown in Figure 5. We used a PC only for acquiring
experimental data sent from the controller board via
RS232 communication. Figure 6 shows the result of the
synchronization performance using the monitor
program. It shows that the position control error is
1mm, and the synchronization error is only 400um.
- 13 -
TO-2-2-2
!
$"# % !
"'& * '()) % "
+ , ,% +
* '"& -
+. , ! $"# "'& ,
/ '"& " '"& %
* 0 %.
1 % ,
"'& $2'# * 3/34 5. $6'#
* 87!85. 295 ** * *
10 ,
4- *+% * !4(:;
77< % 6( * *
7!08*=>
" $#!"#%$$ &&
%)
''('
!
%#&
'(&)*#(
- 14 -
+#
3 #
&
%0 ) ) "#%
$$ *
)$
)%0*
'(&+
*#(
3 4
&
) $ &
) )
5 1#2* )
) %
$ )
%
* $ *
) ) $
) /6 )
$
) /
/6* $
7 !
))%
)!
$
) $ * (
5 % )
%
)
! )
) % ) $ &
'/*
))
)
5 &
&
5142))
&
3 8* ) &
%& /( ) /7
1#2182
5&
%&/()/
) )
%
* (
% % ))
5 &
&
5* (
&
)
!
&
3 8* (
5 ) &
)
! $ $ 1#2182*
ICEIC 2015
9
5 & "#% $
$ &
":%$ *$)
$ !
;%
)8%%*
'(&3
+ &0$+#1
&
'(&,(
-
&
(
"#%$$ &))
''(
! * (
) $ & ))
&
)$ &
!
*7%
& &
5 )
)
5 & "#% $
$ &
":%$ ))&
*
'(&-$(.&,
*$#(
(
33( &
"8*4<,
"*#=
<,
& 3* =*
(
) $
!
6 >:*>=)7
3?4*#?)7)
6@7""*8;%*
(
& ) %
)
)! -"::8A::A. ) %
() ) ) ) %
'-
( E 3 '.
)
( - (
.
-6'$#:"8<:4:""8"::?.!)%
6'$-6()'$.*
'(&''&&0-243+1
(
& ) &
:*4= 7
@
* (
& 3* ;
;::BA4=*= &
)* (
&
""=9
5 "*#=
<, )
- 15 -
1"2*
*
**
**D*F**<*
G$
)
''('
!H@
6!%*#:"4
1#27
IFIFD*I6J
I<D
F*G$$$ &
))!$ H * $#::A*A>#A>=
142*$6G$&35
)&
(
5$$ H
)K+7!;"=*#A8#A?
#:"8
1827*'*M%)$*'*
)0L$
$
$ !9
!
$ L'*** )#::=!*"
*"?8"?>*
TO-2-2-3
rberlia@gmail.com
kandoi.shubham@gmail.com
3
sarthak93.22@gmail.com
4
pingali.t@gmail.com
muscles. An
Electromyograph
signal
potential
I. INTRODUCTION
EMG or electromyography is a technique of obtaining
signals from muscles by recording the electric potential
generated due to the elctrical ot neurological excietation of
the muscle cells. Being the easiest bio-medical signal that
can be obtained along with ECG signals, it reduces the
complexity of the signal conditioning module inturn
reducing the size and cost of our device.
- 16 -
ICEIC 2015
A wireless module can then transmit the signals to the
required device.
The unified conditioned signal is then sent to the cloud
where it is stored at frequent invervals of time and a user
report is generated. A predefined algorithm compares the
data with the standards and any anomalies, immidiately
sens out a warning signal to a local doctor registered with
the user who is informed of the sitution. It also generates a
forum for doctors across the globe to provide feedback and
alternative medical suggestions about the persons
treatment for physiological parameters such as muscle tone
(or resistance).
This project can be expanded to carry out basic tasks
such as switching on TV, finding objects without being
dependant on others for help and help professionals monitor
their health. It can also be used as a device that can act as a
single unique medium for all their identity cards, driving
licence and as a tracking device to improve safety of
women.
SENSOR/
TRANSDUCE
MICROCONTROLLE
WIRELESS
MODULE
SIGNAL
CONDITIONI
RESPONSE
DEVICE
- 17 -
ICEIC 2015
Fig. 3: Signal acqusition
2.3.1 Amplification
In this phase, were aim at taking the minute micro
level signals measured in the SIGNAL ACQUISITION
phase and amplify them. An inverting amplifier does
exactly what we desire. It amplifies the signal and also
inverts it. We first build an inverting amplifier with a gain
of -15.
We then add a capacitor to AC couple the signal. AC
coupling is useful in removing DC error offset in a signal
we are going to add an active high pass filter to get rid of
any DC offset and low frequency noise.
2.3.2 Rectification
In this phase, we will be rectifying the signal using an
active full-wave rectifier. The rectifier will take the
negative portion of our signal and turn it positive so the
entire signal falls within the positive voltage region. This
coupled with a low pass filter turns our AC signal into a DC
voltage; ready to send the signal to a microcontroller.
2.3.3 Smoothening and Amplification
In this last phase of circuit assembly, we will be using a
n active low-pass filter to filter out the humps of our signal
to produce a smooth signal for our microcontroller.Thats th
e end of the filter circuit.
However, since this is an active filter, there is a side effe
- 18 -
ICEIC 2015
ct of inverting the signal. We will need to invert the signal o
ne more time (and have the ability to amplify it more if desi
red) using another inverting amplifier circuit with a trimmer
configured as a variable resistor.
2.4 Microcontroller
Microcontroller used is open source Arduino hard
ware. It takes in inputs from the sensors and develops a logi
c corresponding to the algorithm written onto it. Here, EM
G electrodes act as inputs to the micro-controller and it pro
vides an input signal to the wireless module based on the ac
tion developed by the algorithm.
LEFT
RIGHT
(+1.5) (+2.0)
(-1.3) (-2.0)
(+1.1) (+1.7)
V. FUTURE SCOPE
We propose to complete the development of the de
vice and make it small scaled and an aesthetically pleasing
device. Also we aim at extending the device functionality a
s an universal controller. Using the electrical activity in you
r muscles to wirelessly control your computer, phone, and o
ther favourite digital technologies. We wish to transform ho
w you interact with your digital world. Just with a wave o
f your hand.
VI. CONCLUSION
This paper presents a method of pre-fixed motion
based EMG control using a surface electrode. User
commands for the device control is obtained from the
different actions or gestures of the hand. The extracted
commands are processed through the intelligence algorithm
that also takes into account ambient errors and interrupts to
generate improved error free motion control. This device is
designed to be an intuitive and cost effective control
method.
VII. REFERENCES
Inner distance based hand gesture recognition for
devices control - Alijanpour, N. ; Tabriz ;
Ebrahimnezhad, H. ; Ebrahimi, A.; Electrical
Engineering Department Sahand University of
Technology.
[2] A Hands Gesture System Of Control For An Intelligent
Wheelchair - Posada-Gomez, R. ; Inst. Tecnologico de
Orizaba, Veracruz ; Sanchez-Medel, L.H. ; Hernandez,
G.A. ; Martinez-Sibaja, A.
[3] A real-time system for hand gesture controlled
operation of in-car devices - Zobl, M. ; Instrumentation
for Human-Machine Communication department,
Munich Universiy of Technology, Munchen, Germany.
[4] Consumer electronics control system based on hand
gesture moment invariants Prashan Premaratne
University of Wollongong, prashan@uow.edu.au, Q.
Nguyen Australian National University.
[5] The Gesture Watch: An alternate gesture based
interface for remote control of devices- Gaurav Gupta
; Jung Soo Kim ; Georgia inst of Tech, Atlanta, USA.
[1]
- 19 -
TO-2-2-4
Program of Information Technology and Computer Science, Brawijaya University, Malang, Indonesia
Networked Smart Systems Laboratory, Department of Electrical Engineering, Pusan National University, Busan, Republic of Korea
adhitya.bhawiyuga@googlemail.com, rachmadnafisholeh@gmail.com, hyjeong@pusan.ac.kr
Abstract
A vehicle heading is an important component of
vehicle states which must be periodically broadcast to
neighbor vehicles. While GPS heading suffers from high
fluctuation at low vehicle speeds, gyroscope heading
drifts from its true value due to the accumulated errors.
To obtain a precise vehicle heading, we attempt to
present a mobility-aware heading reference selection
(MAHRS) scheme that selects either GPS or gyroscope
in the android-platform smartphone. The MAHRS
scheme detects the fluctuation of the GPS sensor, and
then switches its heading reference to the gyroscope
sensor until the GPS heading is stabilized again. The
numerical results obtained from the real experiments
show an improved heading accuracy.
Keywords: Heading, vehicle mobility, GPS,
gyroscope, smartphone.
1. Introduction
In recent years, the need for driver assistance systems
has been increasing due to the road accident as well as
the traffic congestion [1]. In the meantime, smartphone
has penetrated to almost all individuals, including
vehicle drivers, thanks to its versatilities. As a result,
there is an increasing effort to utilize the smartphone as
a key device 1) to obtain the vehicle state information,
2) to communicate with the on-board unit of a vehicle,
and 3) to provide multi-media interface to the driver [2].
In this paper, we focus on the generation of the vehicle
state information using the smartphone sensors.
In WAVE/DSRC standards, each vehicle must
periodically broadcast its state information including
latitude, longitude, altitude, speed, and heading [1]. One
promising solution to estimate the state information is to
exploit smartphones multi-sensors, such as GPS,
accelerometer, gyroscope, magnetic, etc. The main
interest of this paper is how to collect a vehicle heading
from these smartphone sensors.
We consider three sources of vehicle heading
information: a magnetic compass, a GPS and a
gyroscope. The magnetic compass measures the relative
( 1) +
,
() = {
=1
(),
0
= 0
(1)
- 20 -
ICEIC 2015
3.
126.25
1/ 0.038
= {
(2)
20.15
138.24
1/ > 0.038
To resolve the second key problem, the MAHRS
scheme must check the following two conditions to set
the GPS as the heading reference: 1) The vehicle speed
must enter the valid region of driving maneuver, i.e.
, and 2) the difference of two heading
converges to a fixed threshold , i.e.,
| () ()|
(3)
Finally, the MAHRS scheme should also determine
the period of gyroscope heading update using stable
GPS heading in (1). Once the GPS heading is not stable,
the MAHRS scheme consecutively checks it until it
becomes stabilized again.
Acknowledgement
This research was supported by Global Frontier Program
through the National Research Foundation of Korea(NRF)
funded by the Ministry of Science, ICT & Future Plannig
(2011-0031863).
References
[1] Rola Naja et al., Wireless vehicular networks for car
collision avoidance, Springer, 2013.
[2] T. A. Suramardhana and H.-Y. Jeong, A driver-centric
green light optimal speed advisory (DC-GLOSA) for
improving road traffic congestion at urban intersection,
APWiMob14, Bali, Indonesia, Aug. 2014.
[3] S. Cafiso, and G. Cerni, New approach to defining
continuous speed profile models for two-lane rural roads, J.
of Transport. Res. Board, vol. 2309, pp. 157-167, Jan. 2013.
- 21 -
TO-2-2-5
Abstract
Let n be an integer greater than 1. After
introducing the automaton Cn,i for each integer i n ,
the synchronizing ones in the family {Cn,i |0 i n }
of automata as well as their shortest synchronizing
words are determined. Moreover, in aids of the so
called transition consumption functions of automata
and the weighted average consumptions of words, the
advantages of such synchronizing automata in some
typical applications are analyzed.
Keywords: Synchronizing automaton, the automaton
Cn,i, the shortest synchronizing word, transition consumption function, weighted average consumption.
1. Introduction
Recall that an automaton is a triple A=(Q,A,)
consisting of a finite set Q of states, a non-empty finite
set A of inputs, and a state transition function from A
to the transformation monoid T(Q) of Q. Observe that
can be uniquely extended to a homomorphism of
monoids from the free monoid A* on the alphabet A to
T(Q). It is convenient to identify each word w A* to
its image under , and write the action of w on a state
q Q as qw. The automaton A is said to be circular if
some input in A is a circular permutation on Q. For
other terminologies and notations without explanation,
the reader is referred to Howie [5].
An automaton (Q,A,) is called synchronizing if it
has a synchronizing word, i.e., a word w A* such
that |Qw|=1. The consideration on synchronizing
automata was initiated by ern [1] who constructed
an n-state automaton Cn for each integer n 1 and
proved that Cn has a shortest synchronizing word
which is of length (n-1)2. Natarajan [7] re-invented
synchronizing automata and found their applications
on robotics. Eppstein [4] re-defined the synchronizing
- 22 -
ICEIC 2015
Z n {k Z n | (k , n) 1} .
system (modulo n) if
k k Z n
mk ikZ
0a bi a
k if k n 1,
ka
0 if k n 1.
n 2
a a bi a
n 2
n 2
0 ia bi a
mi ab mib
i
- 23 -
(2)
n2
1 i wi . (3)
(m 1)i .
wi abi
n m
a bi a
m2
m i w
mi abi
n m
a bi a
m2
0a b i a
m2
0 . (4)
0 ia b i a
wi ab i
(1)
kb k 1 ,
Zn .
0 iw 0ab a
Zn .
kb k 1 ,
2 g ,
u b t1 a s1 b t 2 a s2 b g a
sg
0 t1 , s g , 1 s1 , t 2 , s 2 , , s g 1 , t g .
2 g ,
u bt1 ab t 2 a b
t g 1
ab g a
sg
0 t1 , 0 s g 1, 1 t 2 , t3 , , t g n 1 .
ICEIC 2015
Z nb
t1
ab
t2
t g 1
ab g a
a b
t g 1
sg
0 mu m
tg
ab a
m
t
Z n b t1 abt 2 a b
t g 1
Z nb t1 abt 2 a b
t g 1
ab
tg
Z nu
1,
t
t g 1
ab g a
0 t1 , 1 t2 , t3 , , t g n 1 .
(5)
t2
1 if k 0 and mb ab a b i,
km
0 otherwise,
t1
n 1 d g .
tk
m
k
(7)
d mi .
k 1
sg
(6)
such that
k 0
{g1 , g 2 , , g d } {k | km 0 i, 1 k g} .
Furthermore, let
if k 0,
m
q km t1 t2
tk
mb ab ab a if k 0.
t2
mb ab
mb ab
t k 1
t1
t2
a b ab
t1
t2
a b a t k 1
t k 1
km1i
t k 1
t gr
m0b t1 abt 2 a b
iab
mbt1 ab t 2 a b t abt k 1 a
qkm
d mi ,
1,
qkm1
so that
Z nu
2 g ,
t
k 1
sg
0b
m
k 1i
t gr 1
t g r 1
ab
t
t gr 2
ab g r 1 ab g r 2 a b
t gr
ab
ab
ab g r 2 a b
t gr 1
t gr 1
t g r 1
t g r 1 t g r 2 t g r 1 .
km1i
- 24 -
ab
t gr 2
t gr 1
a b
t gr 1
ICEIC 2015
g r 1
s
s g r 1
t g r 1 t g r 2 t g r 1 i ,
so that
g
|u | g
: (q, x) xq
k 1
g t1
g d 1
g r 1
s
r 1 s g r 1
(8)
g t1 ( d 1)i .
| u | | wi | .
(9)
be a transition consumption function of A. The consumption of acting a word w on a state q with respect
to is inductively defined by
Then
g 1 g
(r 1,2, , d 1) ,
r 1
r
g r 1
ts i
(r 1,2, , d 1) .
s g r 1
wq
m 1
k 0
qk
x k 1
Cn Cn,i | i Z n ,
1
wq ,
| Q | qQ
|w|
1
2
q q0
q1
q m 1
q| w| qw ,
wq .
- 25 -
xm
s if x b,
r if m i and x a,
t if m i and x a,
(9)
wi 1
2 ( 2n 4)is ( n 1)t if r 0.
(10)
ICEIC 2015
wi
1
wm
n mZ i
n
w1 min{ wi }iZ n .
(11)
1
cm
n mZ wi
1
[(n 2)is ( n 1 m)t ]
n mZ
wi | wi | r .
1
n( n 2)is
( mt )
n
mZ n
1
n(n 1)t
n( n 2)is
n
2
( 2n 4)is (n 1)t
.
2
mi cm i .
wi | wi || w1 | w1 .
i.e.,
m
wc m (cab
i m
) a (b i a ) n 2 m
If (r , s, t ) (0,1,1) , then
c m ( ab
m
(cab
i m a
)
i m
i m
) a
(cbmi a( ab
) n 2m
wi
i
0
m
(cab
i m a (b i a ) n 2 m
)
w1 .
2
2
mis t ( n 2 m)(is t )
( n 2) is ( n 1 m) t ;
w1 3 w2 ;
cn 1 abi
if n 3 , then
mi cn 1 i ,
2
(n 3) n( n 1)
2
w1 .
wi
so that
wc ni1
n3
c n1 ( ab i ) m
a
m0
n 3
m 0
bcin 1 ( ab
i m
) a
c n 1 ( ab i ) n 2
a
c n1 ( ab i ) m a
0
bi
(n 2) is
(n 2) is [(n 1) (n 1)] t .
wc mi ( n 2) is (n 1 m) t ,
and then it follows from the fact Z n {cm }mZ n that
- 26 -
ICEIC 2015
| wi |
1
2
m q0
q1
qm 1
q| wi | 0
References
wmi | wi | .
wmi
k | qk
qk 1 , 0 k | wi | 1 .
- 27 -
TO-2-2-6
I. INTRODUCTION
Lithium-ion batteries are widely used in portable electronic
devices because they have a long lifetime and high storage
stability. The CC-CV (Constant-Current Constant-Voltage)
profile has been typically used in charging circuits for Li-Ion
batteries. In general, the CC-CV method requires a complicated circuit for controlling the charging process. Alternatively, a
simple PLL-based charging circuit can be used to realize the
CC-CV charging profile [1]. However, a straightforward implementation of the PLL-based charging-control circuit may
give rise to overcharging. We present two techniques to prevent the overcharging problem.
Vo ( s )
s 2 rc c b K + sK
,
= 3
Pi ( s ) s c b f + s 2 c b + sc b K f + K f
f is time
constant in the PFD. If all the poles were real, the step
response of the PLL would be over-damped. The condition of
over-damped step response is given by
rc K f cb > 2
(1)
(2)
C. Overcharging problems
The PLL-based charging circuit is shown in Fig. 1. The target voltage and the actual battery voltage are converted to frequency by means of two VCOs. The feedback system tries to
equalize the two frequencies. In the meantime, the batterycharging current is varied according to the frequency difference between the two VCOs mimicking the CC-CV profile.
When the frequency difference is large, the charging current is
constant; when the frequency difference is below a certain
threshold, the charging current gradually decreases.
- 28 -
ICEIC 2015
charging speed is slight slow when DOWN pulses are used
probably because they reduce the amount of charge delivered
to the battery. However, the intermittent discharging current
might rather increase the charging speed by balancing the ion
concentration [3], which is not modeled in the simulations.
charging problem.
4.4
(b) modified
4.2
4.208
4.206
3.8
Battery Voltage(V)
Battery Voltage(V)
3.6
3.4
Conventional
Slope = 0.2V/s
4.204
4.202
4.2
4.198
Modified PFD
Slope = 0.02V/s
UP/DOW N
Slope = 0.01V/s
4.196
3.2
0.014 0.0145 0.015 0.0155 0.016 0.0165 0.017 0.0175 0.018 0.0185
time(s)
Convention PFD
Modified PFD
UP/DOWN
3
2.8
0
0.01
0.02
time(s)
(a) conventional
1.8
(b) modified
1.6
1.4
1.2
1
Convention PFD
Modified PFD
UP/DOWN
0.8
0.6
0.4
0.2
0
-0.2
0
0.01
0.02
time(s)
[1] L.
Cheng,
et
al.,
"Current-Pumped
Battery
Charger," IEEE Trans Electron., Vol. 55, No. 6, pp. 24822488, June, 2008
[2] L. Chen et al., "Improving phase-locked battery charger
speed by using resistance-compensated technique," IEEE
Trans. Electron., Vol. 56, No.4, pp. 1205-1211, April,
2009
[3] R. Cope et al., The Art of Battery Charging, Proc. 14th
Annu. Battery Conf. Appl. Advances, pp. 233-235, Jan.
1999
- 29 -
TO-2-2-7
Hyun-Su Kim, Young-Ho Lee, Jong-Koo Park, Tae-Yong Kuc, Sang-Hoon Ji, Sang-Moo Lee,
Nak-Yong Ko, Young-Seon Moon, and Young-Jo Cho
School of Electrical and Electronics Engineering, SungKyunKwan University
The Robot Convergence Research Center, KITECH
Department of Control, Instrumentation and Robot Engineering, Chosun University
Department of Electrical Engineering, Sunchon National University
Electronics Telecommunication Research Institute
hskimz@skku.edu, yhohoho@skku.edu, pjk@skku.ac.kr, tykuc@skku.edu,
robot91@kitech.re.kr, lsm@kitech.re.kr, nyko@chosun.ac.kr,
moon@sunchon.ac.kr, youngjo@etri.re.kr
2
1, 2, 3, 4
5, 6
Abstract
This paper presents a decentralized controller design
for formation and traction control of multiple robots
with collision avoidance. A series of simulation results
verifies the feasibility and effectiveness of the distributed
controller for multi-robot coordination problem.
Keywords: Multiple Mobile Robots, Kinematic Control,
Distributed Control, Formation Control, Traction Control,
Collision Avoidance, Finite Potential Function, Virtual Leader
1. Introduction
y i
sin i
h cos i
2. Problem Formulation
Consider a set of n mobile robots with 2 DOF
differential motor drivers.
(1)
R = {Ri ( p i )}i =1, 2 ,, n
pi = [xi
T
yi ] is the position of i-th robot in the global
- 30 -
mi
(p
+ c ij )
jCVi
ij
jCVi
V i r (t ) =
r
ij (t )
jCVi
Vija (t )
1
= eij
2
d ij wi2
1
, if wi1 < d ij < wi 2
, Vijr (t ) = 4 2 2
(d w ) +
ij
i1
, otherwise
ICEIC 2015
i : Positive tuning parameter for potential function
{wi1 , wi 2 } : Radii of safety region and detection area
satisfying wi 2 + w j 2 || c ij* || .
The formation controller generates a velocity input for
system (2) which consists of the negative gradient of
attractive potential and the scaled sum of negative gradients
of repulsive potentials within the detection area of robot.
u i = J i1 [(1 ) i1 (eij ) + i2 ( eij )]
(3)
X
Fig. 2 Error Profile (
Y
)
of
Formation
Control
eij
jCVi
Vijr
.
, 2 (eij ) = 1 (eij )
ij pi i
jCVi
jCVi
1 (eij ) =
Vi a
pi
i : Auxiliary input
i = io
*
ij
(4)
jCVi
1
n
i =1
jCVi
virtual robot
References
[1] T. Arai, H. Ogata, and T. Suzuki, Collision avoidance among
multiple robots using virtual impedance, IROS Proceedings,
pp.479-485, 1989.
[2] P. K. C. Wang, Navigation strategies for multiple autonomous
mobile robots", IROS Proceedings, pp.486-493, 1989
[3] F. Chen, Z. Chen, Z. Liu, L. Xiang, Z. Yuan, Decentralized
formation control of mobile agents: A unified framework,
Physica A 387, pp.4917-4926, Elsvier, 2008.
[4] L.E. Parker, Current State of the Art in Distributed
Autonomous Mobile 3 Robotics, L.E. Parker et.al.(eds.)
Distributed Autonomous Robotic Systems 4, pp.3-12, SpringerVerlag Tokyo, 2000.
[5] E.G. Hernandez-Martinez and E. Aranda-Bricaire,
Convergence and Collision Avoidance in Formation Control: A
Survey of the Artificial Potential Functions Approach, MultiAgent Systems-Modeling, Control, Programming, Simulation and
Applications, pp.103-126, 2011.
[6] W. Ren, R.W. Beard, and E.M. Atkins, A Survey of
Consensus Problem in Multi-agent Coordination, 2005 American
Control Conferences, pp.1859-1864, June 8-10, Portland, OR,
USA, 2005
- 31 -
ICEIC 2015
Oral Session
TO-2-3
Communication System and
Wireless Networking I
TO-2-3-1
I. I NTRODUCTION
Small cell densication has been introduced as one of
the potentially effective solutions to the critically increasing
data trafc demand. The greater the number of small cells
deployed, the greater the amount of achievable resources
[1], [2]. However, this scenario exposes users to more interference. Specically, the interference degrades the signal
to interference and noise ratio (SINR) of the edge users [3].
Improving cell edge user performance will require effective
management of edge user interference.
The coordinated multi-point (CoMP) approach has come
under consideration as a way to properly eliminate this
interference in the Long Term Evolution (LTE) and LTEAdvanced system [4]. In this scheme, several base stations
(BSs), small BSs (s-BSs) or macro BSs (m-BSs), cooperate,
much like a single BS with multiple antennas [4], [5]. Joint
transmission (JT) is a CoMP scheme where the cooperating
BSs transmit the same signal to a target user, thus resulting
in improvement of edge-user SINR performance. However,
employing JT in dense small cell networks is not optimal
because JT helps only the target edge users SINR, whereas
it does not consider the interference to the other BSs users.
Thus JT is an efcient scheme for cooperation between two
BSs, but is inefcient in dense small cell networks.
In this paper, we propose aligned dynamic point blanking
(ADPB) as an approach to efciently manage interference. In
ADPB, selected BSs mute their resources in order to reduce
the interference to the other BSs users.
The remainder of this paper is organized as follows: Section
II describes the LTE small cell environment and introduces the
proposed ADPB algorithms. Section III evaluates the ADPB
by system level simulations and discusses the simulation
results. Finally, our conclusions are presented in Section IV.
This work was supported in part by SK Telecom Co., Ltd., Korea, and in
part by the National Research Foundation of Korea (NRF) grant funded by
the Korea government (MEST) (No. 2012R1A2A1A05026315).
II.
A. System models
Let us consider a small cell network with an LTE system as
depicted in Figure 1. In this gure, for the sake of simplicity,
we limit the scope to one macro cell area with Ns s-BSs,
and Nu users with a single antenna. The m-BS B0 and sBSs Bi (i = 1, 2, ..., Ns ) are connected to each other by
ideal backhaul [4]. It is assumed that the users are uniformly
distributed and associated with one BS that has a maximum
reference signal received power (RSRP). The user
in the i-th
BS is denoted by Ui,j (j = 1, 2, ..., Nui ), where Nui = Nu
i
1,1
Small BS
0,1
Backhaul
Macro BS
- 33 -
ICEIC 2015
A LIGNED DYNAMIC P OINT B LANKING A LGORITHM
65
Initialization:
Set D1 = {0, 0, ..., 0, 1}, D2 = {0, 0, ..., 0, 1}, ...,
D2Ns +1 = {1, 1, ..., 1, 1}, = 0
60
Start aligned-DPB:
Form = 1 : 2Ns +1
set C[t] Dm
For i = 0 : Ns
Calculate i,j (C[t]) &Ri,j (C[t])
End
Ns
Calculate
i (C[t])
if <
i=0
set
End
i (C[t])
Ns
i=0
N
s+1
k=1
0.22
0.2
50
0.18
45
0.16
40
0.14
35
0.12
30
0.1
0.08
4
Fig. 2. 5%-ile user throughput versus the number of small cells for the
ADPB and JT. (Inter-site distance: 500m)
55
25
i=0
Ns
0.24
TABLE I.
ci Gii,j Pi
ck Gki,j Pk
ci Gii,j Pi
(1)
+ N0
(2)
[2]
[3]
[4]
[5]
[6]
[7]
- 34 -
TO-2-3-2
- 35 -
ICEIC 2015
- 36 -
ICEIC 2015
- 37 -
ICEIC 2015
- 38 -
ICEIC 2015
- 39 -
ICEIC 2015
- 40 -
ICEIC 2015
- 41 -
ICEIC 2015
- 42 -
ICEIC 2015
- 43 -
ICEIC 2015
- 44 -
TO-2-3-3
Abstract
This paper presents enhancement of a rectangular patch
antenna for Ultra Wide Band (UWB) applications. The
antenna performance can be improved in terms of its
return loss, operating bandwidth and gain for whole
operating frequency band. It requires to satisfy a wide
range of application within the frequency range of 3.1Keywords- return loss, gain, feed line, bandwidth
I.
INTRODUCTION
Microstrip patch antenna are particularly alluring
nowadays due to their smaller size, light weight, low
cost, and ease of fabrication[1]. They are compatible with
wireless communication integrated circuit, due to their
compact and elementary feeding techniques especially
microstrip-line and coplanar waveguide feeds. The main
shortcoming in patch antennas is their narrow bandwidth
[2]. The size of the patch is altered to rectify the problem
of narrow bandwidth. Antenna to work for UWB
applications, it should transmit information spread over a
large bandwidth which should be greater than or equal to
500 MHz or 20 % of centre frequency. (UWB) radio has
drawn attention since the frequency band from 3.1 to
10.6 GHz is released to UWB applications by Federal
communications commission (FCC)[1].Power matching
should be realized continuously on the whole 7.5 GHz
wide frequency band. Moreover, the phase transfer
- 45 -
ICEIC 2015
II.
DESIGN EQUATIONS
2 f
(4)
re ff
(5)
=
2 f
h 12
reff =
+
1
+
12
(1)
2
2
W
+ 1
2
III.
ANTENNA DESIGN
The proposed antenna based on the rectangular patch
antenna. The antenna is planar rectangular patch antenna
fed by micro-strip line with a characteristic impedance of
50 . FR4 substrate is used with dielectric constant (r)
4.65, loss tangent (tan ) 0.02 and 1.6 mm of thickness
(h). The substrate is made up of FR-4 epoxy material.
FR-4 epoxy is a fire redundant dielectric which is cheap,
easily available and rugged. Its width is 29 mm and
length is 28 mm with a thickness of 1.6mm. Ground
plane is partial which is made up of a rectangular part
with a width of 29 mm, length of 10.4 mm and a small
notch in between the plane, which is parallel to the feed
line at the front side with width of 2 mm. Partial ground
plane is made for better impedance matching. The patch
of the antenna is equally cropped along the four edges
with 2 mm of a slot each. The patch has length of 8.5 mm
and a width of 8 mm. The feed line has simple structure
with length as 11.5 mm and width as 2 mm.
r=Dielectric constant
h= height of dielectric substrate
w=Width of the patch
The fringing fields along the width can be modeled as
radiating slots and electrically the patch of the microstrip
antenna looks greater than its physical dimensions [5-6].
The dimensions of the patch along its length have now
been extended on each end by a distance L, which is
given empirically by:
+0.264
reff +0.3) h
(
(2)
L=0.412
(reff 0.258) W+0.8
r +1 r 1
Where
constant
e ff
(3)
- 46 -
ICEIC 2015
IV.
PARAMETERS
Ls
WS
SL
L
W
S
LG
WG
SG
DIMENSIONS (mm)
(substrate length)
(substrate width)
(strip length)
(patch)
(patch)
(slot size)
(length ground)
(width ground)
(strip width)
28
29
11.5
8.5
8
1
10.4
2
2
SIMULATION RESULTS
The results shown here are simulated on CST software.
Return loss gives us amount of power being reflected by
the input port [6]. For UWB antenna, return loss below 10 dB is considered to be quite efficient [7]. For this
- 47 -
ICEIC 2015
Fig.4. Simulated absolute value radiation patterns for the proposed antenna at central frequency 6.65 GHZ.
- 48 -
ICEIC 2015
Fig.5. Simulated E-plane radiation patterns for the proposed antenna at central frequency 6.65 GHZ.
Fig.6. Simulated H-plane radiation patterns for the proposed antenna at central frequency 6.65 GHZ.
- 49 -
ICEIC 2015
V.
REFERENCES
CONCLUSION
- 50 -
[1]
[2]
C.K.Chakrabarty, L.C.Ping and B.Kasi, A Compact Microstrip Antenna for Ultra Wideband Applications European
Journal of Scientific Research,Vol.67, No.1, 2011, pp. 4551.
[3]
[4]
[5]
[6]
[7]
[8]
ICEIC 2015
Oral Session
TO-2-4
Access Networks and Systems I
TO-2-4-1
1. Introduction
2. System model
- 52 -
ICEIC 2015
Let
P=
P=
P, PS(1)
= PS(2)
= P 2. Then the
R
D
received signal-to-noise ratio (SNR) at the destination
is given by
D =
SR
where AB = P hAB
SR R D
+ 2 ( 2 R D + 1)
k
(6)
E(1) =
and
E(2) =
SE
,
2 ( DE + 1)
(7)
SR R E
1
2
DRk ( Rk E + 1) + SE + 1 SRk + R D + 1
k
2
2
. (8)
(9)
Acknowledge
References
4. Simulation results
5. Conclusion
In this paper, we consider an AF relay network with
cooperative jamming in the presence of an
eavesdropper. We propose optimal and suboptimal
relay selection criteria. Simulation results show that the
- 53 -
TO-2-4-2
Abstract
We propose an optimal power and resource
allocation scheme that maximizes the spectral
efficiency and achievable rate of device-to-device
(D2D) communications underlaying uplink of a
cellular network. We first derive an optimal resource
allocation for each D2D link so as to reuse the radio
resources allocated to cellular links. Then an optimal
set of D2D links is formed to maximize spectral
efficiency. Finally, we develop an iterative algorithm
for computing the optimal power allocation. The
performance of the proposed power and resource
allocation scheme is verified through numerical results.
Keywords: Device-to-device (D2D), power allocation,
resource allocation, spectral efficiency.
1. Introduction
Recently, the use of device-to-device (D2D)
communications underlaying a cellular network has
attracted substantial interest due to its capability of
improving the spectral efficiency as well as enabling
new proximity-based applications. Especially, the reuse
of resources allocated to the cellular uplink is accepted
as a viable approach to D2D communications. Since
the cellular and D2D links may interference with each
other, however, it is necessary to manage the potential
interference through effective resource and power
allocation algorithms [1].
In this paper, we consider a scenario where D2D
links can primarily use exclusive resources allocated
for only D2D communications. D2D links are also
allowed to reuse the cellular resources in an
opportunistic manner. Under these assumptions, we
develop an optimal resource allocation scheme that
maximizes the spectral efficiency while limiting the
mutual interference between D2D links and the
interference from D2D links to cellular links. We also
derive an optimal power allocation for the D2D links.
N : Number of subcarriers
N : Number of subcarriers
m =
1
Ns
pocue( m )
=
h
N o(m)
cb
hsh
( m, n )
n =1
cb
sh ( m , n )
n =1
pocue( m ) ,
2
N
s 2 n =1 hshdb( m , n ) .
(1)
(2)
- 54 -
2
N
hshd 2( md , n ) (dm2)d
=
n
1
. (3)
arg max log 1 + N
2 cue
m
cd
2
+
s
h
p
N
n =1 sh ( m, n ) sh ( m )
ICEIC 2015
Table 2: Simulation parameters
Parameter
Value
Cell radius
500m
Number of SH
subchannels
Number of
subcarriers per
subchannel (N)
Subcarrier
bandwidth
Parameter
Distance between
D2D Tx and Rx
Number of EX
subchannels
47
Value
50m
1
12
PSD of AWGN
-174
dBm/Hz
15kHz
Target SNR of
CUE
20dB
1.4
N =1
N
h d 2 d pexd 2(ds )
n =1 ex ( s , n )
*
s arg max d s log 1 + N
=
. (4)
2 i 2d
s
s
i 2d
2
i =1 hex (i , n ) pex (i ) + Ns
is
1.2
N =2
S
N =4
Nr : 3 , Nn rdtrd rodbsrtl
1
Nr : 1 , Nn rdtrd rodbsrtl
0.8
0.6
0.4
0.2
0
-50
-40
-30
-20
-10
10
20
3. Numerical results
2.3 Optimal power allocation
An optimal power allocation problem of the D2D
link can be expressed as
2
N s* N
hexd 2(sd, n ) pex ( s , n )
Maximize log 2 1 +
2
N
=
s 1=
n 1
+
h
p
s
sh ( s , n )
sh ( s , n )
s. t.
p
n =1
ex ( s , n )
+ psh ( s , n ) Pmax , p[ ex , sh ]( s , n ) 0,
sh ( s , n )
s .
p
n =1
(5)
4. Conclusion
We have proposed an optimal power and resource
allocation scheme for D2D communications that
maximizes the spectral efficiency by using both
exclusive and shared resources. Numerical results have
been presented to validate the performance of the
proposed scheme.
2
N
,
2
2
N s*
i 2d
hexd 2(sd, n )
ln 2 i =1 Cex (i , n ) hex (i , n ) + ls
is
s*
Pex* ( s , n )
where
N s*
i =1
is
zs
2
cd
cue
2
1
hsh ( s , n ) psh ( s , n ) + s
1
*
=
Psh ( s , n )
2
ln 2 ls + us
hshd 2( sd, n )
References
, (6)
pex
[1]
(7)
[2]
- 55 -
TO-2-4-3
Abstract
A wireless passive sensor network is a network of
sensor nodes which operate while consuming RF
energy transferred by external RF sources.
Theoretically, abundant energy can be continuously
transferred to a sensor node. In practice, however,
energy is scarce if the sensor node is apart from the
RF source by only a few tens of meters. Perceiving
such a scarcity of energy, we propose a polling MAC
scheme in which a directional antenna cyclically
radiates RF waves in many directions - for sensor
nodes to deliver packets to a sink node. Simulation
results show that there is an optimal radiating time
which maximizes the network-wide throughput.
1. Introduction
A wireless passive sensor network is a network of
sensor nodes which operate while consuming radio
frequency (RF) energy transferred by external RF
sources [1]. Theoretically, abundant energy can be
continuously transferred to a sensor node. In practice,
however, energy is scarce if the sensor node is apart
from the RF source by only a few tens of meters [2].
For example, suppose that a sensor node stands 10 m
away from an RF source. Also, assume that sensor
node and RF source are equipped with omni-directional
antennas with 8 dBi and 15 dBi gains, respectively [1].
Then, the Friis transmission equation says only 0.79
mW to be induced on the sensor nodes antenna.
Perceiving such a scarcity of energy, we propose a
polling medium access control (MAC) scheme [3] in
which a directional antenna cyclically radiates RF
waves in many directions - for sensor nodes to deliver
packets to a sink node.
In section 2, we propose and describe a polling
MAC scheme for sensor nodes to deliver packets to a
3. Performance
To investigate the performance of the proposed
MAC scheme, we use a simulation method. The
following is the simulation environment:
(1) Time is slotted.
(2) The beam width of the directional antenna at the
RF source is 30. The RF source cyclically radiates RF
- 56 -
ICEIC 2015
waves at each of 12 directions for an integer-multiple
of slots (denoted by ).
(3) It takes 2 slots for a sensor node to collect raw
data and encapsulate them into a packet.
(4) The backing-off time is uniformly distributed in
.
(5) A packet transmitted by a sensor node
experiences a path loss, which is modeled as
References
[1] O. Akan, M. Isik and B. Baykal, Wireless Passive
Sensor Networks, IEEE Communications Magazine, vol. 47,
no. 8, pp. 92-99, August 2009.
[2] C. Mikeka and H. Arai, Design Issues in Radio
Frequency Energy Harvesting System, A Sustainable
Energy Harvesting Technologies Past, Present, Future,
InTech, 2011.
4. Conclusions
A wireless passive sensor network is a network of
sensor nodes which operate while consuming RF
energy transferred by external RF sources. While
abundant energy can be continuously transferred to a
sensor node in theory, energy is scarce in practice.
Perceiving such a scarcity of energy, we propose a
polling MAC scheme. From simulation results, we
confirmed that the network-wide throughput can be
maximized by controlling either the power radiated by
- 57 -
TO-2-4-4
1. Introduction
Massive multiple input multiple output (MIMO) is
considered to be one of very promising scheme for the
next generation, so called, 5G, cellular systems. There
are many benefits in massive MIMO. It increases
spectral efficiency to meet the demand of high data rate
for the 5G cellular service although the user has single
antenna. Moreover massive MIMO provides high
energy efficiency compared to many other cellcooperative technologies [1]. Note that the network
energy consumption has been one of main design issues
for the future wireless systems due to the interest in
energy saving, and massive MIMO has been shown to
increase the network energy efficiency. In addition,
using massive number of antennas generates the quasiorthogonal channels among users which makes the
simple matched filter precoding possible [2].
However, using many antennas needs the same
number of orthogonal pilot signal as the number of
antennas and thus the orthogonality among the users in
different cells is not guaranteed which is called pilot
contamination.
In [3], the pilot contamination is the main limiting
factor on the performance and needs to be overcome for
massive MIMO to be deployed. In this paper, we study
the effect of pilot contamination on channel estimation
by simulations using two different precoding schemes
such as matched filter (MF) and zero forcing (ZF).
(1)
where is the large-scale fading with the path loss
exponent, For simplification, we assume that a
distance between BS and the users , , is set to
2.5km and the other users are located in the cell edge
(d=5km). Throughout this paper, all users have 2
antennas so that where is
- 58 -
ICEIC 2015
Fig.2 the precoding leakage on PC with MF. N is the number of users who
has same pilot with desired user, Path loss exponent is 3.
Fig.3 the precoding leakage on PC with MF, ZF, and MF without PC.
3.
the small scale fading vector from the -th users -th
antenna to the is the channel matrix from -th user
to the . is the pilot sequence matrix. is the
noise vector with SNR = 10dB fixed.
, can be expressed as
(3)
(5)
and
(6)
(7)
which are defined as the precoding leakage.
(8)
3. Simulation Results
In this section, we present simulation results to validate
the precoding leakage of MF and ZF precodings. Fig.2
shows that the growth of the number of PC causes a
degradation of performance. In Fig.3, assuming that 2
surrounding co-channel cells have the same pilots
(N=2), we show the performance of MF without PC, MF
with PC, and ZF with PC. The number of BS antennas,
, is varied from 10 to 250. It can be observed in Fig.2
that the performance of MF is near-optimal to ZF and is
improved as the number of BS antennas increases.
Futhermore, when , the performance of MF is
superior to ZF since (5) is more affected by PC than (3).
We recognize that, in Massive MIMO system ,the
pilot contamination is non-negligible since the
probability of destroying the orthogonality among pilot
signals increases with the number of BS antennas.
4. Conclusions
In this work, we investigated the effect of pilot
contamination in massive MIMO. We considered
matched filter and zero-forcing precodings to see the
effect of pilot contamination. From the simulation
results, we verified that the pilot contamination
deteriorates the system performance. Therefore, it
seems necessary to find a method of eliminating the
pilot contamination.
ACKNOWLDEGEMENT
This work was supported by the Basic Science
Research program through the National Research
Foundation of Korea (NPF) funded by the Ministry of
Education, Science and Technology under Grant NRF2012R1A1A2004494.
References
[1] H.Q. Ngo, E.G. Larsson and T. L. Marzetta, "Energy and
Spectral Efficiency of Very Large Multiuser MIMO Systems,"
IEEE Trans. Comm.., vol.61, no. 4, pp. 1436-1449,April 2013
[2] J. Hoydis, S. T. Brink, and M. Debbah, Massive MIMO
in UL/DL of Cellular Networks: How Many Antennas Do We
Need?, IEEE J. Sel. Areas. Comm., vol. 31, o 2, pp. 160-171,
Feb.2013
[3] T. L. Marzetta, "Noncooperative cellular wireless with
unlimited numbers of base station antennas," IEEE Trans.
Wireless Comm., vol.9, no. 11, pp. 3590-3600, Nov.2010
- 59 -
ICEIC 2015
Oral Session
TO-2-5
Emerging Technologies
TO-2-5-1
1,2,3
I. INTRODUCTION
II. METHODOLOGY
A. Implementation
Bio-impedance measurement (BIM) system suggested in
[3,5] was used in this experiment. Since we need to acquire
heart beat signal from two points in order to get rid of motion
artifact, we built two identical systems separately. However,
only one current source was used to flow current.
PPG (Reference)
Front
Back
- 61 -
ICEIC 2015
C. Calibration
Electrodes on front and back side were not aligned
symmetrically so resulting peaks of two signals did not occur
at the same position. Therefore, we defined variable k to shift
to be in appropriate position to give out effective
outcome for ICA processing.
In addition, in most cases, except for trial 4, region without
motion artifact was marginal in magnitude so this region was
multiplied by proper constant to make its magnitude
commensurate with the magnitude of the part containing
motion artifact. To achieve this goal, threshold was set to detect
area where motion artifact is not added. Then, proper constant,
3 or 5 depending on the magnitude of the noisy part, was
multiplied. Nonetheless, the beginning and last part of section
with motion artifact can also be included in this threshold so
this part was reduced back to original magnitude afterwards.
For PPG reference signal, in order to see peaks more clearly,
PPG signal was converted to second derivative PPG (SDPPG).
As a result, peaks were more obvious than PPG signal which
made it more convenient to compare the location of peaks.
III. RESULTS
* Boxed area indicates the region with motion artifact.
1) Trial 1
Front
ICA
Back
Fig. 2 Raw BIM signals (left) and separated components
after ICA (right) Trial 1
- 62 -
ICEIC 2015
11 peaks were detected in the calibrated signal which is
exactly same with the number of peaks in PPG reference signal
(Fig. 3). 4 peaks that were vague in the raw signal due to motion
artifact were found. Spacing between peaks was matching with
the reference signal.
2) Trial 2
Front
ICA
Back
Fig. 4 Raw BIM signals (left) and separated components
after ICA (right) Trial 2
Front
ICA
Back
3) Trial 3
Front
ICA
Back
Fig. 6 Raw BIM signals (left) and separated components
after ICA (right) Trial 3
- 63 -
ICEIC 2015
In Fig. 9, 11 peaks were measured for both the output signal
and the reference signal. 3 peaks that were concealed in the
motion artifact could be detected. Peak 4 was slightly
mismatching but overall, peaks appeared in similar positions
with the reference signal.
IV. CONCLUSIONS
5) Trial 5
Front
ICA
Back
Fig. 10 Raw BIM signals (left) and separated components
after ICA (right) Trial 5
ACKNOWLEDGMENT
This research was supported by the Basic Science Research
Program from the NRF of Korea funded by the Ministry of
Education (NRF-2013R1A2A1A01014872).
REFERENCES
Fig. 11 Calibrated output signal (above) with PPG
reference signal (below) Trial 5
As shown in Fig. 11, 10 peaks were found in this trial. The
number of peaks in two signals were identical like it was in
previous trials. 2 additional peaks were discovered in the output
signal. The intervals between peaks were same with the
intervals of the reference signal.
[1]
[2]
[3]
[4]
[5]
[6]
- 64 -
TO-2-5-2
Abstract
Different types of energy harvesters have been
intensively investigated for the renewable energy
applications. Especially piezoelectric energy harvesters
have been attracted attentions for their versatile
applications and high reliability. Both of (Na0.5K0.5)NbO3
(NKN) and the (Bi0.5Na0.5)TiO3 (BNT) piezoelectric
material are representative of the lead-free piezoelectric
ceramics. In this work, the (0.97)(Na0.5K0.5)NbO30.03(Bi0.5Na0.5)TiO3 ceramics were prepared by varying
the sintering temperature to improve the its ceramics
characteristics by complementary each other for using the
energy harvesters. We expect that optimized sintering
temperature can improve the piezoelectric and
ferroelectric
properties
of
(0.97)(Na0.5K0.5)NbO30.03(Bi0.5Na0.5)TiO3 ceramics for energy harvesting.
2. Experimental
1. Introduction
Recently, many intensive researches have been
investigated on the lead-free perovskite piezoelectric
ceramics such as (Na0.5K0.5)NbO3 (NKN) and
(Bi0.5Na0.5)TiO3 (BNT) materials due to their relatively
high piezoelectric and ferroelectric properties. NKN based
ceramics have been reported a piezoelectric constant (d33)
of 80 pC/N and a planar electromechanical coupling factor
(kp) of 40 %. BNT can be considered as one of the best
candidate among the additives for lead-free piezoelectric
ceramics because of its rhombohedral structure and similar
lattice parameters a = 3.98 with high ferroelectric
properties, Curie temperature (320 oC), and a relatively
large remnant polarization of 38 C/cm2 at room
temperature.
- 65 -
ICEIC 2015
0.8
Power (nW)
NKN-BNT
Pure NKN
0.7
Capacitance (nF)
0.6
0.5
3.0
2.5
40
2.0
30
1.5
20
1.0
10
0.5
0.4
0
0.3
1080
1100
1120
1140
1120
1140
1160
0.0
E = 1/2CV2
(1)
(C : the capacitance, V : the generated output voltage) The
measured generated output power value of the 0.97NKN0.03BNT sintered at 1140 C was about 37.91 nW.
According to the maximum power transfer theorem, the
maximum power delivered to a load by a source is attained
when the load impedance is equal to the Tehevenin
resistance of the source [3]. So, as the load impedance is
lower, the generated output power is higher.
4. Conclusion
1100
1160
1080
60
Voltage (V)
2.95
3.33
8.38
10.80
4.16
References
- 66 -
TO-2-5-3
Abstract
Recently,
biometric
based
identification/
authentication has begun to receive attention due to
its convenience and this trend stands out in mobile
environment. However, biometric based identification
/authentication has characteristics that biometric data
cannot be renewed, so that data should be stored and
managed through secure manners. In this paper, we
examine architecture of biometric matching system and
analyze vulnerabilities which can be occurred. On the
basis of this, we describe secure biometric data store
methodologies to store and manage biometric data
securely.
1. Introduction
Traditional identification/authentication is based on
something you know such as password mainly. Besides
this method, something you have(e.g., drivers license,
passport), something you are(e.g., biometric) also can
be used to identify/authenticate users identity. Among
these mechanisms, for convenience, biometric based
identification/authentication method have been
received attention. Recently, biometric based method
begin to make a mark in mobile environment.
There are many characteristics for each measure, but
one of the most difference is that biometric data cannot
be renewed when that data is leaked or stolen unlike
password or passport, etc. For this reason, biometric
data should be stored and managed securely more than
the other measures. Especially, it is the subject of
intensive consideration which store and manage
biometric data in mobile device because mobile device
is easy to lose due to its small size.
The remainder of this paper is organized as follows:
In section 2, we examine architecture of biometric
matching system which is categorized in four methods
according to allocating the biometric matching
- 67 -
ICEIC 2015
In order to protect the communication between the
biometric verification system and the card, a secure and
trusted channel is recommended (using Secure
Messaging according to ISO/IEC 7816 and
mechanisms defined by ISO/IEC 24761 for distributed
comparison verification).
Work-sharing on-card comparison is similar to oncard comparison except for the comparison procedure.
The process is schematically represented in Figure 3.
This type of comparison is designed for an ICC that
does not have sufficient processing capability to
execute the biometric data comparison. In this case,
certain activities that are computationally intensive, for
example, a mathematical transformation, are sent to the
biometric verification system to perform the calculation.
The result of the computation is sent back to the ICC so
that the final determination of the matching score is
calculated on the card. During the pre-comparison
calculation, communication takes place between the
card and the biometric verification system. A secure
and trusted channel is used to protect the
communication between the terminal and the card
unless the need for such protection is explicitly not
required for a particular operational environment. The
final comparison shall be performed in the card.
- 68 -
ICEIC 2015
Therefore, biometric data should be stored and
managed securely in mobile environment.
Off-card
External to ICC
Capture, Processing,
Comparison,
Decision,
Authorization
On-card
Capture, Processing
Worksharing
Capture, Processing,
Comparison
Systemon-card
Internal to ICC
Reference Data
Comparison,
Reference data,
Decision,
Authorization
Comparison,
Reference data,
Decision,
Authorization
Capture, Processing,
Comparison,
Reference data,
Decision,
Authorization
T5
T6
T7
T8
T9
T10
T2
privacy seriously. Especially, mobile device is handheld size and easy to lose so that the possibility of
biometric data leakage is higher than any other device.
T3
- 69 -
Vulnerabilities
- Manipulated biometric data that can be
entered through an unauthorized device
- Can be forged input biometric data
- Can be acquired due to the malfunction of
the device is incorrect biometric data
- Manipulated by the attacker or interrupted
during transmission in the signal processing
step of raw biometric data can be used for
illegal purposes
- Raw biometric data can be damaged, it may
be a transmission error unintentional reasons
of aging of the device, aging of the
transmission line.
- Acquiring equipment despite having a realtime detection function, inputted real-time
data can be intercepted during a transmission
and may be replaced by forged biometric
ICEIC 2015
data. Forged biometric data to be inputted to
the signal processing stage
- Degrade the performance due to the low
quality of Acquired raw data
- If does not delete the captured data, the data
can be leaked and illegally stored and used by
an attacker
- An attacker can be intercept biometric data
during a transmission, and it can be changed
and used for illegal purposes
T4
- Can be damaged due to transmission errors
of the unit on the unintended processing
biometric data
- If the changed biometric template, occurs an
incorrect comparison
T5
- Use of the leaked biometric template in the
comparison process, will be successful
comparison
- Exposure by the storage device trespass can
be leaked destruction, and loss
- If not encrypted biometric data, which can
T6
be exploited by an external attacker
- Biometric templates cant be erased
completely
- The processed biometric data may be
intercepted for the purpose of illegal use or
changed by an external attacker when it is sent
T7
to the comparing step
- Biometric data may be damaged due to
unintentional transmission errors
- Transmitted from the decision step results
may be modified or intercepted during
transmission in the decision step by the
T8
external malicious party
- Transmitted from the decision step results
can be damaged due to unintentional
transmission error
- May be replaced or intercepted by the
malicious attacker during the transfer to the
value of the comparison result from the
T9
comparison step
- The comparison results from the comparison
step can be damaged due to unintentional
transmission errors
- The threshold value of decision step can be
T10
changed by malicious attacker
Among these vulnerabilities, we focus on
vulnerabilities related to capture and storage in this
paper. For example, leakage for the reference data,
leakage templates through the loss of mobile device
correspond to those vulnerabilities. To store and
manage biometric data securely in mobile environment,
proper countermeasures should be established.
- 70 -
ICEIC 2015
[2] J. G. Herrero, Vulnerabilities and Attack Protection in
Security Systems based on Biometric Recognition,
Universidad de Cantabria, 2009.
5. Security analysis
Secure area
based
method
- do not need
key management
performed
comparison
within medium
Disadvantages
need
key
management
- need an additional
operation(such
as
encryption/decrypti
on)
- need a specific
medium
which
supports SE
6. Conclusions
As increasing the usage of mobile device, mobile
biometric system that biometric technology is applied
to mobile device also attracts public attention.
However, biometric data cannot be renewed when that
data is leaked or stolen unlike password based or token
based authentication. Especially it is the subject of
intensive consideration which store and manage
biometric data in mobile device because mobile device
is easy to lose due to its small size.
To address these problems, we examine architecture
of biometric matching system and describe security
vulnerabilities. On the basis of these description, we
describe secure biometric data store methodologies to
countermeasure and analyze those methodologies
security.
7. Acknowledgements
This research was supported by the ICT
Standardization program of MISP(The Ministry of
Science, ICT & Future Planning)
References
[1] ISO/IEC, Identification cards On-card biometric
comparison, ISO/IEC JTC1 SC17, 2010.
- 71 -
TO-2-5-4
I. I NTRODUCTION
With the increase in global carbon emissions and the
increasing awareness of government agencies and industries,
green energy solutions have emerged as a viable solution and
active area of research in many domains such as transportation,
communications, electrification etc. The primary objectives
of solar powered systems are to either provide electricity
based services in areas without grid power or to reduce the
dependence on traditional energy sources and their associated
environmental impact. Solar energy based systems are further
motivated by the increasing prices of conventional energy
sources and sharp decreases in the prices of PV panels
for harvesting solar energy. The greater popularity of solar
energy is also because it is more readily available than other
renewable resources, is more reliable and designing systems
based on it is simpler as compared to others. For example,
90% of the cellular base stations powered by green energy in
the world are powered by solar energy [1].
A solar powered system typically consists of solar panels (for harvesting solar energy), batteries (to store energy
for nights and weather periods), and an inverter (to covert
AC/DC). The problem of dimensioning such systems involves
estimating the required solar panel size and the number of
batteries in order to satisfy the systems operational requirements. Inaccuracies in the estimated dimensions may lead to
degraded or unacceptable performance as well as higher costs
[2]. Also, in the specific case of off-grid applications, any
errors in the estimation of the dimensions may cause very
unreliable performance and much higher outages than what
the system was designed for. A critical input that determines
the accuracy of the dimensioning results is the solar irradiance data. While historical long-term solar irradiance data is
available for certain places, such data is usually not available
for much of the developing world where the lack of reliable
- 72 -
ICEIC 2015
pgb
Good
Bad
pbb
pbg
Fig. 1. State diagram for transitions between good and bad days.
sky whereas DHI is the solar radiation that does not arrive
on a direct path from the sun, but has been scattered by the
molecules and particles in atmosphere and comes equally from
all directions [12].
The rest of the paper is organized as follows. Section II
presents the proposed model for generating solar irradiance
data. Section III presents the validation results while Section
IV concludes the paper.
II. P ROPOSED M ODEL
In this section we describe the proposed framework to
model the location-specific solar irradiance on an hourly basis.
The proposed model combines a Markov model for capturing
the day to day correlations in the weather patterns with
another model that captures the hourly variations in the solar
irradiance.
In existing literature, it has been shown that the solar irradiance levels for a given location are well modeled by a Markov
process [10]. In this paper, we refine the existing models to
propose a multi-state Markov model for characterizing the
solar irradiance. In the proposed model, we classify any given
day as either a bad or good weather day based on the
daily solar irradiance of that particular day. Intuitively one
can think of the sunny days to be good days and those with
clouds for a major portion of the day as bad days. From the
complete set of days, we then select % of the days as bad
days. Given a bad or a good day, the next day may be either
bad or good day. In order to capture occurrence of consecutive
bad or good weather days, we model this transition as a twostate Markov process. The transition probability matrix of this
Markov process is given by
pbb pbg
T=
(1)
pgb pgg
where pbb (resp. pgg ) is the probability of transition from a bad
day (good day) to a bad day (good day), and pbg = 1 pbb
(resp. pgb = 1pgg ) is the probability of transition from a bad
day (good day) to a good day (bad day). The state transitions
between the good and bad days are shown in Figure 1.
Now, in order to capture the hourly variations of the solar
irradiance, each hour is categorized into one of the four
possible categories. The four categories for bad and good days
are different and each category is characterized by its solar
(3)
b(1,1)(1,1) b(1,1)(24,4)
..
..
..
(4)
B=
.
.
.
b(24,4)(1,1)
b(24,4)(24,4)
1
min/max
cutoffs
average
0.9
State 4
0.8
pgg
0.7
State 3
0.6
0.5
State 2
0.4
0.3
State 1
0.2
0.1
0
0
10
12
14
16
18
20
22
- 73 -
ICEIC 2015
A. Parameter Estimation
1
min/max
cutoffs
average
0.8
4
X
r(i,j)(k,l) = 1,
III. R ESULTS
l=1
(6)
We can similarly define the the transition probability matrix
for a good weather day. The hourly state transitions for a bad
day are shown in Figure 4.
In this section we evaluate the proposed model for generating the solar irradiance data by comparing its results with
empirical data. To validate the methodology presented in this
paper, we consider two locations: Kolkata (India) and San
Diego (USA) and for both locations, our model used = 0.2.
The solar irradiance data for these locations was obtained from
the NREL database.
1.1
1.1
0.9
0.9
0.8
0.7
0.6
0.5
0.4
0.8
0.7
0.6
0.5
0.4
0.3
0.3
0.2
0.2
0.1
0.1
Synthetic
1.2
Empirical
1.2
2000
4000
Hour
6000
8000
2000
4000
6000
8000
Hour
- 74 -
ICEIC 2015
Synthetic
10
1.1
1.1
0.9
0.9
0.8
0.7
0.6
0.5
0.4
1.2
Solar Irradiance(kW/m2)
Empirical
1.2
0.8
0.7
0.6
0.5
0.4
7
6
5
4
3
0.3
0.3
0.2
0.2
0.1
0.1
2000
4000
6000
Hour
8000
0
Jan
2000
4000
6000
Hour
Feb
Mar
Apr
May
Jun
8000
Oct
Nov
Dec
Month
Fig. 6. Empirical vs synthetic solar irradiance data for San Diego (2009).
Fig. 8. Comparison of the mean and variance of the daily solar irradiance
values, empirical vs model generated data: San Diego (2009)
7
Empirical: Daily mean
1
Empirical: Hourly mean
Model: Hourly mean
Empirical: Hourly variance
Model: Hourly variance
0.9
0.8
0.7
0.6
0.5
0.4
0.3
0.2
0.1
0
Jan
Feb
Mar
Apr
May
Jun
Oct
Nov
Dec
0
1
Month
11
13
15
17
19
21
23
Hour
Fig. 7. Comparison of the mean and variance of the daily solar irradiance
values, empirical vs model generated data: Kolkata (2009)
Fig. 9. Comparison of the mean and variance of the hourly solar irradiance
values, empirical vs model generated data: Kolkata (June 2009)
- 75 -
ICEIC 2015
1.1
Empirical: Hourly mean
Model: Hourly mean
Empirical: Hourly variance
Model: Hourly variance
0.9
0.8
0.7
0.6
0.5
0.4
0.3
0.2
0.1
0
11
13
Hour
15
17
19
21
23
Fig. 10. Comparison of the mean and variance of the hourly solar irradiance
values, empirical vs model generated data: San Diego (May 2009)
R EFERENCES
- 76 -
TO-2-5-5
Abstract
Cardiovascular disease is the main leading cause
behind global mortality in the world. It is the single
leading cause of death in both developed and
developing countries. In this article, we propose
Interactive Ensemble Method for the Classification of
Electrocardiogram Signals, temporal feature and
morphological feature have been used. The proposed
classification system achieves average accuracies,
sensitivity and positive productivity better than the
current state of the art algorithms in the term of AAMI
norm, the global accuracies , sensitivity and positive
productivity after 20 iteration are 99.7%, 95.8% and
98.2%, and our result for detection of ventricular
ectopic beat(VEB) and Supra-VEBs(SVEBs) achieved
better than state of the art, after 20 iteration for VEB
(OA=100, Se=99.7 and Pp=99.9) and for
SVEB(OA=99.9, Se=94.3 and Pp=96.7).
Keywords: Semiautomatic, ECG, ELM.
1. Introduction
The analysis of the ECG signal provides a
comprehensive and inexpensive technique that help in
diagnoses Cardiac disease conditions by identifying
abnormal heartbeats in the ECG signal through
analyzing the heart function for different cardiac
conditions. The classification of heartbeats is one of the
most important analysis performed in the ECG, which
is very Significant for the study of arrhythmias. A
careful inspection is required for detection and
diagnosis infrequent or subtle arrhythmias of long-term
recordings. Some types of arrhythmias may represent a
long-term threat without a proper treatment while other
types represent life threat in the short term. Therefore,
Using an automatic algorithms represents an important
that allows cardiologists to improve their diagnostics [1].
- 77 -
ICEIC 2015
Let
= {( , )}
a training set from X
composed of N training feature vectors of dimension
d and {1, , } are class labels. P represents the
number of classes. The ith output of a multiclass ELM
classifier with P-output nodes is given by:
() = () , = 1, ,
(1)
Where is the vector of the output weights
between the hidden layer of L nodes and the jth output
node. In the case of binary classification, the ELM is
characterized by one output node. () is the
(row) output vector of the hidden layer with respect to
the input . It maps the input data from the ddimensional space to the L-dimensional ELM feature
space. This feature mapping could be known such as in
standard neural networks classifiers or done in the
kernel space by applying Mercer's conditions on ELM.
The optimization problem associated with ELM is
given as follows:
1
1
= . + 0 12 3
2
2
E F( ) ? + A G
H
(3)
= J K + JJ N :
(4)
M
where H is the hidden layer output matrix and it is
defined as follows:
( ) ( )
( )
R
J = P R = P
(5)
( ) ( )
( )
R
:=P R=P
(6)
?
?
:
'
- 78 -
ICEIC 2015
U( ) = P
R K + ](, )N :
(9)
M
\ ( , )
100
100
90
90
80
%OA
80
70
60
10
15
Queries
20
70
60
ENTROPY
BT
RAND
ENTROPY
BT
RAND
50
40
25
(a)
10
15
Queries
20
25
(b)
100
90
80
%Se
%Pp
70
60
ENTROPY
BT
RAND
50
40
10
15
Queries
20
25
(c)
Figure 2: MIT_BIH Classification Accuracy
obtained for global: (a) Overall Accuracy, (b)
Average positive productivity (c) Average sensitivity.
- 79 -
ICEIC 2015
(1 iteration) all the accuracies for the BT selection
become superior to 90% (Se=93.17%, Pp=92.54,
Sp=99.63 and OA=99.32), after adding 100 sample per
record (10 iterations) the result will be (Se =99.12%,
Pp=99.17%, Sp=99.96% and OA= 99.92%). The
performance results for SVEB detection based in 14
common testing records, the automated system results
are (Se =44.83%, Pp=3.02%, Sp=91.24%and
OA=90.98%), after adding 140 samples per records the
result will be (Se =90.34%, Pp=95.9%, Sp=99.98% and
OA= 99.92% ), the result stable after adding 200
samples per records (20 iteration) (Se =94.27%,
Pp=96.71%, Sp=99.98% and OA=99.95%) table (4).
system are (Se=73.56%, Pp=68.84%, Sp=97.43%
and OA=95.77%). after adding 20 samples per records
the convergence of the result become clearly high, the
result will be (Se=95.73, Pp=91.39%, Sp=99.32% and
OA=99.07%), after adding 200 sample per record the
result will be (Se=99.55%, Pp=99.62%, Sp=99.97% and
OA=99.94%) table (4).
Compares our results with state-of-the-art methods.
We observe clearly that the newly proposed scheme
performs better in terms of accuracy sensitivity
specificity and positive productivity in VEB and SVEB
detection.
B.
PROPOSED
Assisted
Average
OA
Se
Pp
86
83
57
78
83
58
88.34
86.82
60.63
86.4
62.58
53.85
0
50
100
150
200
69.72.38
97.10.20
99.50.01
99.60.01
99.70.02
45.64.93
77.11.59
93.80.75
94.30.87
95.80.56
40.43.09
91.42.46
95.90.27
97.50.66
98.20.25
Method
Assisted
Chazal et
al [22]
Alexander
et al.[6]
Hu et al
[23]
Chazal et
al [18]
Ince et al
[20]
Jaing et
al[24]
Proposed
- 80 -
VEB
Se
Pp
Sp
OA
0
50
200
500
75.9
87.4
87.1
87.7
38.5
49.1
50.6
47
N/A
N/A
N/A
N/A
94.6
96.2
96.4
95.9
500
86.19
56.68
97.45
N/A
300
N/A
N/A
N/A
N/A
76.4
38.7
93.2
92.4
300
81.8
63.4
98.5
96.1
300
74.9
78.8
98.8
97.5
0
50
100
150
200
44.88.27
72.13.19
84.01.67
91.23.29
94.31.84
3.00.85
85.21.80
93.81.61
95.52.51
96.72.06
91.22.98
99.90.01
100.00.01
100.00.01
100.00.01
91.02.93
99.80.02
99.90.01
99.90.01
99.90.01
ICEIC 2015
Assistance, IEEE Trans. Biomed. Eng., vol. 59, no. 8, pp.
23122320, 2012.
Assisted
Se
Pp
Sp
OA
Chazal et
al [22]
0
50
200
500
77.7
86.2
89.2
94.3
81.9
93.8
94.2
96.2
N/A
N/A
N/A
N/A
97.4
98.7
98.9
99.4
500
92.43
94.82
99.6
N/A
300
78.9
75.8
96.8
94.8
94.3
95.8
99.4
96.4
300
90.3
92.2
98.8
97.9
300
94.3
95.8
99.4
98.8
Alexander
et al.[6]
Hu et al
(1)[23]
Chazal et
al[18]
Ince et
al[20]
Jaing et
al[24]
Proposed
[5] M. Llamedo, A. Khawaja, and J. P. Martinez, CrossDatabase Evaluation of a Multilead Heartbeat Classifier,
IEEE Trans. Inf. Technol. Biomed., vol. 16, no. 4, pp. 658
664, Jul. 2012.
VEB
0
50
100
150
200
4. Conclusion
In this paper we proposed Interactive Ensemble
Method for the Classification of Electrocardiogram
Signals, temporal feature and morphological feature
have been used. The obtained result showed that our
classification system achieves better than the current
state of the art algorithms in the term of AAMI norm.
ACKNOWLEDGEMENT
This work was supported by Advanced Lab
Intelligent systems Research, CCIS, King Saud
University.
References
[1] M. Llamedo and J. P. Martinez, Heartbeat Classification
Using Feature Selection Driven by Database Generalization
Criteria, IEEE Trans. Biomed. Eng., vol. 58, no. 3, pp. 616
625, Mar. 2011.
[4] M. Llamedo and J. P. Martinez, An Automatic PatientAdapted ECG Heartbeat Classifier Allowing Expert
- 81 -
ICEIC 2015
alignment for improved monitoring of ECG and fetal
movement, IEEE Trans. Biomed. Eng., vol. 60, no. 6, pp.
15801588, Jun. 2013.
[17] S.-M. Dima, C. Panagiotou, E. B. Mazomenos, J. A.
Rosengarten, K. Maharatna, J. V. Gialelis, N. Curzen, and J.
Morgan, On the Detection of Myocadial Scar Based on
ECG/VCG Analysis, IEEE Trans. Biomed. Eng., vol. 60, no.
12, pp. 33993409, 2013.
[18] P. De Chazal, M. ODwyer, and R. B. Reilly, Automatic
classification of heartbeats using ECG morphology and
heartbeat interval features, IEEE Trans. Biomed. Eng., vol.
51, no. 7, pp. 11961206, Jul. 2004.
[19] Z. Zhang, J. Dong, X. Luo, K.-S. Choi, and X. Wu,
Heartbeat classification using disease-specific feature
selection, Comput. Biol. Med., vol. 46, pp. 7989, 2014.
[20] T. Ince, S. Kiranyaz, and M. Gabbouj, A Generic and
Robust System for Automated Patient-Specific Classification
of ECG Signals, IEEE Trans. Biomed. Eng., vol. 56, no. 5,
pp. 14151426, 2009.
[21] M. M. Haque, L. B. Holder, M. K. Skinner, and D. J.
Cook, Generalized Query-Based Active Learning to Identify
Differentially Methylated Regions in DNA, IEEE/ACM
Trans. Comput. Biol. Bioinform., vol. 10, no. 3, pp. 632644,
2013.
[22] P. De Chazal and R. B. Reilly, A Patient-Adapting
Heartbeat Classifier Using ECG Morphology and Heartbeat
Interval Features, IEEE Trans. Biomed. Eng., vol. 53, no. 12,
pp. 25352543, 2006.
[23] Y.-H. Hu, S. Palreddy, and W. J. Tompkins, A patientadaptable ECG beat classifier using a mixture of experts
approach, IEEE Trans. Biomed. Eng., vol. 44, no. 9, pp. 891
900, Sep. 1997.
[24] W. Jiang and S. G. Kong, Block-Based Neural Networks
for Personalized ECG Signal Classification, IEEE Trans.
Neural Netw., vol. 18, no. 6, pp. 17501761, 2007.
[25] Y.-H. Hu, S. Palreddy, and W. J. Tompkins, A patientadaptable ECG beat classifier using a mixture of experts
approach, IEEE Trans. Biomed. Eng., vol. 44, no. 9, pp. 891
900, Sep. 1997.
[26] G.-B. Huang, H. Zhou, X. Ding, and R. Zhang, Extreme
Learning Machine for Regression and Multiclass
Classification, IEEE Trans. Syst. Man Cybern. Part B
Cybern., vol. 42, no. 2, pp. 513529, Apr. 2012.
- 82 -
TO-2-5-6
I. INTRODUCTION
Chronic Obstructive Pulmonary Disease (COPD) is one of the
most common lung diseases. It causes poor airflow in the lungs
which leads to shortness of breath, coughing, wheezing, chest
tightness, and sputum production. Although the disease can be
manageable if diagnosed early, it is often left undiagnosed which
could lead to the destruction of the lungs over time and hence
increases the rates of motility and morbidity. A typical case would be
reported only after losing 50% to 60% of normal lung functionality.
Furthermore, unlike Asthma, the lung functionality in a COPD
patient doesnt improve significantly with medication.
According to the World Health Organization [1], COPD was the
3rd leading cause of death worldwide affecting 329 million people or
5% of the worlds population. More than 3 million people were killed
in 2012 because of COPD, which suggests that one person was killed
every 10 seconds by the disease.
Tobacco smoking is considered one of the main causes of COPD.
Other causes include air pollution, inhalation of chemicals and dust
as well as second hand smoke and genetics.
Patients with COPD suffer on a daily basis when performing
routine activities. They cannot withstand simple physical activities
such as going up the stairs or having a walk. A COPD patient would
feel exactly as a person doing aerobics when doing simple life
activities.
COPD is typically diagnosed by measuring the amount of air flow
in the lungs using a traditional spirometer that is available in medical
clinics. Affordable portable home spirometers are available today,
but are limited in terms of measurement options, processing power
and memory. Furthermore, they dont provide feedback from
specialized doctors.
Recently, the utilization of hand held devices such as mobile
phones in health-related applications have been on the rise. The rich
features that todays mobile phones are equipped with provide
industry and researchers with a valuable opportunity to continue
improving human life by developing applications that address a wide
spectrum of issues.
This paper presents the design and implementation of a mobile
phone application that utilizes its built-in microphone to record the
users exhalation. The recording is then analysed on the phone using
- 83 -
ICEIC 2015
of the predicted value [4]. The predicted value is typically computed
based on the age, sex, height, weight, and ethnic group of the user.
Table 1 below shows the different lung measurements expected in
order to diagnose COPD and its severity based on the GOLD
standards [5].
TABLE I. GOLD Guidelines for Diagnosing COPD. The FEV1/FVC
result is assumed to be below 70% in all cases below.
COPD Severity
Mild
Moderate
Severe
Very Severe
FEV1 Results
Several studies have been conducted that experiment with the use
of computers and mobile phones to diagnose COPD. Abushakra and
Faezipour experimented with 20 users to inhale and exhale forcefully
into a microphone connected to a computer [6]. Based on the energy
of the signal, the volume of the lung (FVC) was calculated. The
analysis was performed using Voice Activity Detection (VAD)
which was done using MATLAB. The procedure was tested on 20
users at the same location to provide uniformity. Their procedure
yielded an overall accuracy of 86.42% when compared to the clinical
spirometer results.
Xu et al. [7] designed and developed a mobile phone application
to diagnose COPD. The application, referred to as mCOPD, requires
the user to blow into the phones microphone and does the analysis
on the phone. The procedure was tested on 40 users and yielded
results that were within 3.9% - 6.5% from the clinical spirometer
results.
Larson et al. [8] developed an iPhone mobile application, known
as SpiroSmart. Unlike mCOPD, the application sends the recorded
exhalation into an external server for analysis. The procedure was
tested on 52 users and showed a mean error of 5.1% when compared
to clinical spirometers.
Stein [9] proposed another Android mobile application to detect
COPD. The phone is placed at a distance of 30 cm from the user and
does the calculations on the phone. The application was tested on two
patients and showed relatively good results. However, further testing
is needed to confirm the effectiveness of the application.
Figure 2. (a) Recorded signal of the exhalation and (b) its corresponding
air flow result.
- 84 -
ICEIC 2015
(array indices). The positive Y-axis values represent inhaling,
therefore, the lungs has air in it, whereas the negative values
represent the exhalation, therefore, it is negative.
FVC/FEV1 was computed for each sample. Out of the 134 healthy
samples, the mobile phone application identified 18.66% samples as
possible COPD patients. While for the clinical spirometer, out of 271
healthy samples, 16.97% samples were identified as possible COPD
patients.
False positive diagnosis is expected in both the smartphone
application as well as the clinical spirometer device if the test is not
performed correctly. Both tests require training first before taking the
readings. The user is usually instructed verbally on how to perform
the test. However, it was noticed that users needed to at least try the
spirometry test on the application twice to perform the test correctly.
It was also observed that if the test was first practised in front of the
user, there is a better chance that the user will perform the test
correctly. Finally, exhaling into a phone microphone is safer as
opposed to blowing into a clinical spirometer tube due to hygiene
reasons.
Finally, a user suffering from asthma was also used to test the
system. The user provided 31 samples using the mobile phone, out of
which 90.32% indicated that the user suffers from COPD. The user
also provided 29 samples using the clinical spirometer, out of which
69.23% indicated that the user suffers from COPD.
V. CONCLUSION
A new efficient, user-friendly approach for diagnosing COPD
using mobile phones is proposed. The approach takes advantage of
the built-in microphone and proximity sensor in correctly recording
the users exhalation. The processing is done on the phone and
doesnt require external servers. The data is also securely shared with
an external server to be checked by specialized doctors. The
spirometry results on the phone are compared to the clinical
spirometer results. The results suggest that about 95% of the time the
results of the phone matched the clinical spirometer results. The
developed application is not intended to replace clinical spirometers,
but can replace portable home spirometers. The application is
expected to enhance the lives of COPD patients and to help diagnose
patients at an earlier stage.
REFERENCES
[1] The 10 leading causes of death in the world, 2000 and 2011, World
Health Organization, July 2013.
[2] A. Qaseem, T. Wilt, S. Weinberger, N. Hanania, G. Criner, T. van der
Molen, D. Marciniuk, T. Denberg, H. Schnemann, W. Wedzicha, R.
MacDonald, and P. Shekelle, Diagnosis and management of stable
chronic obstructive pulmonary disease: a clinical practice guideline
update from the American College of Physicians, American College of
Chest Physicians, American Thoracic Society, and European Respiratory
Society, in Annals of Internal Medicine, 155 (3), 17991, August 2011.
[3] V. Young, Blueprints Medicine, 5th ed. Philadelphia: Wolters Kluwer
Health/Lippincott William & Wilkins. 69, 2010.
[4] Clinical guideline 101: Chronic Obstructive Pulmonary Disease,
National Institute for Health and Clinical Excellence, London, June 2010.
[5] J. Vestbo, Diagnosis and Assessment in Global Strategy for the
Diagnosis, Management, and Prevention of Chronic Obstructive
Pulmonary Disease in Global Initiative for Chronic Obstructive Lung
Disease, 917, 2013.
[6] A. Abushakra and M. Faezipour, Lung capacity estimation through
acoustic signal of breath, in Proc. of the IEEE Intl Conference on
Bioinformatics & Bioengineering (BIBE), 386-391, 2012.
[7] W. Xu, M. Huang, J. Liu, F. Ren, X. Shen, X. Liu, and M. Sarrafzadeh,
mCOPD: mobile phone based lung function diagnosis and exercise
system for COPD, in Proc. of the Intl Conference on Pervasive
Technologies Related to Assistive Environments (PETRA), no. 45, 2013.
[8] E. Larson, M. Goel, G. Boriello, S. Heltshe, M. Rosenfeld, and S. Patel,
SpiroSmart: using a microphone to measure lung function on a mobile
phone, in Proc. of the ACM Conference on Ubiquitous Computing, 280289, 2012.
[9] B. Stein, A Mobile Smart Care Platform Home spirometry by using the
smart phone microphone, Masters Thesis, Leiden Institute of Advanced
Computer Science, Leiden University, The Netherlands, August 2013.
- 85 -
ICEIC 2015
Oral Session
TO-3-1
Special Symposium on Intelligent
Control and Robotics II
TO-3-1-1
1. Introduction
Quadrotors are versatile robotic platforms to enable
many useful tasks in the 3D environments, for which
typical conventional robots (e.g., manipulators,
wheeled robots) cannot be utilized.
Aerial
photography, movie shooting, surveillance and
reconnaissance are some of the most representative
applications. Many strong results have been proposed
for this motion control of quadrotors, with numerous
fun-to-watch videos and demonstrations in and out of
research laboratories. Yet, just for the case of robotic
manipulators, to be truly useful, on top of this rather
passive moving ability, quadrotors should possess
ability to physically interact with environment/object,
and eventually, capability of dexterous and robust
aerial manipulation.
In this work, two recent results [1,2] on quadrotor
aerial manipulation are summarized: 1) for the aerial
manipulation using a rigid-tool attached on the
- 87 -
ICEIC 2015
quadrotor is under-actuated only with 4 rotors. This
under-actuation, in fact, gives a rise to the issue of
internal dynamics, which turns out to be necessarily
unstable if the tool is attached above the center-of-mass
of the quadrotor, and even can exhibit finite-time
escape behavior. See Fig. 2 for hybrid position/force
control results, that were obtained in [1].
References
[1] H-N. Nguyen and D. J. Lee, "Hybrid force/motion control
and internal dynamics of quadrotors for tool operation", Proc.
IEEE/RSJ Int'l Conf. on Intelligent Robots & Systems, pp.
3458-3464, 2013.
[2] H. Yang and D. J. Lee, "Dynamics and control of
quadrotor with robotic manipulator", Proc. IEEE Int'l
Conference on Robotics & Automation, pp. 55445549,
2014.
- 88 -
TO-3-1-2
Abstract
This paper will present how ideas taken from
adaptive control can be combined with the visual
servoing framework and help improve the
performance of small unmanned aerial vehicles
in various aspects. In particular, experimental
results on multirotor platforms will be reported.
1. Introduction
Unmanned aerial vehicles, which are commonly
referred to as drones, have been attracting huge
interests for the past two decades. They offer promising
platforms in various applications ranging from
monitoring, surveillance, security, and defense. This
potential has led to research activities in sensing,
planning, and control techniques for UAVs. In
particular, small vertical-takeoff-and-landing (VTOL)
UAV platforms with multiple rotors have been
receiving unprecedented popularity both from public
and research communities, due to their simple physical
structure enabling various exciting demonstrations.
This talk will present how ideas taken from
adaptive control can be combined with the visual
servoing framework and help improve the performance
of small unmanned aerial vehicles in various aspects.
Experimental results reported in this talk have been
obtained by applying such ideas mostly to multirotors.
In visual-information-based control, or visual servoing,
a multirotor platform is controlled to its desired states
using visual feedback obtained from a camera mounted
onboard the multirotor. Adaptive visual servoing can
improve the robustness in visually tracking an object
with unknown dynamics. Multirotors may be required
to land autonomously or perform operations near a
- 89 -
ICEIC 2015
Acknowledgement
This research has been supported in part by
Ministry of Science, ICT and Future Planning through
the grant 2014-023406.
References
[1] T. Hamel, and R. Mahony, Visual serving of an underactuated dynamic rigid body system: an image based
approach, IEEE transaction on Robotics and Automation,
Vol. 18, No. 2, pp. 187-198, 2002.
[2] F. Chaumette and S. Hutchinson, Visual Servo Control
Part1: Basic Approaches, IEEE Robotics and Automation
Magazine, Vol. 13, No. 4, pp. 82-90, 2006.
[3] D. Lee, H. Lim, H.J. Kim, Y. Kim and K. Seong,
Adaptive image based visual serving for an under actuated
quad rotor system, AIAA Journal of Guidance, Control, and
Dynamics, Vol. 35, No. 4, pp. 1335-1353, 2012.
[4] D. Lee, R. Tyler, and H.J. Kim, Autonomous landing of
a VTOL UAV on an moving platform using image-based
visual servoing, IEEE International Conference on Robotics
and Automation, pp. 971-976, St. Paul, Minnesota, May
2012.
[5] S. Kim, S. Choi and H.J.Kim, Aerial manipulation using
a quad rotor with a two DOF robotic arm, IEEE/RSJ
International Conference on Intelligent Robots and Systems,
pp. 4990-4995, Tokyo, Japan, 2013.
[6] J. Thomas, G. Loianno, K. Sreenath, and V. Kumar,
Toward Image Based Visual Servoing for Aerial Grasping
and Perching, IEEE International Conference on Robotics
and Automation, pp. 2113-2118, Hong Kong, China, June
2014.
- 90 -
TO-3-1-3
Abstract
This manuscript proposes solutions to the problems
given in an international competition (iMAV2014),
which involves micro air vehicles in urban postdisaster search and rescue missions. Solutions to all
four mission elements of the competition which include
real-time map stitching, low altitude navigation,
indoor navigation and roof-top perching are described
in this manuscript. The proposed solutions are tested
and realized in the competition with actual flights.
Keywords: Search and rescue, unmanned aircraft
systems, flight control
1. Introduction
In the recent years, unmanned aerial vehicles
(UAVs) play major roles in many military and civilian
applications, especially in aerial reconnaissance, search
and rescue, and area exploration. While sensors and
processors are getting smarter and smaller in size,
micro-UAVs, or more commonly known as MAVs, are
introduced to replace the large scale UAVs. These
MAVs are more suitable for short missions such as
indoor surveillance [1, 2].
In September 2014, the Mavlab from TU Delft has
organized the International Micro Air Vehicle (iMAV)
competition, which was held in Delft, the Netherlands.
The competition consists of a single mission that
combines both outdoor and indoor mission elements.
The first mission element requires the MAVs to
survey a village and then build an aerial map based on
the images taken by the MAVs. Several obstacles on
the major roads of the village are then identified on the
aerial map. Second mission element requires the MAVs
to navigate from houses to houses in the village, and to
- 91 -
ICEIC 2015
coordinates to maximize the image coverage. Once the
designated flight path has completed the last waypoint,
the flight control processor will trigger the vision
processor to start the image stitching process with the
recorded on-board images. With such intelligence, the
image stitching task can be done in-flight and the result
is ready to be rendered to the ground control station
once the MAV returns home
6. Conclusions
Solutions to the mission elements in the iMAV
competition are proposed in this manuscript. Our team
from the NUS has taken part in the competition and
realized our solutions on actual flights. All mission
elements are carried out in fully autonomous mode,
where no human interference occurs during the
missions. The overall result places the NUS team as the
champion of the competition.
References
[1] M. Achtelik, A. Bachrach, R. He, S. Prentice and N.
Roy, Autonomous navigation and exploration of a
quadrotor helicopter in GPS-denied indoor environments,
IEEE Int. Conf. Robotics and Automation, Kobe, 2009.
[2] S. Bouabdallah, P. Murrieri and R. Siegwart, Design
and control of an indoor micro quadrotor, IEEE Int. Conf.
Robotics and Automation, New Orleans, LA, USA, pp.
43934398, 2004.
[3] F. Wang, J. Q. Cui, B. M. Chen and T. H. Lee, A
comprehensive UAV indoor navigation system based on
vision optical flow and laser FastSLAM, Acta Automatica
Sinica, Vol. 39, No. 11, pp. 1889-1900, November 2013.
- 92 -
ICEIC 2015
Oral Session
TO-3-3
Sensors and Images
TO-3-3-1
1. Introduction
Video completion is an important post-processing of
video stabilization to reconstruct missing boundaries
that are lost in the process of frame registration [1].
Traditional video stabilization method enlarges the
center region of each video frame to cover the missing
boundaries, but recently video completion can
reconstruct those boundaries without enlarging the
frames [2].
4. Conclusion
- 94 -
ICEIC 2015
Acknowledgment
This work was supported by the ICT R&D program of
MSIP/IITP [14-824-09-002, Development of global
multi-target
tracking
and
event
prediction
techniques based on real-time large-scale video
analysis], by the MSIP(Ministry of Science,
ICT&Future
Planning),
Korea,
under
the
ITRC(Information Technology Research Center)
support
program
(NIPA-2014-H0301-14-1044)
supervised by the NIPA(National ICT Industry
Promotion Agency), and by the Technology Innovation
Program (Development of Smart Video/Audio
Surveillance SoC & Core Component for Onsite
Decision Security System) under Grant 10047788.
References
[1] Y. Wexler, E. Shechtman, and M. Irani, Space-Time
Video Completion, Proc. IEEE Computer Vision, Pattern
Recognition, 2004.
[2] Y Matsushita, et al., Full-Frame Video Stabilization
with Motion Inpainting, IEEE Trans. Pattern Analysis,
Manchine Intelligence, vol. 28, no. 7, July 2006.
- 95 -
TO-3-3-2
Abstract
This paper presents a novel up-scaling method
using combined directional interpolation and local
self-similarity method. The proposed method magnifies
the FHD to the UHD image without blurring and
jagging artifacts compared to the conventional upscaling methods.
Keywords: up-scaling, super-resolution.
3. Experimental Results
(a)
(b)
(c)
Fig. 1. Four times up-scaling results from the FHD image: (a)
the input FHD image, (b) Freemans method [3], (c) the
proposed method.
1. Introduction
The conventional up-scaling methods generate the
blurring and jagging artifacts around edge regions in
the magnified image. To solve this problem, this paper
presents the up-scaling method from the FHD to UHD
image using combined directional interpolation [1] and
local self-similarity [2].
4. Conclusion
The experimental results show that the proposed
method magnifies the FHD to UHD image without
blurring and jagging artifacts compared with the
advanced up-scaling method.
2. Proposed method
The degradation model of LR (low-resolution) to
HR (high-resolution) image is given by
y = (x B) #d :
(1)
where, y denotes the FHD image as LR image, x
denotes the UHD image as the restored HR image, #d
is a down-sampling operation, is a convolution
operator, and B is the blur kernel.
For the initially estimated HR image x 0 , the LR
image y is magnified using directional up-scaling
method [1] without jagging artifacts in the edge regions.
The lost high-frequency components in the up-scaling
process are compensated from the estimated highfrequency using the local self-similarity [2] method and
logarithmic transform.
The lost high-frequency components in the
convolution with blur kernel B are estimated using
local self-similarity between the LR image y and
initially estimated HR image x 0 in the logarithmic
transform domain. Finally, the HR image x^ is restored
- 96 -
Acknowledgement
This work was supported by the ICT R&D program
of MSIP/IITP. [14-824-09-002, Development of global
multi-target
tracking
and
event
prediction
techniques based on real-time large-scale video
analysis], by the Ministry of Culture, Sports and
Tourism(MCST) and Korea Creative Content
Agency(KOCCA) in the Culture Technology(CT)
Research & Development Program
References
[1] W. Kang, J. Jeon, E. Lee, C. Cho, J. Jung, T. Kim, A.
Katsaggelos, and J. Paik, Real-time super-resolution for
digital zooming using finite kernel-based edge orientation
estimation and truncated image restoration, Int. Conf. Image
Processing, IEEE, pp. 1311-1315. September 2013.
[2] G. Freedman and R. Fattal, "Image and video upscaling
from local self-examples," Trans. on Graphics, ACM, vol. 30,
no. 2, pp. 111, April 2011.
[3] W. T. Freeman, T. R. Jones, and E. C. Pasztor,
Example-based super resolution, Computer Graphics and
Applications, IEEE, pp. 1222-1239, November 2001.
TO-3-3-3
!
"
#
!$%&
)('*((!
/-
"',. + .+01
, +./
+0,0+. 0/,. + .+0
#
% .
)
)
)
-.-
1
+ #
)
% .
-
.
.
.
-
) )
.
& 2&3 )
-
.
. )1 .
+
#"
#$
" %
&
' """
%
&
. % / ) . % /
. % / &
*)
. % /
2)
. % /3+ 0&1
. /
% (!
) . % / &
4
"
"
"" )
. % 5
5
/ 5
0 0
.7/
./
./
"#(
&
#'
)
%"&
*"+
. % / . % 5
5
/ 5
0 0
2)
. % /3
2)
. % /3
) &
6 $
. % /
$
$"
) . % /
9 "
""
$
&
,
./
- 97 -
TO-3-3-4
Fast Semantic Place Recognition Using Bag of Features and Support Vector
Machine
Semi Jeon1, Eunjung Chae2, Eunsung Lee2, Inhye Yoon2, and Joonki Paik2
1School of Integrative Engineering, Chung-Ang University
2Department of Image, Graduate School of Advanced Imaging Science, Multimedia, and Film,
Chung-Ang University
semi2530@gmail.com, nia38@cau.ac.kr, lessel7@cau.ac.kr, inhyey@gmail.com,
paikj@cau.ac.kr
Abstract
This paper presents a Semantic Place Recognition
algorithm using bag-of-features and support vector
machine. The proposed place recognition method is
suitable for input images and can be applied for robot
vision, medical imaging, and navigation systems.
Keywords: place recognition, bag of feature, support
vector machine
1. Introduction
One of the main research challenges in mobile robotics
is to provide robots the capability to move autonomously
in real world unconstrained scenarios [1]. Therefore over
the past few decades, many place recognition method have
been proposed. The conventional place recognition method
used nearest classification [2]. But, these method occur
wrong results because include the outliers.
- 98 -
3. Experimental Results
For evaluating quantities, we training set 15 categories.
Table I shows mean of accuracy values and the confidence
matrix of different algorithm. The result of nearest method
had a lower accuracy values better than the proposed
method. Experimental results of place recognition are
shown in Table II.
TABLE I. ACCURACY VALUES AND
CONFIDENCE MATIRX
Nearest Method
Proposed Method
0.531
0.659
ICEIC 2015
TABLE II. RESULT OF PLACE RECOGNITION
Category
name
Accuracy
office
0.760
suburb
0.860
Forest
0.950
Sample training
images
Sample true
positives
4. Conclusion
In this paper, we proposed place recognition by using
bag of features and support vector machine. Traditional
place recognition methods refer nearest pixel so it had a
lower accuracy of the place recognition. As a result,
experimental results showed that the proposed place
recognition algorithm is suitable for input images and can
recognize place with high accuracy and fast computation
by using bag-of-features. The proposed place recognition
algorithm can be applied for not only robot vision but also
medical image processing and computer vision.
Acknowledgment
This work was supported by the ICT R&D program of
MSIP/IITP [14-824-09-002, Development of global multitarget tracking and event prediction techniques based on
real-time
large-scale
video
analysis],
by the
MSIP(Ministry of Science, ICT&Future Planning), Korea,
under the ITRC(Information Technology Research Center)
support program (NIPA-2014-H0301-14-1044) supervised
by the NIPA(National ICT Industry Promotion Agency),
and by the Technology Innovation Program (Development
of Smart Video/Audio Surveillance SoC & Core
Component for Onsite Decision Security System) under
Grant 10047788.
References
[1] G. Costante, T. A. Ciarfuglia, P. Valigi, and E. Ricci, A
Transfer learning approach for multi-cue semantic place
recognition, IEEE/RSJ International Conference on Intelligent
Robots and Systems, pp. 2122-2129, November 2013.
[2] J. Luo, A. Pronobis, and B. Caputo, SVM-based transfer of
visual knowledge across robotic platforms, Proceedings of the
5thInternational Conference on Computer Vision Systems, pp.112, November 2006.
- 99 -
TO-3-3-5
Abstract
This paper presents an exact asymmetric point
spread function (PSF) estimation. For estimation of
exact asymmetric PSF, we first analyze step responses
by using edge profile in horizontal and vertical
directions, and then correct PSF error using the PSF
data set.
Keywords: Asymmetric point spread function, image
restoration, PSF data set.
1. Introduction
In spite of the improvement in image processing,
image degradation still cannot be avoided because of
sensor characteristics and atmospheric environment.
Moreover, the degraded images have asymmetric point
spread function (PSF), and the performance of image
restoration is reduced in a symmetric blurring model
[1].
To overcome these problems, we propose
estimation of exact asymmetric PSF. The proposed
algorithm consists of two steps; (i) an initial PSF
estimation using directional step responses and (ii)
error correction using PSF data set.
3. Experimental Results
= arctan( Dv , Dh ),
(3)
(a)
(b)
(c)
(d)
Fig 1. The proposed asymmetric PSF estimation; (a)
the test edge target image, (b) the degraded image,
(c) the original PSF, and (d) the estimated PSF
using the proposed method
- 100 -
ICEIC 2015
We first evaluated the proposed algorithm using
synthetic edge target, as shown in Fig. 1(a). Fig. 1(b) is
a degraded image with 5x11 Gaussian PSF and
assumed noise free. Fig. 1(c) and (d) respectively show
original and estimated PSFs, and the estimated PSF is
similar to original PSF. As shown in Fig. 1, the
proposed algorithm successfully estimated asymmetric
PSF.
4. Conclusion
In this paper, we introduced an asymmetric PSF
estimation using directional step response and PSF data
set. The proposed method could estimate asymmetric
PSF exactly because of directional PSF error correction
and the performance of restoration methods is
improved. As a result experimental results show that
effectiveness of our method for asymmetric PSF
estimation.
Acknowledgment
This work was supported by the ICT R&D program of
MSIP/IITP [14-824-09-002, Development of global
multi-target
tracking
and
event
prediction
techniques based on real-time large-scale video
analysis], by the MSIP(Ministry of Science,
ICT&Future
Planning),
Korea,
under
the
ITRC(Information Technology Research Center)
support
program
(NIPA-2014-H0301-14-1044)
supervised by the NIPA(National ICT Industry
Promotion Agency), and by the Technology Innovation
Program (Development of Smart Video/Audio
Surveillance SoC & Core Component for Onsite
Decision Security System) under Grant 10047788.
References
[1] A. Matakos, S. Ramani, and J. Fessler, Image restoration
using non-circulant shift-invariant system models, in
Proc. Int. Conf. Image Process. IEEE, 2012, pp. 3061
3064.
[1] J. Canny, A computational approach to edge detection,
IEEE Trans. Pattern Anal. Mach. Intell, no. 6, pp. 679
698, November 1986.
[2] V. Maik, D. Cho, J. Shin, and J. Paik, Regularized
restoration using image fusion for digital auto-focusing,
IEEE Trans. Circuits Syst. Video Technol. vol. 17, no. 10,
pp. 13601369, October 2007.
- 101 -
TO-3-3-6
Lens
star
catalog
star
centroiding
star pattern
recognization
CMOS
Image Sensor
Fig. 1.
I. I NTRODUCTION
A star tracker is an optical-electronic device to produce the
3-axis attitude information of a spacecraft by observation of
the star field. It can achieve an angular accuracy in the range
of arcseconds [1] and currently is the most accurate among
all existing attitude sensors. Fig. 1 illustrates the diagram of a
typical star tracker, which is composed of an image sensor and
associated signal processing electronics. The image sensor first
captures a star field. Centroids of the stars are then computed
to build a star pattern. After that, the pattern is passed to a
recognition algorithm to determine the attitude [2].
The recognition accuracy has a strong dependance on the
precision of the star centroids. Due to satellite orbit, a short
exposure time is preferred to achieve the desired accuracy.
However, shorter exposure time implies higher requirement on
the sensitivity and signal to noise ratio. High dynamic range is
equally important in this application. A typical star tracker has
can detect six visual magnitude levels, which means a dynamic
range of more than 90 dB with acceptable SNR. High dynamic
range allows to capture both very bright and dark stars in the
same scene.
In this work, we propose a CMOS image sensor for star
tracker. The effects of the pixel sensitivity and dynamic range
on the measurement of star centroid are discussed. We propose
a new pixel architecture that is capable of provide both high
sensitivity and high dynamic range. The rest of the paper is organized as follows: Section II introduces system analysis of the
sensor design. Section III describes the sensor architecture and
Npix Tint
(pixels)
2 tan(F OV /2)
(1)
- 102 -
ICEIC 2015
=0.06deg/s
lens
= tint
FOV/2
F = Npixdpix/2tan(FOV/2)
dpix
focal plane
Npix
L = F /dpix (pixels)
Fig. 2. Systematic error due to satellite orbit: stars travel on the focal plane.
The travel distance L is expressed in pixels in one dimension, where dpixel
is the pixel pitch.
Star center
A'
200
150
which again causes centroiding error. The extension of dynamic range is thus required. As a result, the solution to all
the contradictions is a CMOS image sensor with both high
sensitivity and high dynamic range. We propose a new sensor
architecture based on CTIA pixel [5][6][7] for high sensitivity.
High dynamic range is achieved by a dual-exposure in-pixel
charge subtraction scheme. The first exposure measures the
light intensity with a saturation threshold and if saturation is
found, a packet of charges is subtracted from the integration
results during the second exposure. The resulting effective well
capacity is increased and a wider range of photocurrent can
be quantized. As shown in Fig.4, the scheme differs from the
conventional method in that there is no readout time in two
exposures, which would otherwise be long due to large pixel
array. This will minimizes the systematic error and is better
suited for this application.
100
50
0
0
10
15
20
25
Pixels on AA
A. Pixel Circuit
Star shift
B'
(a)
200
150
100
50
0
0
10
15
20
25
Pixels on BB
(b)
Fig. 3. (a) shows simulated star images and its pixel responses along A-A
with no shift distance, respectively. (b) shows simulated star images and its
pixel responses along B-B with shift distance, respectively. They are captured
with the same integration time. Tail effect is obvious which reduces the signal
magnitude significantly.
2nd exposure
readout
readout
t
(a)
readout
(b)
- 103 -
ICEIC 2015
RST
Vi
Vramp
M1
M2
M3
RST
Vsub 0
SC
amplifier
Vramp
Vo
Apply charge subtraction
during 2nd exposure based
on DFF value
(c)
1 0
If DFF=1, Vsub is selected and
subtracted from Vo during 2nd
exposure
Vim
VDD
SUB
NSUB
RST
Csub
Vi
Vbp
-A
Ccds
SH
CDS
(b)
Vo
Vo
DFF
Vref
NSUB
CDS &
Readout
(a)
VDD
Vcds
Vpix
Vo
RSL
VDD
Vclamp
Vcp
Pixel circuit
Vcn
iph
Vref
Cint
VDD
FLAG/SUB
D
Vo
Vi
COL
VREF
(d)
Fig. 5. The schematic of the pixel circuit. (a) Pixel functional block diagram, (b) the schematic of the OTA, (c) the reset switch and (d) the comparator are
shown respectively.
Dark Pixel
V
No saturation
Vref
Vo
Rst
Rst
1st Exposure
2nd Exposure
Readout
Iph =
(a)
Bright Pixel
Saturation
Equivalent
Vsub=Csub/CintdVramp
Vref
Vramp
Rst
1st Exposure
Vo
Rst
2nd Exposure
dVramp
Readout
Apply Vramp
Iph =
(3)
(b)
Fig. 6.
Cint Vo
Tint
(4)
Since the DFF stores the information whether the pixel conducts charge subtraction, the pixel outputs both analog voltage
(Vo ) and one-bit digital signal for image reconstruction.
B. Pixel Operation
Fig. 6 shows the timing diagram of the pixel circuit. The
pixel operation features two identical-length exposure and
the scheme of charge subtraction based on the photocurrent
evaluation in the first one. The pixel can be self-configured
based on the photocurrent thresholding result. The details of
the pixel operation is described as follows.
The pixel is reset before each exposure. At the same time,
the transistor controlled by CDS is turned on. After that, it is
turned off a short delay after reset switch is turned off. In this
manner, the reset level of the OTA, including negative charge
injection of reset switch, the reset noise and OTA offset is
clamped onto Ccds .
During the first exposure, if the photocurrent is small and the
- 104 -
ICEIC 2015
Row Scanner
Back-lit
point source
Pixel Array
NW/P-sub
Photodiode
Csub
OTA
Cint
RST
Ccds
Dark room
SF
(a)
Fig. 7.
layout.
(b)
10
DR~62dB
4
10
DR~74dB
10
with charge
subtraction
10
saturation at
300lux
10
1
10
10
10
10
10
10
(c)
(a) Test setup, (b) prototype chip microphotograph and (c) pixel
Technology
Pixel Size
Conversion Gain
Signal Swing
Sensitivity
Dark Current
Temporal Noise
Linearity
Well Capacity
Dynamic Range
TABLE I
P ERFORMANCE S UMMARY OF THE S ENSOR
0.3
0.25
0.2
0.15
0.1
without charge subtraction
with charge subtraction
0.05
20
40
60
80
100
120
- 105 -
TO-3-3-7
I. I NTRODUCTION
3D image capture is considered as the next revolutionary
step in the field of image sensors. Research is currently
focused on the many methods for capturing 3D images like
Time-of-Flight (ToF) [1] and multi-aperture [2] to name a
few. These methods either require an active light source or a
number of pixels working in cohesion to achieve the objective.
Although highly accurate results were demonstrated using
some of these methods, they have serious limitations in terms
of power consumption, chip area, highly complicated setup and
requirement of specialized components. These factors limit
their wide scale commercial adaptation.
Recently an on-chip method - one that uses diffraction
related Talbot effect to determine the local incidence angle was
proposed and demonstrated [3]. Although the results were far
from satisfactory and involved complex data processing, the
method showed an alternative way for capturing 3D data. It has
been demonstrated that by capturing the local incidence angle
and intensity of the incoming light, complete 3D information
of the imaged scene can be reconstructed. Keeping in line with
this latest trend we present yet another alternative method for
capturing the 3D data - one that uses polarization.
Polarization imaging has been around for decades and
many applications of the technique have been successfully
demonstrated. Typical wide scale use of polarization imaging
has been limited to applications like reducing the glare of
captured image in outdoor settings (notably involving water
or hazy atmosphere) [4] and to classify materials based on
their reflectivity for applications involving object recognition
[5].
- 106 -
ICEIC 2015
Light Source
Light Source
Pixel A
Y
Pixel C
Pixel B
Metal block
Pixel D
Vertical Polarizer
N-well
N-well
P - substrate
Horizontal Polarizer
Diagonal Polarizer
N-well
N-well
P - substrate
- 107 -
ICEIC 2015
Polarization Pixel with
Horizontal Grating
Intensity Pixel
VDD
QPC A
VDD
VDD
PIX_SEL_1
PIX_RST
PIX_SEL_2
PIX_RST
PIX_OUT_1
VDD
PIX_SEL_1
PIX_RST
PIX_OUT_2
PIX_SEL_2
Photodiode
VDD
QPC D
VDD
PIX_SEL_4
PIX_RST
PIX_OUT_3
VDD
PIX_SEL_3
PIX_RST
PIX_OUT_4
VBIAS
PIX_SEL_4
PIX_SEL_3
Photodiode
PIX_OUT_8
VBIAS
VBIAS
PIX_SEL_3
PIX_SEL_4
PIX_RST
PIX_OUT_7
VBIAS
Photodiode
Photodiode
QPC C
VDD
PIX_SEL_3
PIX_SEL_2
PIX_SEL_1
Photodiode
Photodiode
PIX_OUT_6
VBIAS
VBIAS
PIX_SEL_1
PIX_SEL_2
PIX_RST
PIX_OUT_5
VBIAS
VBIAS
PIX_RST
QPC B
Photodiode
PIX_SEL_4
Photodiode
Fig. 3. Pixel-unit-cell comprising of an intensity pixel, three polarization pixels and a quadrature pixel cluster.
Intensity
pixel
PIX_OUT_1
Switched Capacitor
Amplifier
PIX_OUT_2
PIX_OUT_3
PIX_OUT_4
Global
Buffer
00 polarizer
pixel
QPC
SEL_A
8:1
MUX
SEL_A
OUTPUT
PAD
2C
PIX_OUT_5
SEL_B
VREF
VIN_REF
PIX_OUT_6
PIX_OUT_7
Metal
block
SEL[2:0]
PIX_OUT_8
- 108 -
ICEIC 2015
(a)
(b)
(c)
(d)
Fig. 6. Simulation results. (a) Vertical polarization pixel response as a function of incidence angle for different polarization states of light source. (b) Horizontal
polarization pixel response as a function of incidence angle for different polarization states of light source. (c) Talbot pixel response and QPC response as
a function of incidence angle for an unpolarized light source. (d) Polarization pixel response and QPC response as a function of incidence angle for an
unpolarized light source.
- 109 -
TO-3-3-8
I.
I NTRODUCTION
3L[HO5DWHUDWLR
'LIIHUHQFHRI1HLJKERU5RZ3L[HO9DOXHV
A LGORITHM C ONSIDERATION
- 110 -
ICEIC 2015
W
W
ZKt
W
W
KEdZK>>Z
s
Z^d
dy
Z^>
dW/y>
^^,
W
D^
y y y y y
WD^ y y y y y y
&RPPRQ06% RI SL[HO $ % & LV
HVWLPDWHG DV UHIHUHQFH YROWDJH OHYHO
W
^Z
DD
^,
^
^Z'
DD
^dZd
s
'
D^
D^
W
W
W
ZKt^EEZ
ZKt
D^
s
^KE
z>
sss>^
Fig. 4.
s
z^
s
d/D
EK
KhdWhdd
>^
s
Fig. 3. (a) Operating algorithm of the ADC system based on the prediction
scheme. (b) The detail 9-bit SAR ADC conversion steps with predicted MSBs
(101XXXXXX).
- 111 -
ICEIC 2015
5HVHW
5HVHW
9LQ
D^
' 06%>P@
^,
9VK
^Z
>
9GDF
'>Q@
6>Q@
s
s>
s,
^
s>
7
7
77
s>
Fig. 6. Conversion-step sequence of the SAR ADC with control logic for
proposed algorithm.
Process technology
Pixel size/Fill Factor
Sensitivity
Dark current
Dynamic range
FPN
Power supply
Power consumption
ADC resolution
ADC input range
ADC clock frequency
Data rate
ADC DNL/INL
ADC SNR
two function blocks, the Com-MSB Generator and CorrectEstimation Detector, are added inside the SAR ADC architecture. They are used to generate the predicted MSBs and
determine the correctness of predicted scheme respectively.
The predicted MSBs are generated before the operation of the
SAR ADC system, and the correctness of prediction scheme
is checked after the operation of SAR ADC system. Even
for the triggered second A/D conversion, both of them will
be disabled. As such, they will have minimum effect on the
original SAR ADC system, like linearity, resolution, effective
number of bits (ENOB), etc.
Fig. 5(b) presents the circuit architecture of the digitalto-analog (DAC) in the proposed SAR ADC system. It is a
split-capacitor DAC, and has 48.05 unit capacitors (C) in total
for 9-bit resolution. The maximum equivalent load capacitor
observed between the top and bottom plate capacitor array is
32 C. Therefore, the power consumption for the SAR DAC and
silicon array is greatly reduced compared with a conventional
DAC architecture. In addition, the capacitor array here is split
into 5-bit/4-bit arrays, with digital control bits from S9 to S1.
The extra bit (S0) is used for checking the correctness of the
predicted MSBs, without affecting the ADC resolution, since
it is not treated as a quantization output of the ADC.
TABLE I.
IV.
S IMULATION R ESULTS
- 112 -
TABLE II.
Switched Step
Switching Power
(CVref 2 )
Switched Step
Switching Power
(CVref 2 )
S8
S7
S6
S5
1/2
1/8
1/32
S4
S3
S2
S1
1/64
1/128
1/256
1/512
ICEIC 2015
/
/
ZZZLPDJHSURFHVVLQJSODFHF
RPURRWBILOHVB9
LPDJHBGDWDEDVHVKWP
$YHUDJH
$YHUDJH
$YHUDJH
$YHUDJH
$YHUDJH
$YHUDJH
&RQYHUVLRQ 6ZLWFKLQJ &RQYHUVLRQ 6ZLWFKLQJ
&RQYHUVLRQ 6ZLWFKLQJ &RQYHUVLRQ 6ZLWFKLQJ &RQYHUVLRQ 6ZLWFKLQJ
6WHSV 6DYHG 3RZHU 6DYHG 6WHSV 6DYHG 3RZHU 6DYHG
6WHSV 6DYHG 3RZHU 6DYHG 6WHSV 6DYHG 3RZHU 6DYHG 6WHSV 6DYHG 3RZHU 6DYHG
Fig. 7. Conversion cycles and switching power saved based on prediction scheme compared with traditional SAR ADC operation (a N-bit SAR ADC has N
A/D conversion steps in traditional way). Image folder 1 contains 62 photos taken at Singapore Zoo, image folder 2 contains 47 images taken at Venice.
W^
Fig. 8.
V.
W
^
^EEZ
/^
/Zh/d
C ONCLUSION
K>hDE DD
^Z
KEdZK>>Z
VI.
ACKNOWLEDGEMENT
[3]
Fig. 9. Sample image taken by the prototype CMOS image sensor. Conversion
steps saved is 18.57%, and switching power saved is 22.36%.
B. Experimental Results
[4]
[5]
[6]
[7]
- 113 -
ICEIC 2015
Oral Session
TO-3-4
ICT Convergence
TO-3-4-1
$Q(QHUJ\6DYLQJ%%855+5HFRQILJXUDWLRQ6FKHPHIRU&ORXG5DGLR
$FFHVV1HWZRUNV
<XK6K\DQ&KHQ &KLK6KXQ+VX 7RQJ<LQJ-XDQJ.XDQJ:HL6X
'HSDUWPHQWRI&RPSXWHU6FLHQFHDQG,QIRUPDWLRQ(QJLQHHULQJ
1DWLRQDO7DLSHL8QLYHUVLW\7DLSHL7DLZDQ52&
'HSDUWPHQWRI,QIRUPDWLRQ0DQDJHPHQW
6KLK+VLQ8QLYHUVLW\7DLSHL7DLZDQ52&
\VFKHQ#PDLOQWSXHGXWZFVKVX#FFVKXHGXWZMXDQJ#JPQWSXHGXWZDOODQVX#JPDLOFRP
WUDQVPLWUDGLRVLJQDOVWRXVHUV>@7KHUHVRXUFHVRIWKH
$EVWUDFW
(QHUJ\ VDYLQJ LV DQ LPSRUWDQW LVVXH LQ FORXG UDGLR
DFFHVV QHWZRUNV &5$1 ([LVWLQJ HQHUJ\VDYLQJ
VFKHPHLQ&5$1VDYHVHQHUJ\E\WXUQLQJRIIWKHEDVH
EDQG XQLW %%8 ZKRVH XWLOL]DWLRQ UDWLR LV ORZHU WKDQ
WKH WKUHVKROG 7R LPSURYH H[LVWLQJ ZRUNV ZH SURSRVH
DQ HQHUJ\VDYLQJ VFKHPH ZKLFK VDYHV HQHUJ\ E\
WXUQLQJ RII WKH %%8 ZKRVH HQHUJ\ FRQVXPSWLRQ UDWLR
(&5LVKLJKHUWKDQWKH WKUHVKROG (&5LVGHILQHGDV
WKH WRWDO SRZHU FRQVXPSWLRQ GLYLGHG E\ WKH WRWDO
QXPEHU RI RFFXSLHG SK\VLFDO UHVRXUFH EORFN 35%
VXEIUDPHV 7KH +LJK (&5 LQGLFDWHV WKH ORZ HQHUJ\
HIILFLHQF\:KHQDOOWKHUDGLRKHDGV55+VRIWKH%%8
ZLWK KLJK (&5 LV UHDVVLJQHG WR RWKHU %%8V ZLWK ORZ
(&5WKH%%8ZLWKKLJK(&5FDQEHWXUQHGRII7KH
SURFHVV SURFHHGV XQWLO DOO WKH %%8V ZLWK KLJK (&5
KDYH EHHQ UHFRQILJXUHG DQG WXUQHG RII 6LPXODWLRQ
UHVXOWV MXVWLI\ WKH HQHUJ\ HIILFLHQF\ RI WKH SURSRVHG
VFKHPH
.H\ZRUGV&ORXGUDGLRDFFHVVQHWZRUNV&5$1
HQHUJ\VDYLQJUHFRQILJXUDWLRQ
,QWURGXFWLRQ
0RELOH GDWD WUDIILF KDV EHHQ JURZWK RYHU WKH SDVW
IHZ \HDUV GXH WR WKH ULVH RI ERWK WDEOHWV DQG VPDUW
SKRQHV 7R DFFRPPRGDWH ZLWK VXFK D KXJH WUDIILF LQ
FHOOXODUV\VWHPVFORXGUDGLRDFFHVVQHWZRUNV&5$1
KDV EHHQ SURSRVHG >@ &5$1 SURYLGHV D SRZHUIXO
DQGFHQWUDOL]HGQHWZRUNVDUFKLWHFWXUHIRUIXWXUHVPDOO
FHOO ZLUHOHVV DFFHVV V\VWHPV 7KH FHQWUDOL]HG SURFHVV
LQJ SRZHU HQDEOHV HIILFLHQW QHWZRUN FRRUGLQDWLRQ DQG
PDQDJHPHQW $EDVH VWDWLRQ LVVHSDUDWHGLQWRWKHEDVH
EDQG XQLW %%8 DQG UHPRWH UDGLR KHDGV 55+V $
%%8FDQFRQQHFWWRVHYHUDO55+V6HYHUDO%%8VFDQ
EH FRPELQHG LQWR D %%8 SRRO 7KH %%8 SHUIRUPV
VLJQDOSURFHVVLQJIXQFWLRQVLQWKHFORXGDQGWKH55+V
3UHOLPLQDULHV
6\VWHP0RGHO
7KHV\VWHPPRGHORIWKHSURSRVHGVFKHPHLVVKRZQ
LQ)LJXUH7KHUHLVD%%8SRROLQWKHFRUHQHWZRUNRI
WKH &5$17KH%%8SRROFRQWDLQVVHYHUDO %%8V$
%%8 LV FDSDEOH RI VXSSRUWLQJ PXOWLSOH 55+V ZKHUH
55+V DUH PRXQWHG DW SK\VLFDO ORFDWLRQV FORVHU WR WKH
DQWHQQDV\VWHPWRWDNHWKHDGYDQWDJHRIOHVVIHHGHUORVV
&HQWUDOL]HG VLJQDO SURFHVVLQJ RI WKH %%8 JUHDWO\
UHGXFHV WKH HTXLSPHQW DW VLWHV QHHGHG WR FRYHU WKH
VDPH DUHDV 7KXV WKH SRZHU FRQVXPHG E\ DLU
FRQGLWLRQLQJ DQG RWKHU VLWH VXSSRUW HTXLSPHQW FDQ EH
UHGXFHG VLJQLILFDQWO\ 0RUHRYHU WKH FRQQHFWLRQV
EHWZHHQ %%8V DQG 55+V FDQ FKDQJH G\QDPLFDOO\
ZKLOHDOORZLQJD%%8WRFRQQHFWWRRQHRUPRUH55+V
%\ DVVLJQLQJ SURSHU QXPEHU RI 55+V WR D %%8
DFFRUGLQJWRWKHWUDIILFORDGFRVWFDQEHUHGXFHGGXHWR
WKH UHGXFWLRQ RI WKH QXPEHU RI DFWLYH %%8V DQG WKH
- 115 -
ICEIC 2015
7KH%%855+5HFRQILJXUDWLRQ6FKHPH
)LJXUH6\VWHPPRGHO
5HODWHG:RUNV
6RPHVWXGLHVDGGUHVVWKHHQHUJ\FRQVXPSWLRQLVVXH
RI WKH &5$1 >@>@>@>@ VRPH ZRUNV FRQVLGHUV WKH
VZLWFKLQJ SUREOHP RI WKH 55+V >@>@>@ 7KH
FRRUGLQDWLRQ RI WKH UHVRXUFH DOORFDWLRQ DQG
FRQVROLGDWLRQLVSURSRVHGLQ>@7KLVZRUNDGMXVWVWKH
GRZQOLQNXSOLQN UHFRQILJXUDWLRQ WR UHGXFH WKH SRZHU
FRQVXPSWLRQ RI %%8V DQG 558V &KHFNR HW DO >@
SUHVHQW D PRGHO RI VWDWLVWLFDO PXOWLSOH[LQJ JDLQ
DFFRUGLQJWRXVHUPRELOLW\LQ&5$17KLVWUDIILFIORZ
PRGHOFDQSUHGLFWVKRZPDQ\%%8VZLOOEHQHHGHGLQ
&5$1 *XSWD HW DO >@ SURSRVH DQ DOJRULWKP WR GHDO
ZLWK PXOWLSOH LQSXW DQG RXWSXW EDVHG GLVFRQWLQXRXV
WUDQVPLVVLRQVVRDVWRLPSURYHWKHHQHUJ\SHUIRUPDQFH
IRU &5$1 V\VWHPV <DQJ HW DO >@ LQYHVWLJDWHV WKH
EDVHVWDWLRQSRZHUFRQVXPSWLRQIRUILYHGLIIHUHQW5R)
WUDQVPLVVLRQ VFKHPHV 7KH UHVXOWV VKRZ WKDW DQDORJ
5),)RYHUILEHU LV WKH PRVW HQHUJ\HIILFLHQW VFKHPH
/LXHWDO>@SURSRVHDEDFNKDXODUFKLWHFWXUHZKLFKFDQ
UHFRQILJXUH WKH PDSSLQJ EHWZHHQ 5$8V DQG %%8V
6KLQREX HW DO >@ SURSRVH WZR %%855+ VZLWFKLQJ
VFKHPHV IRU &5$1 2QH LV D VHPLVWDWLF VFKHPH DQG
DQRWKHULVDQDGDSWLYHVFKHPH7KHVHPLVWDWLFVFKHPH
GHWHUPLQHV WKH FRPELQDWLRQV RI %%8V WR 55+V WR
DFFRPPRGDWH SHDN KRXU WUDIILF ORDGV IRU DOO 55+V
- 116 -
ICEIC 2015
ZKHUH0LLVWKHQXPEHURI55+VFRQWUROOHGE\%%8 L
LV DQ HVWLPDWHG GHYLDWLRQ YDOXH RI (&5 LV WKH
WRWDOQXPEHURIGRZQOLQNVXEIUDPHVRFFXSLHGE\55+M
LV WKH WRWDO QXPEHU RI XSOLQN VXEIUDPH RFFXSLHG
E\55+M
LVWKHQXPEHURIDFWXDOO\RFFXSLHG35%V
LQGRZQOLQNVXEIUDPHPRI55+M
LVWKHQXPEHURI
DFWXDOO\RFFXSLHG35%VLQXSOLQNVXEIUDPHQRI55+M
LVFDOFXODWHGDFFRUGLQJWRWKHIROORZLQJHTXDWLRQ
)LJXUH(&5FDOFXODWLRQSKDVH
55+5HFRQILJXUDWLRQ3KDVH
7KHDOJRULWKPRIWKH 55+UHFRQILJXUDWLRQSKDVHLV
VKRZQDVIROORZV
$OJRULWKP55+UHFRQILJXUDWLRQ
0LQXPEHURI55+FRQWUROOHGE\%%8L
ORZHUERXQGRIWKH(&5
IRUM WR0LGR
FDOFXODWHWKH(&5RI55+MFRQWUROOHGE\%%8L
HQGIRU
)LJXUH55+UHFRQILJXUDWLRQSKDVH
%%87XUQRII3KDVH
- 117 -
ICEIC 2015
)LJXUH%%8WXUQRIISKDVH
D
)LJXUH)ORZFKDUWRIWKHSURSRVHGUHFRQILJXUDWLRQ
VFKHPH
6LPXODWLRQ5HVXOWV
7RHYDOXDWHWKHSHUIRUPDQFHRIWKHSURSRVHG(&5
EDVHG SURWRFRO GHQRWHG DV (&5 ZH FRPSDUH LW ZLWK
WKHFURVVVXEIUDPHFRRUGLQDWHGVFKHGXOLQJGHQRWHGDV
&&6 SURWRFRO >@ 7KH SURSRVHG SURWRFRO ZLWK WKH
HVWLPDWHGGHYLDWLRQYDOXHRI(&5LVGHQRWHGDV(&5
7KH VLPXODWRU XVHG LQ WKH H[SHULPHQWV LV WKH
1HWZRUN 6LPXODWRU 16 ,Q RUGHU WR PDNH WKH
E
)LJXUH D 3RZHU FRQVXPSWLRQ RI %%8 YV
QXPEHURI55+VE3RZHUFRQVXPSWLRQRI%%8YV
35%XWLOL]DWLRQUDWLR
3RZHU&RQVXPSWLRQRI%%83&%%8
- 118 -
ICEIC 2015
D
7KURXJKSXW73
)LJXUH VKRZV WKH 73 E\ WXQLQJ WKH QXPEHU RI
55+V UDQJLQJ IURP WR DQG SDFNHW DUULYDO UDWH
UDQJLQJIURPaSDFNHWVHF)LJXUHDLOOXVWUDWHV
WKDW WKH 73 RI RXU VFKHPHV LV KLJKHU WKDQ WKDW RI WKH
&&%VFKHPHEHFDXVHRXUVFKHPHVDUH(&5EDVHGWKDW
RQO\WKH%%8ZLWKORZWUDQVPLVVLRQHIILFLHQF\ZLOOEH
WXUQ RII DQG WKXV RXU VFKHPHV FDQ DFKLHYH KLJKHU 73
,QJHQHUDOWKHQXPEHURI55+VDOVRLQFUHDVHVWKH73
DOVR LQFUHDVHV EHFDXVH ODUJHU QXPEHU RI 55+V LQFXUV
KLJKHU WUDIILF DQG WKXV WKH 73 EHFRPHV KLJKHU )LJXUH
E VKRZV WKH 73 XQGHU YDULRXV SDFNHW DUULYDO UDWHV
WKH 73 RI RXU VFKHPHV LV ORZHU WKDQ WKDW RI WKH &&%
VFKHPH EHFDXVH RXU VFKHPHV FDQ DFKLHYH KLJKHU
WUDQVPLVVLRQHIILFLHQF\ WKDQWKH&&%VFKHPHGRHV,Q
JHQHUDODVWKHSDFNHWDUULYDOUDWHLQFUHDVHVWKH73DOVR
LQFUHDVHV EHFDXVH KLJKHU SDFNHW DUULYDO UDWH LQFXUV
KLJKHU WUDIILF ORDG +RZHYHU ZKHQ SDFNHW DUULYDO UDWH
LV KLJKHU WKDQ SDFNHWVVHF WKH 73 GURSV EHFDXVH WKH
WUDIILF ORDG LV WRR KLJK WKDW WKH QHWZRUN LV FRQJHVWHG
7KH (&5 VFKHPH SHUIRUPV EHWWHU WKDQ WKH (&5
VFKHPHEHFDXVHLWFDQDYRLGWKHSLQJSRQJHIIHF
D
E
)LJXUH D 7KURXJKSXW YV QXPEHU RI 55+V E
7KURXJKSXWYVSDFNHWDUULYDOUDWH
E
)LJXUHD(&5YVQXPEHURI55+VE(&5YV
35%XWLOL]DWLRQUDWLR
- 119 -
ICEIC 2015
(QHUJ\&RQVXPSWLRQ5DWLR(&5
)LJXUH VKRZV WKH (&5 E\ WXQLQJ WKH QXPEHU RI
55+VUDQJLQJIURPWRDQG35%XWLOL]DWLRQUDWLR
UDQJLQJ IURP a )LJXUH D LOOXVWUDWHV WKDW
WKH (5 RI RXU VFKHPH LV ORZHU WKDQ WKDW RI WKH &&%
VFKHPH EHFDXVH RXU VFKHPHV DUH (&5EDVHG ,Q
JHQHUDODVWKHQXPEHURI55+VLQFUHDVHVWKH3&%%8
DOVR LQFUHDVHV EHFDXVH ODUJHU QXPEHU RI 55+V LQFXUV
KLJKHU WUDIILF DQG KLJKHU SRZHU FRQVXPSWLRQ DQG WKXV
WKH (&5 EHFRPHV KLJKHU )LJXUH E VKRZV WKH (&5
XQGHU YDULRXV UDWLR RI XWLOL]DWLRQ WKH (&8 RI RXU
VFKHPHVLVORZHUWKDQWKDWRIWKH&&%VFKHPHEHFDXVH
RXU VFKHPHV FRQVLGHUV (&5 DV WKH PRVW LPSRUWDQW
IDFWRU,QJHQHUDODVWKH35%XWLOL]DWLRQUDWLRLQFUHDVHV
WKH(&5DOVRLQFUHDVHVEHFDXVHKLJKHU35%XWLOL]DWLRQ
UDWLR LQFXUV KLJKHU EXUGHQ WR HDFK 55+ DQG WKXV
LQFUHDVHV WKH SRZHU FRQVXPSWLRQ RI WKH 55+V 7KH
(&5VFKHPHSHUIRUPVEHWWHUWKDQWKH(&5VFKHPH
EHFDXVH LW FDQ DYRLG WKH SLQJ SRQJ HIIHFW DQG WKXV LW
FDQDYRLGVXQQHFHVVDU\SRZHUFRQVXPSWLRQV
&RQFOXVLRQV
,QWKLVSDSHUZHSUHVHQWDQHZUHFRQILJXUDWLRQVFKHPH
LQ &5$1V 7KH %%8 ZLWK WKH KLJKHVW (&5 LV
UHFRQILJXUHG ILUVW WKDW WKH 55+ RI WKLV %%8 ZLWK WKH
KLJKHVW(&5LVUHDVVLJQHGWRWKHQHDUHVW%%8ZLWKWKH
ORZHVW (&5 7KH SURFHVV SURFHHGV XQWLO DOO WKH %%8V
ZLWKKLJK(&5KDYHEHHQUHFRQILJXUHGDQGWXUQHGRII
6LPXODWLRQUHVXOWVLOOXVWUDWHWKDWWKHSURSRVHG(5EDVHG
UHFRQILJXUDWLRQ VFKHPH FDQ UHGXFH WKH WRWDO SRZHU
FRQVXPSWLRQRI%%8VDQGHQKDQFHWKHWKURXJKSXW
$FNQRZOHGJPHQW
7KLVUHVHDUFKZDVVXSSRUWHGE\WKH0LQLVWU\RI6FLHQFH
DQG7HFKQRORJ\RIWKH52&XQGHU*UDQWV0267
(0<
5HIHUHQFHV
>@ &KLQD 0RELOH 5HVHDUFK ,QVWLWXWH &5$1 7KH
5RDG7RZDUGV*UHHQ5$1:KLWH3DSHU9HUVLRQ
-XQ
>@ < <DQJ & /LP DQG $ 1LUPDODWKDV &RORQ\
5$1 $UFKLWHFWXUH IRU )XWXUH &HOOXODU 1HWZRUN LQ
3URFHHGLQJV RI )XWXUH 1HWZRUN 0RELOH 6XPPLW
)XWXUH1HWZ SS %HUOLQ *HUPDQ\ -XO\
>@'=KXDQG0/HL7UDIILFDGDSWDWLRQDQGHQHUJ\
VDYLQJ SRWHQWLDO RI FHQWUDOL]HG UDGLR DFFHVV QHWZRUNV
ZLWKFRRUGLQDWHGUHVRXUFHDOORFDWLRQDQGFRQVROLGDWLRQ
LQ 3URFHHGLQJV RI WK ,QWHUQDWLRQDO &RQIHUHQFH RQ
&RPPXQLFDWLRQV DQG 1HWZRUNLQJ LQ &KLQD
&+,1$&20SS*XLOLQ$XJ
>@ $ &KHFNR + &KULVWLDQVHQ DQG 0 6 %HUJHU
(YDOXDWLRQ RI (QHUJ\ DQG &RVW 6DYLQJV LQ 0RELOH
&ORXG5$1LQ3URFHHGLQJVRI231(7:25.
SS:DVKLQJWRQ86$$XJ
>@ $ &KHFNR + &KULVWLDQVHQ DQG 0 6 %HUJHU
(QHUJ\ (IILFLHQW MRLQW '7; DQG 0,02 LQ &ORXG
5DGLR $FFHVV 1HWZRUNV LQ 3URFHHGLQJV RI ,((( VW
,QWHUQDWLRQDO &RQIHUHQFH RQ &ORXG 1HWZRUNLQJ
&/28'1(7SS3DULV)UDQFH1RY
>@<<DQJ&/LPDQG$1LUPDODWKDV5DGLRRYHU
)LEHU DV WKH (QHUJ\ (IILFLHQW %DFNKDXO 2SWLRQ IRU
0RELOH%DVH6WDWLRQVLQ3URFHHGLQJVRI,QWHUQDWLRQDO
7RSLFDO 0HHWLQJ RQ 0LFURZDYH 3KRWRQLFV &RQIHUHQFH
SS6LQJDSRUH2FW
>@ & /LX . 6XQGDUHVDQ 0 -LDQJ 6 5DQJDUDMDQ
DQG * . &KDQJ 7KH &DVH IRU 5HFRQILJXUDEOH
%DFNKDXOLQ&ORXG5$1EDVHG6PDOO&HOO1HWZRUNV
LQ 3URFHHGLQJV RI ,((( ,QWHUQDWLRQDO &RQIHUHQFH RQ
FRPSXWHU &RPPXQLFDWLRQV ,1)2&20 SS
7XULQ&KLQD$SU
>@ 6 1DPED 7 :DUDELQR DQG 6 .DQHNR %%8
55+ VZLWFKLQJ VFKHPHV IRU FHQWUDOL]HG 5$1 LQ
3URFHHGLQJV RI WK ,QWHUQDWLRQDO &RQIHUHQFH RQ
&RPPXQLFDWLRQV DQG 1HWZRUNLQJ LQ &KLQD
&+,1$&20 SS .XQ 0LQJ $XJ
>@'=KXDQG0/HL7UDIILFDQGLQWHUIHUHQFHDZDUH
G\QDPLF %%8558 PDSSLQJ LQ &5$1 7'' ZLWK
FURVVVXEIUDPH FRRUGLQDWHG VFKHGXOLQJEHDPIRUPLQJ
LQ 3URFHHGLQJV RI ,((( ,QWHUQDWLRQDO &RQIHUHQFH RQ
&RPPXQLFDWLRQV:RUNVKRSV,&&SS
%XGDSHVW-XQ
- 120 -
TO-3-4-2
Optimal Power Allocation for Full Duplex Decode and Forward Relay
Systems
Taehoon Kwon and Joon Woo Lee*
Korea Institute of Science and Technology Information (KISTI),
66 Heogi-ro, Dongdeamoon-gu, Seoul, Korea 130-741.
kth78@kisti.re.kr and jwlee@kisti.re.kr*
which is re-encoded based on the prior received signal,
to the destination.
Abstract
This paper deals with an optimal power allocation for
full duplex decode and forward relay systems. First, an
optimal power allocation is derived to minimize the
outage probability under Rayleigh fading channel.
Then, the practical method is considered to acquire
parameters for the proposed power allocation.
Keywords: power allocation, full duplex, relay system.
1. Introduction
Relay system can be categorized into two methods by
duplex mode. One is half duplex relay (HDR) system
in which the relay receives and retransmits on
orthogonal channels. The other is full duplex relay
(FDR) system in which the relay receives and
retransmits concurrently on the same channel [1]-[5].
Contrary to HDR where the maximum power of relay
is optimal, determining an optimal power is an
important factor to maximize the gain of FDR. For
example, if the transmission power of the relay is too
high, the connection reliability between the source and
the relay is decreased due to the increased selfinterference. On the contrary, if the transmission power
of the relay is too low, the connection reliability
between the relay and the destination is decreased due
to the decreased signal power.
In this paper, the optimal power allocation is proposed
to minimize the outage probability of FDR together
with a practical consideration for acquiring parameters.
1
1+ (2 1)
1
1
+
)(2 1)
, (2)
- 121 -
ICEIC 2015
4
(3)
< 0 since , , , (2
1) and
( , )< ( , 0) . Thus,
is a local
> 0 since , , , (2
1) and
that ( , ) ( , ) since .
range of .
1
= | + |2 (2 ) (2 ) + (2 )
=1
= ( + 1) 2 , x {, , },
(4)
where N, hx , rx , n, x , and 2 expresses the
number of energy detection samples, channel,
reference signal, noise, CNR, the power of reference
signals of each link x {, , } and noise
variance. E() means an expectation operator.
The noise variance can also be obtained by the energy
detection with no transmission region.
1
2
2
2
=
(5)
=1|| ( ) = .
4. Conclusions
The optimal power allocation is derived to minimize
the outage probability of FDR. Next, the practical
method is considered to acquire parameters for the
proposed power allocation. The simulation result
shows the superiority of the proposed power allocation.
References
[1] H. Ju, E. Oh, and D. Hong, Improving Efficiency of
Resource Usage in Two-Hop Full Duplex Relay Systems
based on Resource Sharing and Interference Cancellation,
IEEE Trans. on Wireless Commun., Vol. 8, Issue. 8, pp.
3933-3938, Aug. 2009
[2] D. W. Bliss, P. A. Parger, and A. R. Margetts,
Simultaneous transmission and reception for improved
wireless network performances, in Proc. IEEE Int.
Workshop on Statistical Signal Processing, pp. 472-478, Aug.
2007.
[3] H. Ju, E. Oh, and D. Hong, Catching resource-devouring
worms in next-generation wireless relay systems: two-way
relay and full-duplex relay, IEEE Communications
Magazine, Vol. 47, Issue 9, pp. 58-65, Sept. 2009.
[4] T. Kwon, S. Lim, S. Choi and D. Hong, "Optimal Duplex
Mode for DF Relay in Terms of the Outage Probability,"
IEEE Trans. on Vehicular Technology, Vol. 59, No.7, pp.
3628-3634, Sept. 2010.
[5] T. Kwon and D. Hong, "Bit Error Probability
Performance Analysis of Full Duplex Relay System", in Proc.
Int. Conference on Electronics, Information, and
Communication (ICEIC) 2010, pp.609-610, June 2010.
0.14
FDR (Max Power, : 10 dB)
FDR(Optimal Power, : 10 dB)
FDR (Max Power, : 5 dB)
FDR(Optimal Power, : 5 dB)
FDR (Max Power, : 2.5 dB)
FDR(Optimal Power, : 2.5 dB)
0.12
0.1
Outage Prob.
0.08
0.06
Optimal Power
Gain
0.04
0.02
( 1)
, x {, , }.
(6)
8
10
12
14
CNR of S-D link ( ,dB)
16
18
20
S-D link ( = ( )
3
= 1, = 0.1 /)
- 122 -
1 4
, = ( )
3
, =
TO-3-4-3
7KH'HVLJQRID'DWD*DWKHULQJ3URWRFROIRU&RJQLWLYH:LUHOHVV6HQVRU
1HWZRUNV
&KLK6KXQ+VXDQG3LQ-LH:DQJ
'HSDUWPHQWRI,QIRUPDWLRQ0DQDJHPHQW6KLK+VLQ8QLYHUVLW\7DLSHL7DLZDQ
FVKVX#FFVKXHGXWZOHYLV#KRWPDLOFRP
$EVWUDFW
'DWD JDWKHULQJ LV DQ LPSRUWDQW RSHUDWLRQ LQ
ZLUHOHVV VHQVRU QHWZRUNV +RZHYHU :LUHOHVV VHQVRU
QHWZRUNVPD\IDFHWKHSUREOHPVRIVSHFWUXPVKRUWDJH
DQG RYHUORDGHG WUDIILF 7KXV ZH XVH WKH FRPELQDWLRQ
RIFRJQLWLYHUDGLRDQGZLUHOHVVVHQVLQJWHFKQRORJLHVWR
GHVLJQ D QHZ GDWD JDWKHULQJ SURWRFROV VR DV WR
PLWLJDWH WKH SUREOHP RI FRQWHQWLRQV DQG FRQJHVWLRQV
7KHFOXVWHUEDVHGGDWDJDWKHULQJVWUXFWXUHDQGWKHWUHH
EDVHG GDWD JDWKHULQJ VWUXFWXUH DUH DGRSWHG LQ WKH
SURSRVHG SURWRFRO 7KH VHQVHG LQIRUPDWLRQ LQ WKH
ZLUHOHVVVHQVRUQHWZRUNLVILUVWJDWKHUHGWRWKHFOXVWHU
KHDGNQRZQDVDJJUHJDWLRQZKLFKFDQKHOSWRUHGXFH
WKH DPRXQW DQG HQHUJ\ QHHGHG WR WUDQVPLW JDWKHUHG
GDWD WR SDUHQW QRGHV 3DUHQW QRGHV SHUIRUP WKH
VSHFWUXP DOORFDWLRQ DQG WUDQVPLVVLRQ VFKHGXOLQJ IRU
FKLOG QRGHV VR DV WR UHGXFH WKH GHOD\V DQG H[FHVVLYH
FRPSHWLWLRQFDXVHGE\EXUVWLQJWUDIILFV7KHVLPXODWLRQ
UHVXOWV GHPRQVWUDWH WKDW WKH SURSRVHG GDWD JDWKHULQJ
SURWRFRORXWSHUIRUPVWKHH[LVWLQJSURWRFROVLQWHUPVRI
GDWDJDWKHULQJGHOD\
.H\ZRUGV&RJQLWLYHUDGLRGDWDDJJUHJDWLRQZLUHOHVV
VHQVRUQHWZRUN
,QWURGXFWLRQ
8VXDOO\ WKH ZLUHOHVV VHQVRU QRGHV DUH GHQVHO\
GHSOR\HG KHQFH WKH FRPPXQLFDWLRQV EHWZHHQ VHQVRU
QRGHV DUH HDV\ WR EH LQWHUIHUHG RU FROOLGHG ZLWK HDFK
RWKHU%HVLGHVWKHVSHFWUXPXVHGE\=LJ%HHLVDOUHDG\
RYHUFURZGHG WKDW FRQWHQWLRQV DQG FRQJHVWLRQV PD\
RFFXUZKHQVRPHHYHQWVRFFXUDQGORWVRIGDWDQHHGVWR
EHWUDQVPLWWHGDQGJDWKHUHG7RHQKDQFHWKHTXDOLW\RI
FRPPXQLFDWLRQ VSHFWUXP DQG UDLVH WKH XVDJH UDWH RI
VSHFWUXP FRJQLWLYH UDGLR VHQVRU QHWZRUNV &561
ZKLFKFDQVFDQIUHHVSHFWUXPIRUXVDJHKDYHDWWUDFWHG
DORWRIDWWHQWLRQUHFHQWO\>@
'DWD JDWKHULQJ LV DQ LPSRUWDQW SURWRFRO LQ ZLUHOHVV
VHQVRU QHWZRUN VR DV WR JDWKHU VHQVHG LQIRUPDWLRQ WR
3UHOLPLQDULHV
6\VWHP0RGHODQG$VVXPSWLRQV
7KH SURSRVHG GDWD JDWKHULQJ SURWRFRO LV GHVLJQHG
IRUD&561ZKRVHV\VWHPPRGHOLVVKRZQDV)LJXUH
7KHUH DUH D VLQN VRPH EDVH VWDWLRQV VRPH SULPDU\
XVHUV 38V DQG ORWV RI VHFRQGDU\ XVHUV 68V LQ WKH
&561 (DFK ZLUHOHVV VHQVRU QRGH SHUIRUPV DV D
VHFRQGDU\ XVHU 68 (DFK VHQVRU QRGH LV UDQGRPO\
GHSOR\HGDQGLVHTXLSSHGZLWKDWUDQVFHLYHU7KHUHLVD
FRPPRQFRQWUROFKDQQHODQG1GDWDFKDQQHOVIRUHDFK
VHQVRU QRGH +RZHYHU WKH GDWD FKDQQHO PD\ EH
- 123 -
ICEIC 2015
- 124 -
ICEIC 2015
)LJXUH)RUPLQJRIWKHFOXVWHUV
)LJXUH VKRZV DQ H[DPSOH RI WKH IRUPLQJ RI WKH
FOXVWHUV 7KH QXPEHUV EHVLGH WKH QRGH LG DUH WKH
DYDLODEOH FKDQQHOV RI WKH VHQVRU QRGH $IWHU WKH
H[FKDQJHRIEHDFRQSDFNHWVQRGHV&*-.4DQG6
DUHHOHFWHGDVWKHFOXVWHUKHDG1RGHV$%DQG)MRLQ
FOXVWHU KHDG & DQG IRUP D FOXVWHU QRGHV ' + DQG ,
MRLQFOXVWHUKHDG*DQGIRUPDFOXVWHUQRGHV(DQG/
MRLQFOXVWHUKHDG-DQGIRUPDFOXVWHUQRGHV70DQG
1MRLQFOXVWHUKHDG.DQGIRUP D FOXVWHU QRGHV 2 3
DQG 5 MRLQ FOXVWHU KHDG 4 DQG IRUP D FOXVWHU QRGH 8
MRLQVFOXVWHUKHDG6DQGIRUPDFOXVWHU
)LJXUH&RQVWUXFWLRQRIWKHJDWKHULQJVWUXFWXUH
)LJXUH0DLQWDLQLQJWKHJDWKHULQJVWUXFWXUH
- 125 -
ICEIC 2015
SURSHUGDWDFKDQQHOWRWUDQVPLWJDWKHUHGGDWDDWSURSHU
WLPH DQG WKXV LQFXUV OHVV FRQJHVWLRQV DQG FRQWHQWLRQV
6LPXODWLRQ5HVXOWV
7DEOH6LPXODWLRQSDUDPHWHUV
1HWZRUNVL]H
[
P
'DWDUDWH
.ESV
&RPPXQLFDWL
RQUDQJH68
P
3DFNHWVL]H
E\WHV
6SHFWUXP
VHQVLQJWLPH
3RZHU
FRQVXPSWLRQ
RIGDWD
DJJUHJDWLRQ
1XPEHURI
QRGHV68
1XPEHURI
FKDQQHOV
&RPPXQLFDWLRQ
UDQJH38
3UREDELOLW\RI
FKDQQHO
RFFXSLHGE\38
)LJXUH3DFNHWORVVUDWH
a
P
a
PV
,QLWLDOSRZHU
a-
Q-ELW
3RZHU
FRQVXPSWLRQRI
GDWD
WUDQVPLVVLRQ
Q-ELW
1HWZRUN7KURXJKSXW
)LJXUH VKRZV WKH QHWZRUN WKURXJKSXW RI WKH
SURSRVHG SURWRFRO DQG (66&67$ $V WKH QXPEHU RI
68 LQFUHDVHV WKH QHWZRUN WKURXJKSXW DOVR LQFUHDVHV
EHFDXVHWKHUHDUHPRUHJDWKHUHGGDWDWREHWUDQVPLWWHG
$V WKH FKDQQHO RFFXSLHG SUREDELOLW\ LQFUHDVHV WKH
QHWZRUN WKURXJKSXW GHFUHDVHV EHFDXVH KLJKHU FKDQQHO
RFFXSLHGSUREDELOLW\FDXVHVOHVVDYDLODEOHGDWDFKDQQHO
IRU WKH 68 DQG WKXV LQFXUV PRUH FRQJHVWLRQV
FRQWHQWLRQV FROOLVLRQV DQG SDFNHW ORVV UDWH 7KH
QHWZRUN WKURXJKSXW RI WKH SURSRVHG SURWRFRO LV PXFK
WKDQ (66&67$ EHFDXVH RXU SURWRFRO FDQ VFKHGXOH
SURSHUGDWDFKDQQHOWRWUDQVPLWJDWKHUHGGDWDDWSURSHU
WLPH DQG WKXV FDXVHV OHVV FRQJHVWLRQV FRQWHQWLRQV
FROOLVLRQV DQG SDFNHW ORVV UDWH DQG LQFXUV KLJKHU
WKURXJKSXW
)LJXUH1HWZRUNWKURXJKSXW
$YHUDJH'DWD*DWKHULQJ'HOD\
)LJXUHVKRZVWKHDYHUDJHGDWDJDWKHULQJGHOD\RI
WKH SURSRVHG SURWRFRO DQG (66&67$ $V WKH QXPEHU
RI 68 LQFUHDVHV WKH DYHUDJH GDWD JDWKHULQJ GHOD\ DOVR
LQFUHDVHV EHFDXVH PRUH VHQVHG GDWD QHHGHG WR EH
JDWKHUHGDWWKHFOXVWHUKHDGVDQGIRUZDUGHGWRWKHVLQN
$V WKH FKDQQHO RFFXSLHG SUREDELOLW\ LQFUHDVHV WKH
DYHUDJH GHOD\ DOVR LQFUHDVHV EHFDXVH KLJKHU FKDQQHO
RFFXSLHG SUREDELOLW\ LQFXUV KLJKHU PDLQWDLQLQJ DQG
UHVFKHGXOLQJ FRVW RI WKH JDWKHULQJ VWUXFWXUH 7KH
DYHUDJH GDWD JDWKHULQJ GHOD\ RI WKH SURSRVHG SURWRFRO
- 126 -
ICEIC 2015
QHWZRUNWKURXJKSXWDYHUDJHGDWD JDWKHULQJGHOD\DQG
SRZHUFRQVXPSWLRQ
$FNQRZOHGJHPHQW
7KLVUHVHDUFKZDVVXSSRUWHGE\WKH0LQLVWU\RI6FLHQFH
DQG 7HFKQRORJ\ RI WKH 52& XQGHU *UDQWV 16&
(
5HIHUHQFHV
)LJXUH$YHUDJHGDWDJDWKHULQJGHOD\
3RZHU&RQVXPSWLRQ
)LJXUH VKRZV WKH SRZHU FRQVXPSWLRQ RI WKH
SURSRVHG SURWRFRO DQG (66&67$ $V WKH QXPEHU RI
68 LQFUHDVHV WKH SRZHU FRQVXPSWLRQ DOVR LQFUHDVHV
EHFDXVHWKHUHDUHPRUHGDWDQHHGHGWREHJDWKHUHG$V
WKH FKDQQHO RFFXSLHG SUREDELOLW\ LQFUHDVHV WKH SRZHU
FRQVXPSWLRQ DOVR LQFUHDVHV EHFDXVH KLJKHU FKDQQHO
RFFXSLHG SUREDELOLW\ LQFXUV KLJKHU PDLQWDLQLQJ DQG
UHVFKHGXOLQJ FRVW RI WKH JDWKHULQJ VWUXFWXUH ,W DOVR
FDXVHVOHVVDYDLODEOHGDWDFKDQQHOIRUWKH 68DQGWKXV
LQFXUV PRUH FRQJHVWLRQV FRQWHQWLRQV DQG FROOLVLRQV
7KH SRZHU FRQVXPSWLRQ RI WKH SURSRVHG SURWRFRO LV
ORZHUWKDQ(66&67$EHFDXVHRXUSURWRFROLQFXUVOHVV
FRQJHVWLRQVFRQWHQWLRQVDQGFROOLVLRQV
>@2$NDQ2.DUOLDQG2(UJXO&RJQLWLYHUDGLRVHQVRU
QHWZRUNV,(((1HWZRUN9RO,VVXHSS-XO\
$XJXVW
>@ 5DQMDQL 66 .ULVKQDQ 65 DQG 7KDQJDUDM &
(QHUJ\HIILFLHQW &OXVWHU %DVHG 'DWD $JJUHJDWLRQ IRU
:LUHOHVV 6HQVRU 1HWZRUNV ,QWHUQDWLRQDO &RQIHUHQFH RQ
5HFHQW $GYDQFHV LQ &RPSXWLQJ DQG 6RIWZDUH 6\VWHPV
5$&66SS
>@$VODP0-DYDLG15DKLP$1D]LU8%LEL$DQG
.KDQ=$6XUYH\RI([WHQGHG/($&+%DVHG&OXVWHULQJ
5RXWLQJ3URWRFROVIRU:LUHOHVV6HQVRU1HWZRUNV,(((WK
,QWHUQDWLRQDO &RQIHUHQFH RQ +LJK 3HUIRUPDQFH &RPSXWLQJ
DQG&RPPXQLFDWLRQVSS
>@5&KDXKDQ9*XSWD(QHUJ\(IILFLHQW6OHHS6FKHGXOHG
&OXVWHULQJ 6SDQQLQJ 7UHH %DVHG 'DWD $JJUHJDWLRQ LQ
:LUHOHVV 6HQVRU 1HWZRUN &RQIHUHQFH RQ 5HFHQW $GYDQFHV
LQ,QIRUPDWLRQ7HFKQRORJ\SS
>@ 7DR =KHQJ 5DGKDNULVKQDQ 6 DQG 6DUDQJDQ 9 $
URXWLQJ OD\HU VOHHS VFKHPH IRU GDWD JDWKHULQJ LQ ZLUHOHVV
VHQVRU QHWZRUNV ,((( ,QWHUQDWLRQDO &RQIHUHQFH RQ
&RPPXQLFDWLRQV,&&SS
>@/LQGVH\6DQG5DJKDYHQGUD&6 3(*$6,63RZHU
(IILFLHQW *DWKHULQJ LQ 6HQVRU ,QIRUPDWLRQ 6\VWHPV ,(((
$HURVSDFH&RQIHUHQFH3URFHHGLQJVSS
)LJXUH3RZHUFRQVXPSWLRQ
>@ -DH 'XFN <X .\XQJ 7DH .LP %R <OH -XQJ DQG +HH
<RQJ <RXQ $Q (QHUJ\ (IILFLHQW &KDLQ%DVHG &OXVWHULQJ
5RXWLQJ 3URWRFRO IRU :LUHOHVV 6HQVRU 1HWZRUNV
,QWHUQDWLRQDO &RQIHUHQFH RQ $GYDQFHG ,QIRUPDWLRQ
1HWZRUNLQJ DQG $SSOLFDWLRQV :RUNVKRSV SS
0D\
>@ 6X\DQJ -X DQG -RVHSK % (YDQV 6SHFWUXP$ZDUH
5RXWLQJ 3URWRFRO IRU &RJQLWLYH $G+RF 1HWZRUNV ,(((
*OREDO7HOHFRPPXQLFDWLRQV&RQIHUHQFHSS
&RQFOXVLRQV
,Q WKLV SDSHU ZH KDYH SURSRVHG D GDWD JDWKHULQJ
SURWRFRO IRU &561V 7KH DOJRULWKPV IRU WKH
FRQVWUXFWLRQDQGPDLQWHQDQFHRIWKHJDWKHULQJVWUXFWXUH
DUHSURSRVHG$VWKHJDWKHULQJVWUXFWXUHLVFRQVWUXFWHG
WKH JDWKHUHG GDWD LV IRUZDUGHG WR WKH VLQN DORQJ WKH
JDWKHULQJ VWUXFWXUH DFFRUGLQJ WR WKH FKDQQHO DQG WLPH
VFKHGXOLQJRIWKHFOXVWHUKHDGV6LPXODWLRQUHVXOWVKDYH
VKRZQWKDWWKHSURSRVHGSURWRFROSHUIRUPVEHWWHUWKDQ
WKH H[LVWLQJ SURWRFRO LQ WHUPV RI SDFNHW ORVV UDWH
>@:-LDQJ+&XLDQG-&KHQ6SHFWUXPDZDUH&OXVWHU
EDVHG URXWLQJ SURWRFRO IRU PXOWLSOHKRS FRJQLWLYH ZLUHOHVV
QHWZRUN
,(((
,QWHUQDWLRQDO
&RQIHUHQFH
RQ
&RPPXQLFDWLRQV7HFKQRORJ\DQG$SSOLFDWLRQVSS
>@% *XOEDKDU DQG 2 % $NDQ ,QIRUPDWLRQ 7KHRUHWLFDO
2SWLPL]DWLRQ*DLQVLQ (QHUJ\ $GDSWLYH 'DWD *DWKHULQJ DQG
5HOD\LQJ LQ &RJQLWLYH 5DGLR 6HQVRU 1HWZRUNV ,(((
7UDQVDFWLRQV RQ :LUHOHVV &RPPXQLFDWLRQV 9RO 1R
SS0D\
- 127 -
TO-3-4-4
Abstract
In satellite communications, most Internet
applications run over the TCP/IP protocol to deliver
data to destinations over large propagation delay and
wireless channel. Due to long round-trip time (RTT)
and high packet error rate (PER) over satellite links
creates an environment that seriously degrades the
performance of TCP. A well-known solution to mitigate
these issues is performance enhancing proxy (PEP)
based TCP splitting. We implement PEP testbed to
evaluate and discuss the issues of satellite links.
According the result even though PEP improves fair
throughput in presence of wired links and random
packet losses, it worsens its performance in higher
PER.
Keywords: PEP, PER, Satellite, TCP- splitting, E2E
1. Introduction
Satellite communications represent a viable
solution for Internet access for wide areas. Currently,
most internet applications are running over the TCP/IP
protocol stack. TCP operates to achieve a reliable endto-end (E2E) connection for data delivery. However a
link based on a geostationary earth orbit (GEO)
satellite induces long propagation delay due to the
satellite altitude (about 36,000 km). Furthermore,
compared to terrestrial links, satellite links show high
packet error rate (PER) due to random packet loss on
the wireless channel. In particular, transport protocols
performance over satellite networks is impaired by the
characteristics of the satellite radio link, specifically by
the long propagation delay and the possible presence
of segment losses due to physical channel errors [1 - 4].
A well-known solution to those problems is in
splitting the E2E TCP connection on the satellite link
at the ground station [1, 5]. Performance enhancing
proxy (PEP) based on connection-splitting in satellite
network allows to optimize the performance of TCP by
splitting the native TCP connection into satellite and
- 128 -
ICEIC 2015
DummyNet
Server
PEPsal #1
PEPsal #2
Gateway
Sat. Terminal
Client
Acknowledgments
This research was supported by NSL (National Space Lab)
program through the National Research Foundation of Korea funded
by the Ministry of Education, Science and Technology (20120009092) and the National Research Foundation of Korea (NRF)
grant funded by the Korea government (MSIP) (No. NRF2014R1A2A2A01002321).
References
[1]
[2]
[3]
[4]
[5]
4. Discussion
PEP, by splitting the E2E satellite connection,
removes impairments due to the simultaneous presence
of both congestion on a link shared with wired
connections and random losses on the satellite channel
that causes to penalize the throughput. However, TCP
splitting is not enough to achieve desirable throughput
in erasure satellite channel. Here, therefore, it requires
[6]
[7]
[8]
- 129 -
TO-3-4-5
Abstract
In this paper, a flexible inkjet-printed metamaterial
absorber (MA) is proposed. The unit cell of MA is inkjetprinted with a silver nanoparticle ink on a flexible polymer
film. It shows over 99% at 9.21 GHz for both flat and
cylindrical surfaces.
Keywords: flexible metamaterial, absorber, inkjet-printing
(a)
(b)
Figure 1. (a) Fabricated sample absorber and (b)
coated on cylindrical object.
1. Introduction
Electromagnetic (EM) absorbers can minimize the
transmitted and reflected EM waves. Recently,
metamaterials were introduced to design a thin and lowcost EM absorber by N. Landy et al [1]. Metamaterialbased absorbers (MAs) show almost perfect absorptivity in
spite of its low profile. Most MAs have been realized on
hard substrates such as FR4 materials. These materials are
inflexible, so they are limited to a planar surface. Therefore,
a flexible MAs were previously proposed on a polyimide
substrate by using a traditional mask contact lithography
and e-beam evaporation. In this work, we propose the
flexible MA on a polymer film and conductive patterns are
inkjet-printed by silver nanoparticle inks. Compared with
the lithography fabrication process, inkjet-printing process
is very fast, easy, and cheap because a home printer can be
used. In addition, there are no chemical waste so post
processing is not necessarily and additional cost does not
occur.
Acknowledgement
References
[1] N. Landy, S. Sajuyigbe, J. Mock, D. Smith, and W. Padilla,
Perfect Metammaterial Absorber, Phys. Rev. Lett, Vol. 100, No.
20, May 2008
- 130 -
ICEIC 2015
Oral Session
TO-3-5
Low-Power and High-Performance
Circuits and Systems
TO-3-5-1
Abstract
Introduction
FFT has been widely used to analyze signal
spectrum in signal processing, image processing. Many
algorithms for calculating FFT have been reported in
which radix-22 sigle delay feedback [1-3] is the most
popular technique to achieve minimum hardware
consumpition in term of memory and multiplier. This
technique is also suitable for ultra low power, low
voltage implementation as a result of its minimum
hardware requirements. However, low throughput is
the most drawback of this topology. In this work, we
propose using Radi-22 feedforward topology to obtain
higher throughput, low hardware cost and better energy
efficiency.
16
R2
R2
X
R2
X
16
BFI
R/W
Ctrl
SRAM
128
R/W
Ctrl
Commutator 3
SRAM
256
Commutator 2
BFII
SRAM
128
SRAM
64
R/W
Ctrl
...
SRAM
64
kn
WN
- 132 -
ICEIC 2015
PROCESSING CORE
ROM ARRAYS
MEMORY
INPUT
CE I
1
CE II
1
CE I
2
CE II
2
CE I
3
CE II
3
CE I
4
CE II
4
CE I
5
COMM
1
COMM
2
COMM
3
COMM
4
COMM
5
COMM
6
COMM
7
COMM
8
COMM
9
SRAM
256
SRAM
128
SRAM
64
SRAM
32
SRAM
16
SRAM
8
SRAM
4
SRAM
2
SRAM
1
OUTPUT
Conclusions
A 512-point Radix-22 MDC Fast Fourier
Transform Processor and DSP design for hand gesture
recognition were presented. Radix-22 MDC structure
proposed in this report is the first SOC Radix-22 feed
forward architecture which was implemented at wafer
References
[1] N. Li and N. P. V. D. Meijs, "A Radix 2 2 Based Parallel
Pipeline FFT Processor for MB-OFDM UWB system,"
presented at the IEEE International SOC Conference,
2009.
[2] G. Bi and G. Li, "Pipelined Structure Based on Radix-22
FFT Algorithm," presented at the IEEE Conference on
Industrial Electronics and Applications, 2011.
[3] G. Bi and E. V. Jones, "A pipelined FFT processor for
word-sequential data," in IEEE Trans. Acoust, Speech,
Signal Processing, 1989, pp. 1982-1985.
[4] D. Jeon, M. Seok, Chaitali Chakrabarti, D. Blaauw, and
D. Sylvester, "A Super-Pipelined Energy Efficient
Subthreshold 240 MS/s FFT Core in 65 nm CMOS,"
IEEE Journal of Solid-State Circuits, vol. 47, January
2012.
- 133 -
TO-3-5-2
Abstract
This letter presents a start-up circuit based upon
output voltage feedback for thermoelectric (TE) energy
harvesting systems. The proposed start-up circuit
shows the remarkably improved start-up time of 35 s
at the input voltage of 300 mV. The start-up circuit is
automatically disabled after the main boost converter
and the controller are started. The proposed start-up
circuit consumes 3.2 nW during the steady state, which
achieves the boost converter efficiency of 77% at the
input voltage of 300 mV.
Keywords: Energy harvesting, start-up
1. Introduction
Various state-of-the-art approaches have been
reported to harvest the energy using thermoelectric
generators (TEGs) [1-6]. Low start-up voltages have
been achieved by utilizing MEMS switches, transistor
threshold voltage trimming, off-chip transformers and
auxiliary LC oscillators to kick-start the boost
converter operation. However, the mechanical motionactivated switch [3] requires external vibrational force
that may not be ubiquitously available in the ambient
condition. Other fully electrical start-up techniques
have also been reported [4-6].
In [4], transistor threshold voltage trimming through
post-processing has been employed, which increases
the testing cost. In [6], the start-up operation uses a
large off-chip transformer, leading to a large system
size. In addition, the start-up block in these designs are
not disabled when the conversion circuit is in the
steady state, thus leading to unnecessary power leakage.
In this paper, a start-up circuit with automatic
disabling function is presented for low input voltage
and high conversion efficiency. The feedback from the
output generates a reset signal to turn on and off the
switch to kick-start the auxiliary boost converter. The
proposed harvester achieves the minimum start-up
- 134 -
ICEIC 2015
r
1.2 @VTEG=300mV
Boost Converter Core
ing
VDD
INVSW
NM0 NM1
0
COMP
`
DOUBLER
CLK1
CLK1
CP
VDD
CLK2
Ring Osc
VDIV
Voltage
Divider
VREF
7-staged Ring Osc.
CLK2
VDD
Reset
IN
1V
INV
>500mV
0
T=0
VIN
75
150
Ref-Gen
Steady-state
(a)
Time (s)
Fig. 3 Transient start-up waveforms at VTEG = 300 mV.
Start-up
VDD
0.6
PM
COUT
INV
DRAIN
LOAD
VIN
STARTER
Charg
VDD (V)
VIN
VTEG
280mV
0
3. Conclusions
A low input voltage start-up technique for
thermoelectric energy harvesting circuits is proposed.
The proposed start-up utilizes the output voltage as
feedback to generate a reset signal from the input
voltage of 300 mV. The start-up circuit is deactivated
during the steady state for better conversion efficiency.
The measured peak efficiency is 77% and the quiescent
power of the start-up circuit is 3.2 nW at 300 mV.
References
[1] Glynne-Jones, et al., Sensor Review, 2001, 21, pp. 91-97
[2] Vullers, et. al. IEEE Solid-State Circuits Magazine, 2010,
pp. 29-38
[3] Ramadass, et al., IEEE ISSCC Dig. Tech. Papers, Feb
2010, pp. 486-487
[4] Chen, P. et al., IEEE ISSCC Dig. Tech. Papers, Feb
2011, pp. 216-217
[5] Tang, Hao-Yen et. al., Symp. on VLSI Circuits, June
2012, pp. 196- 197
[6] Im, J.-P. et al., IEEE ISSCC Dig. Tech. Papers, Feb.
2012, pp. 104-106
- 135 -
TO-3-5-3
Abstract
WLA
VDD
BLA
/BLA
QB
BLB
/BLB
WLB
over-sized transistors
WBLA
RWLA
Q
1. Introduction
QB
M3
RBLB
M1
M4
QB
WBLB
M2
RBLA
/WBLB
VGNDB
VGNDA
WWLB
- 136 -
ICEIC 2015
Port A Read
ON
ON
Idist.
1 to 0
1
1
Iread
398 m
Port A Write
1
Iwrite
Array
Ctrl.
SA. & Drivers A
A
ON
ON
Technology
65nm CMOS
Chip Size
439.9 x 437.2 m2
Cell Size
1
ON
Ctrl.
B
Decoder B
ON
OFF
OFF
OFF
Decoder A
385 m
ON
Idist.1
3.82 x 0.72 m2
(logic design rule)
VDD min.
580 ns @ 0.4 V
Leakage Current
63 A @ 1.2V
Experimental Result
The microphotograph of the proposed DP SRAM is
illustrated in Fig. 5. The proposed DP SRAM was
fabricated in 65nm CMOS technology. Note that each
port has dedicated peripheral circuits such as control
logic, decoders, read-out circuits, I/Os, etc. The 16Kb
array is configured by 256 rows 64 columns. The test
chip occupies the area of 398 m 385 m. Table 1
summarizes the performance of the proposed dual-port
SRAM.
Conclusions
Dual-port SRAMs are substantial in various
applications. This paper explains an ultra-low power
near-threshold dual-port SRAM. Decoupled SRAM
structures were proposed for improving read and write
disturbance..
References
[1] M. Yabuuchi, et al., ISSCC, 2014, pp. 234-236.
[2] K. Nii, et al., JSSC, vol. 44, no. 3, pp. 977986, Mar.
2009.
[3] Y. Ishii, et al., VLSI Circuit Symp., 2010, pp. 99-100.
[4] L. Chang, et al., VLSI Circuits Symp., 2007, pp. 250253.
[5] Y. Ishii, et al., A-SSCC, 2010, pp. 1-4.
- 137 -
TO-3-5-4
1. Introduction
Ultra-low
voltage
operation
is
widely
recommended in circuits, which run on strict power
budgets. While digital circuits can work at low
voltages, analog circuits have lot of challenges because
of non-idealities and second order effects associated
with it. Analog to Digital Converter (ADC) is an
inevitable part of sensor interface systems and high
performance communication circuits used in various
biomedical and portable computing applications.
ADCs, which normally have significant analog
circuitry, form a bottleneck to implement such ultralow voltage systems.
VCO-based ADC is a
promising solution to address this problem since
continuous scaling in CMOS technology aids their
highly digital nature and time-based architectures [1-3].
+x(t)
LOOKUP
TABLE
CLK
Dout(n)
VCO-FDSM
Data
-x(t)
VCO-FDSM
Address
Abstract
An ultra low voltage VCO-based ADC for ultra low
power applications is introduced. We propose novel
circuits and calibration scheme to address the
challenges for achieving ultra-low power targets, such
as small voltage margin, noise, oversampling ratio and
nonlinearity. An open loop VCO-based ADC and
calibration algorithm were implemented and simulated
using commercial 65nm CMOS technology. The
simulation results confirm the effectiveness of the
calibration scheme at 0.4V. The ADC could give
approximately 10 bit resolution for a bandwidth of 10
KHz with power consumption as low as 5uW.
- 138 -
ICEIC 2015
Cos A -Cos B
+
+
6k2CosA.Cos2B
+
Fd(t)
-x(t)
+
100
+
+
FDSM2
d(n)
d(n) calib(n)
16
With Calibration
80
Fd(n)
Magnitude (dB)
SNDR = 60.7dB
SFDR 64.8dB
Cos A + Cos B
60
40
Without Calibration
SNDR = 42.4dB
20
-20
1.E+02
1.E+03
1.E+04
1.E+06
1.E+05
Frequency (Hz)
Value
Operating voltage
0.4V
Bandwidth
10KHz
Sampling Frequency
1.28MHz
SFDR
64.8dB
SNDR
60.7dB
ENOB
9.79
Power Consumption
5uW
FoM
0.28 pJ/Conv
Conclusion
VCO-based ADCs are promising candidates for
achieving ultra-low power consumption at ultra-low
voltages. We have proposed a novel calibration
algorithm suitable for non-linearity correction in VCObased ADCs ultra low voltage operation. The SNDR
and SFDR improved considerably after the calibration
cycle.
References
[1] R. Sachin, et al., VLSI Circuits Symp., pp. 68-69, 2013.
[2] M. Z. Straayer, et al., JSSC, vol. 43, pp. 805-814, 2008.
[3] G. Taylor, et al., JSSC, vol. 45, pp. 2634-2646, 2010.
- 139 -
TO-3-5-5
Abstract
An energy-efficient capacitor switching digital-toanalog converter (DAC) is proposed for successiveapproximation register analog-to-digital converters
(SAR ADCs). The proposed charge-average switching
with floating capacitors (CASFC) DAC disconnects the
most significant bit (MSB) capacitors from the
capacitor array after determining the MSB. The
switching energy of the proposed CASFC DAC is
lower than that of the recently published DAC, because
the charge-average switching (CAS) technique is only
employed in the CASFC DAC while both the
traditional and CAS methods are used in the previous
DAC depending upon inputs. The CASFC DAC
reduces the switching energy by 64.4%, compared to
the aforementioned previous DAC.
Keywords: digital-analog conversion, analog-digital
conversion, data conversion.
1. Introduction
Recently, successive-approximation register analogto-digital converters (SAR ADCs) have been preferred
for low-power applications. In SAR ADC, the
capacitor digital-to-analogue converter (CDAC) is the
most power-hungry block. Thus, efforts to reduce the
switching energy of the CDAC have led to the
development of various switching schemes [1-2].
The previous DAC [1] averages the charges in the
bottom plates of two differential capacitors in CDAC,
which is named charge-average switching (CAS)
scheme. However, the CAS in [1] should be used in
combination with the traditional switching method
because the voltage changes in a CDAC are decreased
by half when CAS is applied. In this letter, a chargeaverage switching with floating capacitors (CASFC)
DAC is proposed to further reduce the switching
energy by using only CAS scheme.
C0 C0
C
4C
*V
ref
2C0
C0 C0
(a)
Vref
ref
4
2C0
V
C0
C0
*V
2C
ref
V ref
2
2C0
C0
(b)
C0
2C0
Vref
- 140 -
ICEIC 2015
3. Simulated results
Fig. 5 compares the switching energy of the proposed
CASFC with recently developed methods according to
digital output codes. The average switching energy of
CAS [1] and detect-and-skip & aligned switching
(DAS&AS) [2] are 88.6C0Vref2 and 69.8C0Vref2,
respectively. The average switching energy of CASFC
is reduced by 37.5% compared with CAS, which is
55.4C0Vref2.
2
Switching
Switching Energy(C
Energy
0Vref )
150
[2]
DAS&AS[5]
[1]
CAS[1]
This work
100
50
0
0
128
256
768
896
1024
4. Conclusion
Fig. 3: CDAC of the CASFC scheme with a 10-bit
SAR ADC
In this 10-bit capacitor array, the proposed CASFC is
applied to only MSB sides (from 128C0 to 8C0) for the
fair comparisons with [1]. In CASFC, 64C0 to 8C0 are
switched by using charge-average scheme. To reduce
the total, half-LSB switching (HLS) is used, as shown
at Fig. 4. As previously mentioned, only the case of VP
< VN is shown for simplicity because the voltage
transition is symmetrical. First, the switch of
C0averages the charge as in CASFC according to the
comparator output. After a change in the voltage of
Vref/2(N-2), the C0 capacitors are floated, and one
capacitor on each side is returned to the original
reference, making the voltage transition Vref/2(N-1).
Finally, the dummy capacitor switching changes the
CDAC output by Vref/2(N-1).
Acknowledgement
This work was supported by the ICT R&D program
of MSIP/IITP, Republic of Korea (no. 2014-911-05002)
References
[1] Liou, C. and Hsieh, C.: A 2.4-to-5.2fJ/conversionstep 10b 0.5-to-4MS/s SAR ADC with Charge-Average
Switching DAC in 90nm CMOS, IEEE ISSCC Dig.
Tech. Papers, San Francisco, CA, Feb. 2013, pp. 280
281
[2] Tai, H., Hu, Y., Chen, H. and Chen, H.: A
0.85fJ/conversion-step 10b 200kS/s Subranging SAR
ADC in 40nm CMOS, IEEE ISSCC Dig. Tech. Papers,
San Francisco, CA, Feb. 2014, pp. 196 197
- 141 -
TO-3-5-6
IL
MP
VP VN
MS1
IO1
4.7 F
MS2
Current
Sensor
VOUT1~4
ILSEN1 Charge
Control
ILSEN1
VS1~4
D[1~6]
Gain
ILSEN2
VREF1~5
Control Block
VOUT1~5
VP
VN
Analog
Adder
VOUT2
IO2
VS2
4.7 F
MS3
VC
VOUT3
IO3
VS3
4.7 F
VC1~5
Charge-Based
Output Switch
Controller
6-bits SAR-ADC
1. Introduction
Charge distributive control [1-4] is commonly used in
recent years for controlling SIMO converter, due to its
ability for regulating many outputs and wide power range at
low output voltage ripple. However, cross-regulation is still
the worst obstacle when designing charge distributive
control. To solve this issue, much effort has been recorded
in the literature. Using freewheeling switch is a
straightforward method [1,3], since it decouples the output
channels between each switching period, and acts as a
preserved charge buffer to compensate for the charge
disturbance at the output channels. However owing to the
non-zero resistance of freewheeling switch, dissipated
power is unavertable. [2,4] apply PLL control to exclude the
need for freewheeling switch. Due to fast response speed of
PLL control, the cross-regulation is remarkably improved.
However, the converters using this control method only can
work in CCM mode, meaning that this control method is not
suitable for low power applications. From above mentions,
this paper proposed Output Voltage-Related Charge Control
(OVRCC) method. Unlike the normal charge control
method [3,5], when multiplying the charge delivered for one
output and its output voltage, the information achieved can
VOUT1
VS1
MN
MS4
VOUT4
IO4
VS4
4.7 F
VS1~5
MS5
VOUT5
IO5
VS5
4.7 F
- 142 -
ICEIC 2015
VREF1
VOUT1
ILSEN2
VOUT1~4
VC4
VQ4
EA
ILSEN2 VS4
VG
VD4
C
64/1
32/1
64/1
1/1
ILSEN2
Induoctor
Current
Sensor
(Gain A)
ILSEN1
VREF5
VOUT5
VC1
VC2
VC3
VC4
VC5
Voltage-related
current sensor
VC5
EA
VTOT
VQ_TOT
VOUT5
VS3
VOUT4
VS4
VOUT3
VS5
VOUT2
VOUT1
VN
ILSEN1
ILSEN2
VQ1
VS1
t
D1T
VC1
t
VQ2
VS2
D2T
VC2
t
VS5
VQ_TOT
t
VC_TOT VP
D5T
VC5
t
DT
250mA
VDT
IL
500mA
IL
ILSEN1
IL
I LSEN2
1.8V
1.2V
VP
2.0V
1.5V
ILSEN1
7mV
VS2
Logic Control & Gate Driver
VD3
VREF4
VOUT4
2.4V
VS1
6-bits SAR-ADC
VG
3. Simulation Results
VD1
VD2
VS1~4
D[1~6]
VG
VC1
VQ1
EA
VS1
0.18 m
5 buck outputs
1 MHz
2.2 H/4.7 F
<27
CCM/DCM
No
0.028
91
2.31
Di T
Pi = IL VOUTi dt =
0
VG
AI
V
dt = Di TVG AILSEN2 (1)
VOUTi LSEN2 OUTi
Q i = Di TILSEN2 = CVCi
(2)
Pi = AVG CVCi
(3)
(4)
VTOT =
i=1
VCi
(5)
Acknowledgement
This work was supported by the MCTD(Materials & Components
Technology Development Program), 10043660 funded By the
Ministry of Trade, industry & Energy(MI, Korea)
References
[1] Y-J. Woo, H-P. Le, G-H. Cho, et al., Load-Independent
Control of Switching DC-DC Converters with Freewheeling
Current Feedback, ISSCC Dig. Tech. Papers, pp. 446-447,
February 2008.
[2] K-C. Lee, C-S. Chae, G-H. Cho, et al., A PLL-Based HighStability Single-Inductor 6-channel Output DC-DC Buck
Converter, ISSCC Dig. Tech. Papers, pp.
200-201, February 2010.
[3] C.-W. Kuan and H.-C. Lin, Near-Independently Regulated 5Output Single-Inductor DC-DC Buck Converter Delivering
1.2W/mm2in 65nm CMOS, ISSCC Dig. Tech. Papers, pp.274275, February 2012.
[4] D-Z. Lu, Y. Qian, Z-L. Hong, An 87%-peak-efficiency DVScapable single-inductor 4-output DC-DC buck converter with
ripple-based adaptive off-time control ISSCC Dig. Tech. Papers,
pp. 82 83, February 2014.
[5] W. Tang, F-C. Lee, R. B. Ridley, et al., Charge Control:
Modeling, Analysis, and Design, IEEE Trans. Power Electronics,
vol. 8, no. 4, pp. 396-403, October 1993.
- 143 -
TO-3-5-7
1. Introduction
A maximum power point tracking (MPPT) controller
in a distributed PV system uses the IV characteristics
of a PV module for tracking the maximum power point
(MPP) of its own module. Various MPPT techniques
have been researched, and they can be categorized into
three types: single step, multivariable step. Previously
described simple single step techniques include perturb
and observe (P&O), P&O based on a PI controller, dPP&O in [1], incremental conductance (INC), and the
improved particle swarm optimization method. The
main drawback of the single step algorithms is their
relatively slow tracking speed. Moreover, the operating
point fluctuates around the MPP at the steady state,
which may cause a large amount of available energy to
be wasted.
The multivariable-step algorithms in [2] adopt a
coarse-to-fine step at the expense of an ad hoc
- 144 -
ICEIC 2015
Start
Sense Vn & In
Calculate Pn=VnIn
500mV
N
Status=Tracking
Pn=PMax
Y
Y
Y
Passing MPP
N
N
D=-D
Y
m=0
N
m=m-1
Vn+1=Vn+D 2m+D
Pn=Pn-1
Passing MPP=Y
Which way=known
Pn>Pn-1
Y
Vn>Vn-1
D=1
m>1
Y
Pn>Pn-1
Status=
Steady-state
Vn+1=Vn+D m
If (m>1) m=m-1
Which way=
unknown
(E)
Y
P&O
D=-D
Vn+1=Vn+D
Which way=
known
(B)
Which way
=known
N
Vn+1=Vn+D 2m
m=m+1
Pn>PMax
Pn=PMax N
(F)
PV module dependency.
3. Experimental results
(D)
PMax=Pn
Return
Present
PMPP
ABWS
MDS
Previous
PMPP
Present
VMPP
Previous
VMPP
m 0
D 1
Iteration 0
Branch A
2s
Proposed
Status=Tracking,
Passing MPP=N,
m=0, PMax=0, Pn-1=0
D=-1
(C)
N (A)
-1
3
1
2
1
-1
0
A
1
B
2
B
3
B
4
B
5
C
6
D
7
E
8
D
9
D
10
D
11
D
12
F
4. Conclusion
Acknowledgement
References
[1] E. Koutroulis and K. Kalaitrakis, Development of a
Microcontroller- Based Photovoltaic Maximum Power Point
Tracking Control System, IEEE Trans. Power Electron., pp.46-54,
Jan. 2001.
[2] G. Petrone, G. Spagnuolo, and M. Vitelli, A Multivariable
Perturb-and-Observe Maximum Power Point Tracking Technique
Applied to a Single-Stage Photovoltaic Inverter, IEEE Trans. Ind.
Electron., pp.76-84, Jan. 2011.
- 145 -
ICEIC 2015
Oral Session
FO-1-1
Special Symposium on Intelligent
Control and Robotics(Lab-to-Lab) I
FO-1-1-1
1. Introduction
Since the development of vehicles, despite efforts to
make safer car and more efficient transportation
systems have continued, the traffic accidents and traffic
jam remain problems that are not perfectly settled yet.
One of the main reason is that human is behind the
wheel of the car. Not only most of traffic accident is
caused by mistake or carelessness of drivers, but also
traffic flow is deteriorated by the selfish behaviors of
drivers. The fundamental solution to alleviate or
eliminate the problems caused by human is to let
drivers take their hands off the wheel. In other words, if
an errorless and non-selfish intelligent system
substitutes the human driver, then the problems can be
resolved.
Recently, many efforts to develop autonomous
vehicles have been made. However, the present
researches do not properly consider the possibilities of
autonomous vehicle in the perspective of safety and
traffic efficiency. The goal of currently developed
autonomous vehicle is just substituting the human
driver. The autonomous vehicle will be of help to
prevent the accidents that have been caused by human
errors. However, it will not be able to achieve the zeroaccident or maximum traffic flow, since vehicles will
still drive for the profit of themselves.
2. Hardware Configuration
The chassis of the mobile robot is based on a 1/10
size commercial RC car that models the Toyota Tundra.
By using the commercial RC car, we saved the
- 147 -
ICEIC 2015
5. Conclusion
In this paper, we proposed a Multi-UGV for testing
autonomous cooperative driving algorithms. The
system architecture of the UGV was briefly reviewed
and a cooperative driving experiment using the testbed
was presented. We argue that the Multi-UGV testbed is
a useful tool for developing and evaluating cooperative
driving algorithms.
6. Acknowledgement
This work was supported by the National Research
Foundation of Korea (NRF) grant funded by the
Ministry of Science, ICT & Future Planning (MSIP)
(No. 2009-0083495).
References
[1] D. Swaroop, String Stability Of Interconnected Systems:
An Application To Platooning In Automated Highway
Systems, Ph.D. dissertation, Dept. Mech. Eng., Univ.
California, Berkeley, 1994.
[2] B. van Arem, C. J. G. van Driel, and R. Visser, The
impact of cooperative adaptive cruise control on traffic flow
characteristics, IEEE Trans. Intell. Transp. Syst., vol. 7, no.
4, pp. 429436, Dec. 2006.
[3] S. Kim, et al., Multiple Vehicle Driving Control for
Traffic Flow Efficiency, IEEE Intelligent Vehicles
Symposium, June, 2012.
[4] http://www.youtube.com/watch?v=9lvTS3_Y5nk
- 148 -
FO-1-1-2
DooSan Baek, Gi-poong Gwon, Gyumin Oh, In-Sub Yoo, Seong-Woo Kim
Department of Electrical and Computer Engineering, Seoul National University,
Gwanak-ro 1, Gwanak-gu, Seoul 151-742, Korea
E-mail : {dsbaek, gpgwon, gmoh, isyoo}@cnslab.snu.ac.kr, sinabrlo@snu.ac.kr
Abstract
We propose a cooperative UGV-UAV platform
with a docking system to integrate UGVs advantages
which are long duration time and large load capacity
and UAVs advantages which are high mobility and
wide sensing range.
We used a camera and 2D-LIDAR to detect lane,
obstacle and target object. In our platform, UGV and
UAV drive together usually, but UAV only takes off
when it is highly needed. Therefore, we solve the
problem to optimize energy efficiency and sensing
range. The proposed platform has been tested on the
specified demo scenario.
. SYSTEM DESCRIPTION
A. Hardware
The system which we developed is comprised of a
single UGV and UAV as shown on Figure 1, 2. Both
UGV and UAV are designed and developed by the
authors group.
. INTRODUCTION
The applications of unmanned vehicle are rapidly
increasing these days such as the surveillance of an
environment [1], the detection of forest fires [2] and
the exploration on Mars [3]. Research on unmanned
vehicles is generally divided into two main areas:
Unmanned Ground Vehicle (UGV) and Unmanned
Aerial Vehicle (UAV). UGV has the advantages of
mission performance time and load capacity because
UGV is more stable and energy-efficient than UAV.
The advantage of load capacity makes UGV possible
to load more precise sensors, so UGV can build high
quality environment map. In contrast, UAV takes the
advantages of high mobility and wide sensing range.
For these reasons, UAV can perform a task even when
environment is harsh for UGV.
In this paper, we present our approach to
cooperative target detection using a UGV-UAV
platform with a docking system. By cooperative task
performance, energy efficiency and sensing range are
optimized. Then we can overcome the limitations that
are caused when performing task individually, which
can maximize UGVs and UAVs advantages.
- 149 -
ICEIC 2015
B. Perception
By using 2D-LIDAR, we can measure the exact
position of obstacles and target objects. But there is a
limitation that it is hard to distinguish between
obstacles and target objects if we use only 2D-LIDAR
sensor data. To solve this problem, we use camera
sensor data and apply target object detection algorithm
that can classify detected object into obstacle and
target object.
Basically UGV uses a camera sensor to drive along
the lane. From the every camera image frame, we
apply a Gaussian filter to extract the lane features.
Contrary to UGVs camera, UAVs camera has
different camera angle. Because UAVs camera angle
is not horizontal but vertical, we need a 3-D image
processing algorithm rather than 2-D image
processing algorithm. To overcome this issue, we
employ the Flood-fill algorithm that extracts lane
marking from a vertical view image.
. CONCULSIONS
C. Path Planning
The path planning module gets the sensor data
from UAVs camera, UGVs camera and 2D-LIDAR.
This makes UGV and UAV possible to recognize
integrated environment. Base on the recognition,
UGV can compute the optimal trajectory for its task
mission.
. EXPERIMENTAL RESULTS
We applied our UGV-UAV platform to following
mission scenario as below. UGV which docked with
UAV performs a mission to find the target object along
the lane. But there is a crossroads, the first fork is a
dead end, the second fork is blocked with the obstacle
and the third fork has the target object. Because of
ACKNOWLEDGEMENT
This work was supported by the National Research
Foundation of Korea(NRF) grant funded by the
Ministry of Science, ICT & Future Planning (MSIP)
(No. 2009-0083495).
REFERENCES
[1] Y. Elmaliach, A. Shiloni, and G. A. Kaminka,
A realistic model of frequency-based multirobot polyline patrolling, in Proc. Int. Conf.
Auton. Agents, pp. 63-70, May 2008.
[2] D. B. Kingston, R. W. Beard, and R. S. Holt,
Decentralized perimeter surveillance using a
team of UAVs, IEEE Trans. Robotics, vol. 24,
no. 6, pp. 13941404, Dec 2008.
[3] J. Carsten, A. Rankin, D. Ferguson and A. Stentz,
Global path planning on board the mars
exploration rovers IEEE Aerospace Conference,
pp. 1-11, Mar 2007.
- 150 -
FO-1-1-3
2. Framework
This session will introduce the essential components
that are involved in the obstacle avoidance module.
2.1. Perception
1. Introduction
Autonomous vehicles, with a goal of realizing
autonomous system that independently and robustly
perform all intelligent and safety-critical driving functions,
had attracted dramatic attention during the past decades.
Recent advances in sensing, real-time control and
computation technologies have further spurred interest in
autonomous driving and many advances have been made
in the past decade, as evidenced, for instance, by the series
of competitions sponsored by the Defense Advanced
Research Projects Agency (DARPA) [1, 2].
Inheriting from the experience of the DARPA Grand
Challenge, most of the state-of-art autonomous vehicles
planning systems are divided into three hierarchical
subsystems: Mission Planning, Behavior Planning and
Motion Planning [3]. The task level mission planning aims
at planning an optimal mission schedule, then the actionlevel or decision-level behavior planner responds for
parsing the assigned task into a set of actions or decisions.
Finally, the motion planner is in charge of searching the
dynamic feasible trajectories to accomplish the planned
actions.
As a key component of the autonomous driving system,
this paper will present an obstacle avoidance module for
autonomous driving in the clustered pedestrian
environment. While great achievements have been
- 151 -
ICEIC 2015
3. Experimental Evaluation
This session will present the experimental evaluation of
the proposed obstacle avoidance module.
Our test bed is a Yamaha G22E golf cart with various
affordable sensors (shown in Fig. 1(a)), where the details
are available in [5]. The experiments were conducted in the
Utown plaza within National University of Singapore,
which is a typical pedestrian environment as Fig. 1(b).
Fig. 2 demonstrates three snapshots of the obstacle
avoiding results in the pedestrian environment. The
colorful map attached to the vehicle frame is the metric
map, where the colors are scaled according to the distance
to the nearest obstacle. The solution trajectory is given as
the black curve, which is kinematic feasible and locates far
away from the obstacle while maintaining a reasonable
length.
4. Conclusion
This paper has presented an obstacle avoidance module
for autonomous driving in clustered pedestrian
environment. Three major components of the obstacle
avoidance sub-system, i.e. Perception, Avoidance
Triggering and Motion planning, are brief introduced. The
proposed obstacle avoidance module has been extensively
evaluated via real experiments and some promising results
have been achieved.
Acknowledgement
This research was supported by the Future Urban
Mobility project of the Singapore-MIT Alliance for
Research and Technology (SMART) Center, with funding
from Singapores National Research Foundation.
References
[1] Mahelona, Keoni, et al. "DARPA Grand Challenge." (2007).
[2] Montemerlo, Michael, et al. "Junior: The stanford entry in the
urban challenge." Journal of field Robotics 25.9 (2008): 569-597.
[3] Urmson, Chris, et al. "Autonomous driving in urban
environments: Boss and the urban challenge." Journal of Field
Robotics 25.8 (2008): 425-466.
[4] Karaman, Sertac, and Emilio Frazzoli. "Sampling-based
algorithms for optimal motion planning." The International
Journal of Robotics Research 30.7 (2011): 846-894.
[5] Z. J. Chong, B. Qin, T. Bandyopadhyay, T. Wongpiromsarn,
B. Rebsamen, P. Dai, E. S. Rankin, and M. H. Ang Jr,
Autonomy for mobility on demand, in Intelligent Autonomous
Systems 12. Springer, 2013
- 152 -
FO-1-1-4
1. Introduction
2012 unmanned solar vehicle challenge was a
competition for self-made vehicle. Every attendee had
to make their own vehicles equipped with various
electronic devices, such as solar panels, a GPS, a
camera, and a LIDAR, and enable the vehicle to drive
autonomously being charged from solar panels. Also it
was the first competition in the world which covered
both research parts of eco-friendly and autonomous
driving vehicle. The broad range of each research area
made the competition require many types of
customized element technologies, e.g., energy
management, environment perception, control, and
optimization. One of the core technologies was a track
boundary detection algorithm. Multiple cones formed
the track boundary, which seemed complex obstacle
zone in some situation. In this paper, we introduce our
novel track boundary detection algorithm with a 2D
laser scanner, which led our SNU solar team to win the
second prize among eleven teams in total. The rest of
2. Problem definition
The competition rules about the GPS waypoints of
the track made it an important task to find the cones on
road with local sensors and decide them as left ones or
right ones because they represented the track boundary.
It is a relatively simple task to detect cones by
analyzing the width of each segment from a local
sensor, e.g., a laser scanner or camera. However, it is
not straightforward to classify them into left ones or
right ones. Because there were many cases where the
relative position acquired from the sensor directly was
no more decision criterion, like cones on curve, or
cones on the area where tracks of both directions are
close, like in Figure 1. As a result, additional
information were necessary to deal with the cases. In
Section 3, we introduce our novel cone recognition
method that we utilized in the competition.
- 153 -
ICEIC 2015
3. Detection method
Considering its FOV and measurement accuracy,
our team took a 2D laser scanner, SICK LMS-511, as a
main sensor. We employed standard 2D LIDAR data
segmentation method [1] to generate object candidate,
and then detected cones by their width condition. We
assumed that the nearest cones which have the shortest
Euclidean distances to the ego-vehicle on the both side
can be found by utilizing local Cartesian coordinate,
whose origin is the position of the ego-vehicle. Figure
2(a) represents two nearest cones and the others. The
nearest cone on the -x plane was considered as left one
and that on the +x plane was considered as right one.
The other cones on both sides were recognized in order
of short distance from the ego-vehicle. Based on the
fact that there was no object on the track between left
and right cones except obstacles which can be
distinguished by using their size, the innermost cone
was considered as the next closest one on each side.
Figure 2(b) illustrates the procedure to locate the
second closest cone on left side.
i) Firstly, set a new local coordinate centered on the
left closest cone, which naturally makes four
quadrants. In Figure 2(b), (1) is a new local
quadrant 1.
ii) Then, define a line that crosses the new origin
(red point in Figure 2(b)) and has a fixed length d,
and increase the angle between the x-axis and the
line from 0 to 180, where the angle is 0 on x-axis.
iii) When a new cone is on the line, take that as the
next closest cone.
4. Result
Figure 3 shows the detection result. The green box
represents our vehicle, the white circles mean left cones,
and the yellow circles mean right cones. The bold
circles mean the second closest cones in the both side,
which generated the waypoint of the vehicle, the dark
yellow circle between the left and right cones.
5. Conclusion
This paper shows a novel detection algorithm which
finds the driving track indicated by cones on road. By
utilizing the fact that there was nothing in the area
between the left and right cones except large obstacles,
we sought the cones in order of shortest distance. The
algorithm showed reliable detection performance and
led our team to get the second prize in 2012 unmanned
solar vehicle challenge.
6. Acknowledgement
This work was supported by the National Research
Foundation of Korea (NRF) grant funded by the
Ministry of Science, ICT& Future Planning (MSIP)
(No. 2009-0083495).
References
[1] J. Sparbert, K. Dietmayer, and D. Streller, Lane detection and
street-type classification using laser range images, in Proc. IEEE
Intelligent Transportation Systems Conf., Aug. 2001, pp. 454459.
- 154 -
FO-1-1-5
Abstract
We propose a method to build a precise and
efficient roadway map for autonomous vehicles. Raw
data about road geometry is collected through a probe
vehicle equipped a GPS and an inertial measurement
unit (IMU). The errors contained in the raw data are
corrected by an optimal smoothing technique. Finally,
for storage efficiency, corrected data in the form of
sequential set of points is modeled as arc-length
parameterized cubic-spline curves of which a spline is
represented as parameterized cubic polynomials. The
experiment result shows that the roadway map
produced using the proposed algorithm is accurate
sufficiently to use for autonomous vehicle.
Keywords: roadway map, autonomous vehicle
1. Introduction
Autonomous vehicles are being realized with
significant efforts in academia and automotive industry.
In order for a vehicle to drive autonomously, various
component functions should be supported such as
environmental perception, localization, path planning
and control. Operations of these functions are based on
precise and accurate information about surrounding
environment of the vehicle. Among this information,
precise roadway map is essential information that plays
a key role in operation of various functions for the
autonomous vehicle such as vehicle localization [1],
Motion planning [2] and ADAS [3].
In order for the roadway map to be used for autonomous vehicles, three primary requirements should be
satisfied:
- 155 -
ICEIC 2015
procedures of the data acquisition and correction steps
are beyond the scope of this paper. In this paper, we
focus on the road modeling algorithm.
The parameterized cubic-spline curve is defined as
X ( s) C X 3,k ( s sk )3 C X 2,k ( s sk ) 2 C X 1,k ( s sk ) C X 0,k
(1)
where s is the parameter of the curve meaning arclength of the curve and sk represent the arc-length at the
beginning point of kth curve segment. The problem is
expressing the point data as the spline curve with the
minimal number of splines and acceptable accuracy. In
this paper, we consider the modeling problem as an
estimation process that estimates the coefficients of the
curves using the extended Kalman filter where the
point data is given as the observation. In other words,
using the sequential point data, the extended Kalman
filter produces a polynomial curve fits given points
most well. The overall procedure of the algorithm can
be summarized as below:
i. Initialization: The states and covariance of the
Kalman filter are initialized.
ii. Estimation: The coefficients of the polynomial are
estimated using the sequential point data. As a new
observation point added, the filter adjusts the
coefficients of the curve.
iii. Curve Transition: When the maximum error
between produced curve and given points exceeds a
threshold, the Kalman filter iteration is stopped and
the coefficients of the curve are saved. Next the reinitialization is performed to produce a new curve.
In the above algorithm description, multiple
sequential Kalman filter are applied during the
modeling process. Since a single polynomial curve
cannot express the whole point data precisely, new
Kalman filtering restarts to estimate a new curve
whenever the accuracy threshold is violated. The
accuracy is defined as the maximum distance between
the given points and the estimated curve. The
coefficients of the constant term and first-order term of
the polynomial curves are not included in the state of
the Kalman filter because they are set as fixed values
when the Kalman filter begins for guaranteeing the C1continuity between two adjacent curves.
We verified the performance of the proposed algorithm through an experiment. The raw data was collected
for the road in Seoul National University, because the
road includes many curves having various curvatures.
The raw data was corrected by an optimal smoothing
technique. From the corrected data, we produced a
cubic-spline curve using the proposed algorithm.
3. Acknowledgement
This work was supported by the National Research
Foundation of Korea (NRF) grant funded by the
Ministry of Science, ICT & Future Planning (MSIP)
(No. 2009-0083495).
4. Conclusion
In this paper we proposed a roadway map building
algorithm for autonomous vehicles. For storage
efficiency, the roadway map is represented as
parameterized cubic-spline curves in which a spline is
expressed as a cubic-polynomial curve. We verified
that the roadway map is accurate and precise
sufficiently to be used for autonomous vehicles.
References
[1] R. Toledo-Moreo, D. Betaille, and F. Peyret, Lane-level
integrity provision for navigation and map matching with
gnss, dead reckoning, and enhanced maps, Intelligent
Transportation Systems, IEEE Transactions on, vol. 11, no. 1,
pp. 100112, March 2010.
[2] K. Chu, M. Lee, and M. Sunwoo, Local path planning
for offroad autonomous driving with avoidance of static
obstacles, Intelligent Transportation Systems, IEEE
Transactions on, vol. 13, no. 4, pp. 15991616, Dec 2012.
[3] S. Durekovic and N. Smith, Architectures of mapsupported ADAS, in Proc. IEEE Intell. Veh. Symp. (IV),
Baden-Baden, Germany, 2011, pp. 207211.
[4] D. Betaille and R. Toledo-Moreo, Creating Enhanced
Maps for Lane-Level Vehicle Navigation, Intelligent
Transportation Systems, IEEE Transactions on, vol. 11, no. 4,
pp. 786798, Dec. 2010.
[5] K. Jo and M. Sunwoo, Generation of a Precise Roadway
Map for Autonomous Cars., Intelligent Transportation
Systems, IEEE Transactions on, vol. 15, no. 3, pp. 925937,
June 2014.
- 156 -
ICEIC 2015
Oral Session
FO-1-2
Signal Processing I
FO-1-2-1
1. Introduction
Fingerprint recognition which is widely employed
for user authentication is exposed to spoof attack by
artificial fingerprints. Matsumoto et al. [1] introduced
how to create gummy fingers and showed that the
fingerprint recognition systems were easily fooled by
using artificial fingerprints. To protect the fingerprint
recognition against the spoof attack, a number of
approaches on fingerprint liveness detection have been
proposed [2]. Among them, static feature based
liveness detection distinguishes live fingerprints from
artificial fingerprints using only a single fingerprint
image. These static feature-based approaches are
simply adoptable to commercial fingerprint recognition,
whereas they generally provide limited performance in
practical application.
To overcome the limitation, previous researches
exploited multiple static features [3]. These approaches
can achieve higher performance than using single static
feature, whereas additional process to find an optimal
feature combination is required before constructing a
- 158 -
ICEIC 2015
Power
spectrum
5.9
(6.3/5.4)
9.7
(2.0/17.4)
19.4
(9.4/29.3)
Featurelevel fusion
3.6
(4.8/2.4)
5.0
(2.7/7.2)
18.5
(12.5/24.5)
Proposed
approach
3.1
(2.9/3.2)
4.2
(4.7/3.6)
18.4
(14.4/22.4)
5. Conclusion
This paper proposes a method to construct a strong
liveness detector using SVM-based ensemble classifier.
The experimental result shows our proposed method
achieves high generalization performance. In the future,
additional static features are exploited to separate the
artificial fingerprints of high quality more accurately.
Acknowledgements
This work has been supported by Institute of BioMedIT, Energy-IT and Smart-IT Technology (BEST), a
Brain Korea 21 plus program, Yonsei University.
4. Experimental result
For the performance evaluation, the public database
of Fingerprint Liveness Detection Competition 2013
(LivDet 2013) is exploited [7]. This database is
composed of 4 different sub-datasets which are
constructed using 3 optical sensors (Biometrika,
Italdata, and Crossmatch) and 1 swipe sensor. Among
these sub-datasets, three sub-datasets constructed using
the three optical scanners are exploited for the
performance evaluation. The liveness detection
performance is estimated using Total Error Rate (TER)
which is introduced in LivDet 2013 [7]. Table 1 shows
the liveness detection performance on the three datasets.
Table 1. Performance evaluation
Local
clarity
score
Local
orientation
quality
Directional
contrast
First-order
histogram
Biometrika
Italdata
Crossmatch
TER (%)
TER (%)
TER (%)
14.5
(14.0/14.9)
17.9
(8.1/27.6)
42.9
(47.9/37.8)
46.9
(48.6/45.2)
37.6
(50.8/24.3)
41.4
(50.4/32.3)
10.7
(9.8/11.6)
27.1
(10.9/43.2)
36.2
(26.7/45.6)
17.7
(17.4/18.0)
18.5
(18.4/18.5)
49.2
(97.7/0.7)
References
[1] T. Matsumoto, H. Matsumoto, K. Yamada, and S.
Hoshino, Impact of artificial gummy fingers on fingerprint
systems, in Optical Security and Counterfeit Deterrence
Techniques IV, Proc. SPIE 4677, pp. 275289 (2002).
[2] Schuckers, Stephanie AC. "Spoofing and anti-spoofing
measures." Information Security technical report 7.4, pp. 5662 (2002).
[3] Choi, Heeseung, et al. "Fake-fingerprint detection using
multiple static features." Optical Engineering 48.4, 047202047202 (2009).
[4] Galbally, Javier, et al. "A high performance fingerprint
liveness detection method based on quality related features."
Future Generation Computer Systems 28.1, pp. 311-321
(2012).
[5] A. Abhyankar and S. Schuckers, Fingerprint liveness
detection using local ridge frequencies and multiresolution
texture analysis techniques,in 2006 IEEE Int. Conf. on
Image Processing, pp. 321324 (2006).
[6] Li, Xuchun, Lei Wang, and Eric Sung. "AdaBoost with
SVM-based
component
classifiers."
Engineering
Applications of Artificial Intelligence 21.5, pp. 785-795
(2008).
[7] Ghiani, Luca, et al. "LivDet 2013 fingerprint liveness
detection competition 2013." Biometrics (ICB), 2013
International Conference on. IEEE, 2013.
- 159 -
FO-1-2-2
I. I NTRODUCTION
A block-by-Block data transmission scheme is an efficient
way to transmit data over time dispersive channels that reduces
Inter-Symbol Interference (ISI) [1]. In block data transmission
systems (BDTS), data symbols are separated by zero symbols
(known symbols), which confine the ISI within the block. Its
well known that the Maximum Likelihood Block Detection
(MLBD) technique offers the optimum solution for BDTS but
its complexity grows exponentially with the size of transmitted
data. Lattice Sphere Detection (LSD) technique serves as an
alternative technique to reduce complexity [2]. In [2], [3], LSD
technique has been used for BDTS detection. Actually, LSD
technique searches for the constellation point of the received
signal that is confined within a sphere with a predetermined
radius d [4].
It is well known that the generator matrix plays a crucial role
in the structure of lattice space [6]. In previous works [1], [2],
[3], circulant generator matrix has been used. One of main
drawbacks of the previous works [2], [3] is the high condition
number ( ). A problem with high condition number ( ) is
said to be ill-conditioned which causes a worse probability
of bit-error-rate (BER) as compared to the exhaustive search
technique. Condition number ( ) measures the worst-case
sensitivity of an input data to small perturbation. A general
theory of condition numbers was first given by Rice [8] in
- 160 -
ICEIC 2015
into orthogonal matrix Q (i.e., QT Q = I) and upper triangular
matrix R as shown in Eq. 3.
r11
= Q
0
.
.
.
.
.
.
0
r12
r22
..
.
..
.
..
.
..
..
..
.
0
r1n
r2n
.
.
. = QR
.
.
.
rnn
(3)
(4)
h0
h1
..
.
..
.
..
H=
.
.
..
.
..
.
..
0
(1)
0
h0
..
.
0
..
.
..
.
hq1
0
..
.
hq2
hq1
..
.
..
.
..
..
..
..
..
..
..
..
..
..
..
..
..
..
..
..
..
..
..
..
..
..
..
..
..
.
0
..
..
.
hq1
..
.
hq2
..
.
hq3
..
(6)
2
n
0 X
yi
rij xj
j=i
i=nk+1
n
X
h1
h2
..
.
..
.
..
.
(2)
..
.
..
.
..
.
h0
- 161 -
(7)
B. Condition number
The condition number ( ) of a matrix measures the sensitivity of the solution of a system of linear equations to any
change in the data. Example 1, depicts the ideas:
1 1000 w
1
w
1
=
=
0
1
z
0
z
0
By changing the right side data slightly (0 is moved to 0.01),
the solution will be as
1 1000 w
1
w
9
=
=
0
1
z
0.01
z
0.01
A little change guide to a completely different answer. In
communication systems, there is no guarantee that the received
data will be without changes. The condition number ( ) of a
matrix H is deterministically calculated as
Emax
=
= kHk
H 1
(8)
Emin
where Emax and Emin are the maximum and minimum
singular values of the matrix, respectively. The singular values
of a matrix H are the square roots of the eigenvalues of the
symmetric n n matrix H T H. The minimum and maximum
ICEIC 2015
singular values of the matrix in example 1 is 0.001 and 1000,
respectively. So, the condition number ( ) is equal to 106 .
The objective of this paper is to get a smaller condition number
( ) that improves the system performance by using special
matrices.
C. Description of Special Matrices
Matrices with special structure such as Hankel and Toeplitz
arise in many applications in engineering and science [11].
Matrix is called a Hankel matrix (or catalecticant matrix) if
the elements that belong to a given reverse diagonal of the
matrix are equal. The general structure of Hankel matrix is
given in [11] as
a0
a1
ap1
a1
a2
ap
a2
a
3
p+1
A=
(9)
..
..
..
.
.
an1p anp an2
A Toeplitz matrix is a nother matrix with equal values along
the diagonals [11]. A general form of an n n Toeplitz matrix
is shown below:
a0
a1
a2 an1
..
..
a1
.
a0
a1
.
.
.
A = a2
(10)
.
a2
a1 a0
.
..
..
..
..
..
.
.
.
.
an+1 a2 a1
a0
Toeplitz and Hankel matrices are considered as symmetric matrices. Symmetric matrices are square matrices whose
transpose equals the matrix itself [5].
D. Mechanism of Radius Selection
As mentioned in section 1, the selection of the initial
radius (d) is very crucial. Improper initial radius selection
results in higher computational complexity and lower system
performance or the system fails to get the correct solution [10],
[14].
It has shown in [13], the selected initial radius (d) for the
LSD-based BDTS is given as
d=
nPavg =
n 2
(11)
A. Hankel matrix
As mentioned in section II, Hankel matrix is symmetric.
We can take advantage of the fact that for any symmetric
matrix, there is an orthonormal basis of eigenvectors (with
real eigenvalues). The matrix with orthonormal basis has a
small value of condition number ( ). As mentioned in section
I, a matrix with small condition number ( ) is called wellconditioned and, therefore, results a good system performance.
Since Hankel matrix is symmetric and has a small condition
number, we propose to use the Hankel matrix as a lattice
generator matrix (H) instead of circulant matrix in Eq. 2. The
entry elements below the main skew diagonal are equal to 0
as
(
0
i+j1>n
Hij =
(12)
i + j 1 otherwise
So, the proposed channel matrix in Hankel structure is given
as
h0
00
..
.
H = 0
hq1
hq2
..
.
h1
0
hq1
0
hq1
hq2
hq1
hq2
hq2
h1
h1
0
0
hq1
hq2
hq1
hq2
h1
hq2
h1
0
h1
0
0
h1
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
h1
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
(13)
h1
0
0
(14)
x
(15)
Inn
0
2
The process is then repeated from the beginning.
- 162 -
ICEIC 2015
B. Toeplitz matrix
As mentioned in section I, Toeplitz matrix is a matrix with
equal values along the diagonals and it has constant values
along negative-sloping diagonals. Toeplitz matrix is called
a persymmetric matrix which is symmetric in the reverse
diagonal [12]. So, it has a small condition number which
produces a good system performance. The proposed generator
matrix in Toeplitz structure is shown below:
h
0
0
h
h
0
.
.
.
0
H =
h
q1
.
..
..
.
h1
q1
h0
0
..
.
0
..
.
..
.
h2
0
h0
0
..
.
..
..
..
.
..
0
..
.
h0
0
..
.
0
h0
h0
0
.
hq1
kx x
k
1
H 1
krk
kxk
kxk
h2
.
.
.
hq1
..
.
..
.
kHk
H 1
krk
kx x
k
kxk
kSk
(16)
krk
kx x
k
kxk
kSk
(18)
xk
small, it implies a small relative error kx
kxk . Therefore, the
system is well-conditioned.
One important measure of the noise immunity of a signal
set is the minimum distance between signals, defined as
min kHx H x
k [16]. From Eq. 18, krk = kHx H x
k and
rewriting Eq. 26 gives
krk =
(17)
(26)
Now, apply Eq. 14 and Eq. 15 to find the closest lattice point.
r = S Hx
= H(x x
)
(25)
Looking
back
in Eq. 8 where the condition number =
kHk
H 1
. Thus, substituting Eq. 8 into Eq. 25, yields
0
h0
S = Hx
(24)
hq1
..
.
h0
..
Thus kx x
k
H 1
krk. Divide both sides by kxk,
(21)
kx x
k
kSk
kxk
(27)
kx x
k kSk
2 kxk
(29)
kSk kxk
kSk kxk
kHk
1
kxk
kSk
(22)
- 163 -
ICEIC 2015
V. R ESULTS AND D ISCUSSION
The usage of Hankel/Toeplitz as a channel matrix is useful
since it reduces the condition number and, therefore, improves
the system performance. This section presents the results of
the usage of Hankel and Toeplitz matrices for the different
channels. For equal comparison, the system in this work
considers two channel models similar to than in [1], [2].
The first channel impulse response (CIR) is presented by
channel A: [0.2294 0.4588 0.6882 0.45882 0.2294] which
exhibits strong amplitude distortion [1]. The second channel is
presented as channel B: [0.227 0.460 0.688 0.460 0.227], with
strong amplitude distortion and spectral characteristic which
exhibits spectral null [2]. The baseband data with random
blocks of size 20 bits using channel A and 16 bits using
channel B are transmitted 108 times.
Table I depicts the calculated condition numbers ( ) for
different channel matrices. As shown in Figs. 3 and 4, the
TABLE I
C ONDITION
Channel Matrix
Hankel
Toeplitz
Circulant
Channel A
53.3228
10.1415
291.97
Channel B
40.4605
10.1138
138.345
ACKNOWLEDGMENT
- 164 -
ICEIC 2015
R EFERENCES
[1] SPK. Babu, M. F. M. Salleh and F. Ghani, Reduced complexity
optimum detector for block data transmission systems, IEICE Elctron.
Express (ELEX), vol. 6, no.23, pp. 16491655, 2009.
[2] X. Li and X. Cui, Application of lattice code decoder to SC-CP for
short block length, Electronics Letters, vol. 40, no.15, pp. 954955,
2004.
[3] M. A. M. Albreem, M. F. M. Salleh, and SPK. Babu, Reduced
complexity optimum detector for block data transmission systems using
lattice sphere decoding technique, IEICE Electron. Express (ELEX),
vol. 8, no.9, pp. 644649, 2011.
[4] L. G. Barbero and J. S. Thompson, Fixing the complexity of the
sphere decoder for MIMO detection, IEEE Transactions on Wireless
Communications, vol. 7, no. 6, pp. 2131-2143, 2008.
[5] E. Kreyszig, Advanced Engineering Mathematircs, 10th edition, John
Wiley & sons, Inc., pp. 861867 2011.
[6] J. H. Conway and N. J. A. Sloane, Sphere packings, lattices and goups,
Springer, 1999.
[7] S. Roger, A. Gonzalez, V. Almenar, and A. Vidal, MIMO Channel
Matrix Condition Number Estimation and Threshold Selection for
Combined K-Best Sphere Decoders, IEICE Transactions on Communications, vol. E92-B, no. 4, pp. 13801383, 2009.
[8] D. J. Higham, Condition numbers and their condition numbers, Linear
Algebra and its Application, vol. 214, pp. 193213, 1995.
[9] T. Cui and C. Tellambura, An efficient generalized sphere decoder for
rank-deficient MIMO systems, IEEE Communication Letters, vol. 9,
no.5, pp. 423425, 2005.
[10] B. Hassibi and H. Vikalo, On the sphere-decoding algorithm i. Expected
complexity, IEEE Transactions on Signal Processing, vol. 53, no.8, pp.
28062818, 2005.
[11] S. A. Dianat and E. S. Saber, Advanced Linear Algebra for Engineers
with MATLAB, 1st ed., CRC Press, 2009.
[12] G. Ramamurthy, Left circulant and generator type matrices, Report
No: IIIT/TR/2009/155, Centre for Security, Theory and Algorithms
International Institute of Information Technology, India, July 2009.
[13] M. A. M. Albreem and M. F. M. Salleh, Near-An -Lattice Sphere
Decoding Technique Assisted Optimum Detection for Block Data Transmission Systems, IEICE Transactions on Communications, vol. E96-B,
no. 1, pp. 356359, 2013.
[14] L. Yang, R. S. Chen, K. K. Soo, and Y. M. Siu, An efficient sphere
decoding approach for PTS assisted PAPR reduction of OFDM signals,
), vol. 61, pp. 684688, 2007.
Int. J. Electron Commun (AEU
[15] D. Tse and P. Viswanath, Fundamental of Wireless Communication,
Cambridge University Press, 2005.
[16] E. A. Lee, Digital Communication, Kluwer Academic, 1994.
- 165 -
FO-1-2-3
Abstract
Behaviors of dairy cow represent their health
and emotions. The behavior analysis in video
surveillance is a technique which helps farmer to
observe cows activities. Our study aims to create
an automatic system for cow detection in a freestall barn by vision technique. This paper proposes
a technique that detects dairy cows from top view
of the barn. The foreground is separated from
background on sequences of images (1 frame per
10 seconds). Our proposed method does not
require a fix environment as previous work. The
overall accuracy of correct detection is 76.75%.
Therefore, our proposed algorithm is feasible to
detection cows in free-stall barns.
Keywords: dairy-cow, detection, vision-based
Input Image
Foreground
Detector
Image
Processing
Blob
Analysis
Output
Image
put mage
- 166 -
ICEIC 2015
(a)
(d)
(b)
(c)
(e)
Figures 2: (a) The result from foreground detector. (b) Dilation and Erosion processing. c) Blob analysis
process. d) Dairy cows are detected. e) Accuracy of the proposed algorithm.
2.
3.
4.
5.
4. Conclusions
In this paper, we present the vision-based
algorithm to detect the presence of dairy cows in
free-stall barns. The proposed method does not
require a fix environment as previous work. We
also propose the condition of the environment to
provide the best performance of the proposed
algorithm.
References
[1] Daily Master Co.,Ltd. Moo monitors system,
http://www.dairymaster.com/heat-detection/
3. Experimental Result
- 167 -
FO-1-2-4
1. Introduction
Contrast enhancement is the one of the important
issue of the computer vision. Recently, Chen et al
propose GLG(Gray-Level Grouping) that performs
optimized image contrast enhancement automatically
and also introduce FGLG(Fast Gray-Level Grouping)
for high performance[1]. Although GLG can adjust the
level of histogram, grouping process is sensitive to
subtle change of histogram. In addition GLG is
designed for single image and depends on grouping to
construct transformation function. It means brightness
intensity between similar frames can abruptly change
and actually GLG causes flickering artifact while video
contrast enhancement.
In this paper, we propose the flickering artifact
reduction method in video contrast enhancement. The
proposed method is based on FGLG and improves
FGLG through group range smoothing.
2. Proposed Method
FGLG makes 20 groups to construct transformation
function using histogram. However the left and right
1
corresponding author
- 168 -
ICEIC 2015
because the output frame is frame t-2 when the current
frame is frame t. Fig 2 shows the result of the group
range smoothing.
3. Experimental Result
4. Conclusion
(a)
(b)
(c)
(d)
(e)
(f)
(g)
(h)
(i)
Fig 3. 121 frame, 122 frame and scaled difference
image between 121 and 122 frame from left side to
right side. Top row is original frames, middle row is
results of FGLG and bottom row is results of the
proposed method
Enhanced results of FGLG(d and e of Fig 3) and the
proposed method(g and h of Fig 3) are similar visually.
However the scaled difference image of FGLG(f of Fig
3) and the proposed method(i of Fig 3) are different.
The scaled difference image is difference image
between 121 and 122 frame multiplied by 3(for high
resolution). F of Fig 3 shows global intensity change
between d and e of Fig 3. This change causes the
flickering artifact. On the other hand, i of Fig 3 shows
that the difference is very small and similar to the
References
[1] Z. Y. Chen, B. R. Abidi, D. L. Page and M. A. Abidi,
"Gray-level grouping (GLG): an automatic method for
optimized image contrast Enhancement-part I: the basic
method," IEEE Transactions on Image Processing, vol. 15,
no. 8, pp. 2290-2302, Aug., 2006.
[2] J. Y. Yang, J. B. Park and B. W. Jeon, Flickering effect
reduction for H.264/AVC intra frames," Proceedings of SPIE
- the International Society for Optical Engineering, vol. 6391,
Oct., 2006.
- 169 -
FO-1-2-5
Abstract
This paper addresses the problem of sound event
classification, focusing on feature representation methods.
Sound events such as screaming and glass breaking show
distinctive temporal and spectral characteristics. Therefore,
extracting appropriate features to properly represent these
characteristics is important in achieving a good
performance. In this paper, we employ bag-of-audio-words
feature representation, which is a histogram representation
of frame-based features, to characterize the time-frequency
patterns in the long-range segment of a sound event. In the
method, Gaussian mixture model-based clustering is
adopted to deal with the inconsistent dynamic range among
frame-based features. Test sounds are classified by using a
support vector machine. The proposed method is evaluated
on a database of several hundred audio clips for fifteen
sound events and the classification results show over 41%
relative improvements compared to conventional bag-ofaudio-words representation methods.
Keywords: Bag-of-audio-words, Gaussian mixture model
(GMM) clustering, sound event classification.
1. Introduction
Sound events are good descriptors in recognizing and
understanding circumstances. In an audio surveillance
application, for example, sound events such as screaming or
explosion may indicate a dangerous situation whereas sound
events such as conversation or music may imply a normal
condition. Hence, a sound event classification method that
produces highly accurate classification results will be very
useful in understanding various situations such as audio
surveillance [1, 2, 3], monitoring in health care [4], and
military [5].
In general, such sound events show distinctive temporal
and spectral characteristics [5]. Therefore, developing a
feature representation method, which is proper to describe
the characteristics of each sound event, is very important in
improving the classification accuracy of the sound events.
Sound event classification was conventionally performed
by using general audio features that include MPEG-7 lowlevel features (LLFs) [1], linear-frequency cepstral
coefficients (LFCCs) [2], Mel-frequency cepstral
coefficients (MFCCs) [4], and their combinations [3, 5].
Kim and Kim [7] proposed segmental two-dimensional
MFCCs which are based on two-dimensional discrete
cosine transform to capture temporal and spectral
characteristics of a sound event. Jonathan et al. [6] utilized
image processing based techniques such as pseudo-coloring
and partitioning in a spectrogram to overcome the noise
sensitivity of MFCC. Lee et al. [8] employed angular radial
transform to extract spectrogram shape features within a
birdsong segment.
In recent years, a bag-of-audio-words (BoAW) feature
representation which is a histogram representation of framebased audio features, such as LLF, in a long-term segment
instead of the frame-based audio features itself is
successfully applied to sound event classification [9, 10]
since the histogram may be suitable for describing the
global characteristics of a sound event. In the method, the kmeans clustering based on the Euclidean distance measure
is generally used to construct the histogram. However, since
the dynamic range of each frame-based feature is diverse
and inconsistent, the clustering result is subject to bias. To
overcome the drawback, this paper presents the sound event
classification method, focusing particularly on BoAW
feature representation using Gaussian mixture model
(GMM)-based clustering, which considers the dynamic
range of each feature. A support vector machine (SVM)
classifier is used to identify the class of a test sound among
fifteen sound event classes.
The remainder of the paper is organized as follows: The
conventional BoAW feature representation is described in
Section 2. In Section 3, we present the proposed
distribution-based clustering method. Section 4 shows the
experiments and finally, our conclusions are summarized in
Section 5.
- 170 -
ICEIC 2015
Figure 1: Block diagram of BoAW feature representation (Dotted box is used only for the training phase.)
arg min || x i
S
kmenas
||2
(1)
=i 1 xSi
FBoAW_kmeans
[ ( , 1), ( , 2), , ( , k )]
(2)
l =1
GMM
- 171 -
ICEIC 2015
Table 1: Configurations of the database
#
Clips
Total
duration
(sec)
Avg. clip
duration(Std.)
(sec)
Car crashing
36
154.9
4.3(2.0)
Crying
66
311.4
Dog barking
81
Explosion
Abnormal
Classes
4.7(1.0)
Framebased
features
128
256
512
128
256
512
372.6
4.6(1.6)
LLF
67.6
67.6
65.6
85.4
85.7
87.1
64
280.7
4.4(1.7)
LFCC
78.7
81.7
76.2
81.5
83.3
85.9
Glass breaking
103
233.3
2.3(1.3)
MFCC
90.2
91.5
92.5
93.3
95.0
95.6
Screaming
115
228.7
2.0(0.9)
Air conditioner
68
333.6
4.9(0.3)
Bird song
92
355.4
3.9(1.4)
Conversation
48
240.0
5.0(0.0)
Car horn
96
199.5
2.1(1.2)
Motorcycle
58
292.0
5.0(0.5)
Music
72
360.0
5.0(0.0)
Raining
65
324.2
5.0(0.1)
Ambulance siren
68
322.1
4.7(0.5)
Wind
56
350.1
4.9(0.4)
k-means clustering
GMM clustering
Normal
4. Experiments
FBoAW_GMM =
= [ p ( m 1=
| x l ), p ( m 2 | x l ),
l =1
(4)
, p ( m = M | x l )] .
T
- 172 -
ICEIC 2015
=
ERR %
Conversation
Crying
Dog barking
Explosion
Glass
breaking
Car horn
Motorcycle
Music
Raining
Screaming
Ambulance
siren
Wind
Air conditioner
Bird song
Car crashing
Conversation
Crying
Dog barking
Explosion
Glass breaking
Car horn
Motorcycle
Music
Raining
Screaming
Ambulance siren
Wind
Car crashing
Actual
Bird song
Prediction
Air
conditioner
Table 3: Confusion matrix for fifteen classes of sound event classification (The entry represents the percentage of clips belonging
to the actual class and predicted by the system.)
98.5
0.0
0.0
0.0
0.0
0.0
3.1
0.0
0.0
0.0
0.0
0.0
0.0
0.0
0.0
0.0
100.0
0.0
0.0
0.0
0.0
0.0
0.0
0.0
0.0
0.0
0.0
2.6
0.0
0.0
0.0
0.0
69.4
0.0
0.0
0.0
1.6
1.9
1.0
3.4
0.0
0.0
0.0
0.0
0.0
0.0
0.0
0.0
100.0
0.0
0.0
1.6
0.0
0.0
0.0
0.0
0.0
0.0
0.0
0.0
0.0
0.0
0.0
0.0
97.0
0.0
0.0
1.0
1.0
0.0
0.0
0.0
0.0
0.0
0.0
0.0
0.0
0.0
0.0
0.0
100.0
0.0
1.0
0.0
0.0
0.0
0.0
0.0
0.0
0.0
1.5
0.0
5.6
0.0
0.0
0.0
92.2
0.0
1.0
0.0
0.0
0.0
0.0
0.0
0.0
0.0
0.0
8.3
0.0
0.0
0.0
1.6
95.1
0.0
3.4
0.0
0.0
0.9
0.0
0.0
0.0
0.0
0.0
0.0
3.0
0.0
0.0
0.0
91.7
0.0
1.4
0.0
5.2
0.0
0.0
0.0
0.0
11.1
0.0
0.0
0.0
0.0
0.0
1.0
93.1
0.0
0.0
0.0
0.0
0.0
0.0
0.0
0.0
0.0
0.0
0.0
0.0
0.0
1.0
0.0
98.6
0.0
0.0
0.0
0.0
0.0
0.0
0.0
0.0
0.0
0.0
0.0
0.0
0.0
0.0
0.0
100.0
0.0
0.0
0.0
0.0
0.0
5.6
0.0
0.0
0.0
0.0
0.0
2.1
0.0
0.0
0.0
91.3
1.5
0.0
0.0
0.0
0.0
0.0
0.0
0.0
0.0
0.0
1.0
0.0
0.0
0.0
0.0
98.5
0.0
0.0
0.0
0.0
0.0
0.0
0.0
0.0
1.0
0.0
0.0
0.0
0.0
0.0
0.0
100.0
100%
(5)
CER baseline
where ERR % and CER mean an error reduction rate and a
classification error rate, respectively. This implies that the
GMM clustering is more suitable for the BoAW framework
by effectively dealing with various dynamic ranges of the
frame features. We can also observe that the MFCC is more
superior to the LLF and LFCC as frame-based features,
showing 65.0% and 70.1% relative improvements when
using 512 clusters of the GMM clustering method,
respectively. This indicates that the MFCC is more effective
in expressing the characteristics of sound events in the
BoAW method. Therefore, the frame-based MFCC features
and 512 clusters were used as the default setting in the
following experiments.
We analyze the best classification results (the MFCC
and the GMM with 512 clusters) using the confusion matrix
as shown in Table 3. As can be seen, most classes have very
small amount of confusion except the car crashing class. We
can interpret this result as two points of view: insufficient
data and/or complex characteristics of the car crashing
sound class. It can be seen that the car crashing class has the
smallest amount of data in Table 1 (about 150 sec of total
duration) which can cause poor modeling in training phase.
Furthermore, we can simply imagine that the car crashing
event composed of the tire skid and crash sounds which
are similar to motorcycle, glass breaking, and explosion.
Therefore, the higher misclassification rate is observed in
the car crashing class compared to other sound classes.
k-means
clustering
GMM
clustering
Original
92.5
95.6
1m
90.5
94.3
Conditions
Distance matched
condition
Multi-condition
10m
90.6
94.5
Original
92.3
92.9
1m
90.4
91.5
10m
88.7
91.2
- 173 -
ICEIC 2015
event classifier. In order to evaluate the proposed features,
experiments were performed in the aspect of the CA across
fifteen sound classes. The experimental results show that the
proposed feature representation method outperformed
conventional BoAW representation based on k-means
clustering, achieving a CA of 95.6% when using MFCC
frame features and 512 clusters of the GMM clustering.
Furthermore, additional experiments were performed
related to the areas of audio surveillance. Our work verifies
a possibility that the proposed method can be successfully
applied to audio surveillance systems.
GMM
clustering
Original
92.9
96.0
1m
91.5
94.8
Conditions
Distance matched
condition
Multi-condition
10m
91.4
95.3
Original
93.3
93.6
1m
91.4
92.3
10m
90.8
93.0
6. Acknowledgements
GMM
clustering
Original
94.8
97.3
Conditions
Distance matched
condition
Multi-condition
1m
94.3
96.4
10m
94.7
97.3
Original
95.5
95.6
1m
94.1
94.0
10m
93.7
95.0
References
[1] A. Harma, M. F. McKinney, and J. Skowronek,
"Automatic surveillance of the acoustic activity in our living
environment," in Proc. IEEE Int. Conf. Mult. Expo, Jul.
2005.
5. Conclusion
We proposed a feature representation method that
employs BoAW based on the GMM clustering to effectively
represent the distinct time-frequency patterns of sound
events. An SVM with a linear kernel was adopted as a sound
- 174 -
ICEIC 2015
[8] C. H. Lee, S. B. Hsu, J. L. Shih, and C. H. Chou,
"Continuous birdsong recognition using Gaussian mixture
modeling of image shape features," IEEE Trans.
Multimedia, vol. 15, no. 2, pp. 454-464, Feb. 2013.
[9] S. Pancoast and M. Akbacak, "Bag-of-audio-words
approach for multimedia event classification", in Proc.
Interspeech 2012, Sep. 2012, pp. 2105-2108.
[10] V. Carletti, P. Forggia, G. Percannella, A. Saggese, N.
Strisciuglio, and M. Vento, "Audio surveillance using a bag
of aural words classifier," in Proc. IEEE Int. conf. Adv.
Video and Signal Based Surveillance, Aug. 2013, pp. 81-86.
[11] C. M. Bishop, Pattern recognition and machine
learning, Springer, 2006.
[12] T. K. Moon, "The expectation-maximization
algorithm," IEEE Signal Process. Magazine, vol. 13, no. 6,
pp. 47-60, Nov. 1996.
[13] C. C. Chang and C. Lin, "LIBSVM: a library for
support vector machines", ACM Trans. on Intelligent
Systems and Technology, 2011. Software available at
http://www.csie.ntu.edu. tw/~cjlin/libsvm.
- 175 -
FO-1-2-6
Abstract
This paper proposes to use one reuse buffer for the CU of
each size that reduces redundant SAD data within the CU.
To further reduce the SAD data we also propose to modify
the test zone search (TZS) algorithm so that its
computational redundancy can be increased without
substantial BD-rate loss. According to the simulation
results, the reduction of the SAD data is about 49.2% for
the modified TZS algorithm and the BD-rate loss is 0.09%
considering parallel operations when each buffer is
implemented with a 16 way set-associative cache with 32
entries per a way.
Keywords: SAD Data Reuse, Reuse Buffer, TZS
1. Introduction
This paper focuses on the computational reduction of
the sum of the absolute difference (SAD) data of the test
zone search (TZS) algorithm in integer motion estimation
(IME) for inter prediction. There have been some works on
SAD data reuse, but most of them mainly focused on
reusing the reference picture memory [1] or reusing
neighbor SAD data of the 2-D SAD tree [1, 2] for the full
search (FS) algorithm or the 4 step search algorithm [3].
The HEVC test mode (HM) encoder employs the TZS
algorithm in finding the best motion vector (MV) for IME
and find the best partition through the rate distortion
optimization search. Compared to the FS algorithm, the
number of search points within 64 search range of TZS
algorithm dramatically decreases to 1/150 with 0.4% BDrate loss.
The TZS algorithm is repeatedly called during the RDO
search: once for each PU in the allowable PU partitions of
every CU in all the allowable CU partitions for all coding
tree units (CTUs) in all the reference pictures. The TZS
algorithm finds a MV for each PU. This paper adopts the
SAD computational complexity normalized by that of the
4x4 SAD computation. The normalized complexity of each
call of the TZS algorithm computes 11,000 on the average.
Therefore, the SAD computational complexity of IME is
enormously high in the HM encoder.
Because the initial search point for each PU in TZS is
highly likely equal to those of other PU partitions because
they are selected among the MVs of its neighbor PUs.
Therefore, the SAD data among all PU partition can be
overlapped significantly. We propose to use reuse buffers,
Acknowledgment: This work was supported by Inter-University
Semiconductor Research Center in Seoul National University.
uiBestDistance > 5
No
No
Yes
Yes
uiBestDistance > 0
uiBestDistance > 5
No
uiBestDistance > 0
No
Yes
Yes
stop
stop
(b)
(a)
Fig. 1 Original(a) and modified(b) TZS algorithms
- 176 -
ICEIC 2015
We propose a modified TZS algorithm in which the
maximum number of the rounds in the grid search is
changed to 5 for the grid search step, and the integer grid
for the raster search is aligned to the offsets x and y of the
initial MV, and the maximum number of rounds in each
star refinement is changed to 4, as shown in Fig. 1(b).
With the modified TZS algorithm, the BD-rate loss is
0.09% while the ratio of redundant data is increased to
52.3% from 43.7% and the overall SAD computation is
reduced by about 17.1%. Therefore, the modified TZS
algorithm is more
efficient in reducing
the redundant
SAD
Tag : 22bit
Index : 5bit
block offset : 2bit
computation when the reuse buffers are used together.
RefList[0]RefIdx[1:0]SPy[11:2]SPx[11:3] SPy[1:0]SPx[2:0]
Yo[5-d]Xo[5-d]
8x8
8x8
8x8
8x8
8x8
8x8
16x16 CU
8x8
16x16 CU
8x8
...
...
Fig. 2 The address of a 16 way set associative cache for the reuse
...
32x32 CU
buffer of depth d.
64x64 CU
...
...
5. Simulation Results
Fig. 4 shows the results of reducing the redundant SAD
computation considering parallel operation by using the
modified TZS with the reuse buffers for three different
ways such as 8, 16, 32, where HM TZS stands for the
result using the TZS algorithm of IME in the HM encoder,
modified TZS for the case of using the modified TZS
algorithm without using the 14KB reuse buffers. The size
of the reuse buffer is decided by comparing three kinds of
entries such as 256(7KB), 512(14KB), 1024(28KB) in
each fully associative cache. We select 512 entries for the
set associative cache and then determine 16 way for the
SAD reuse buffer based on the trade-off between data
reuse ratio and the size of the reuse buffer. The vertical
axis represents the average normalized SAD computational
complexity of the CTU. The lower and upper parts in each
bar represent essential and redundant SAD computation,
respectively. And the number in each part of bars are
normalized and represented in percentage by the SAD
computational complexity of the HM encoder.
Based on the simulation results, we found that the SAD
computation can be effectively reduced by using the
modified TZS algorithm and the reuse buffer. When each
reuse buffer contains 32 entries per a way with 16 ways,
the SAD computation in IME using the modified TZS
algorithm is reduced to 50.8% of the HM encoder. The
total size of the four reuse buffers is about 14.0 KB.
6. Conclusion
cycles/CTU
cycles / depth
SAD parallelis
(3)
cycles / CTU
To meet the above requirement, SAD operations for search
points should be calculated in parallel as shown in Fig. 3.
4x4 SAD engines are assigned to each depth separately and
each CU depth does not share calculation results of other
CU depth considering parallel operation. The number of
- 177 -
ICEIC 2015
References
[1] Y.-H. Chen, T.-C. Chen, C.-Y. Tsai, S.-F. Tsai, and L.-G.
Chen, "Data reuse exploration for low power motion estimation
architecture design in H. 264 encoder," Journal of Signal
Processing Systems, vol. 50, pp. 1-17, 2008.
[2] H. F. Ates and Y. Altunbasak, "SAD reuse in hierarchical
motion estimation for the H. 264 encoder," in Acoustics, Speech,
and Signal Processing, 2005. Proceedings.(ICASSP'05). IEEE
International Conference on, 2005, pp. ii/905-ii/908 Vol. 2.
[3] T.-C. Chen, Y.-H. Chen, S.-F. Tsai, S.-Y. Chien, and L.-G.
Chen, "Fast algorithm and architecture design of low-power
integer motion estimation for H. 264/AVC," Circuits and Systems
for Video Technology, IEEE Transactions on, vol. 17, pp. 568577, 2007.
[4] F. Bossen, "JCTVC-I1100: Common test conditions and
software reference configurations," Joint Collaborative Team on
Video Coding (JCT-VC), 2012.
[5] N. Purnachand, L. N. Alves, and A. Navarro, "Improvements
to TZ search motion estimation algorithm for multiview video
coding," in Systems, Signals and Image Processing (IWSSIP),
2012 19th International Conference on, 2012, pp. 388-391.
- 178 -
ICEIC 2015
Oral Session
FO-1-3
Computer and Information I
FO-1-3-1
Abstract
We propose the reversible data hiding algorithm for
progressive image transmission in this paper. With the
alteration of difference values between original and
predicted images, reversible data hiding can be
applicable to progressive image transmission. For
assessing parameters including the reversibility,
embedding capacity, and image quality, with our
algorithm, we observe the generally better
performances with simulations over existing methods.
Keywords: Reversible data hiding,
histogram, capacity, image quality.
difference
1. Introduction
Progressive image transmission (PIT) can be
commonly encountered when using the browsers. With
the vast amounts of image contents on the Internet,
copyright protection of progressively transmitted
media has become the practical issue. Data hiding is an
effective means for copyright protection [1][3], and
reversible data hiding has attracted attention in recent
years. Performance assessments include the following.
Reversibility. Original image and secret
information should be perfectly separated
from marked image, like reversible process in
thermodynamics.
Embedding capacity, implying the ratio
between the numbers of bits for hiding over
the size of original image. Larger capacity
would be more favorable.
Marked image should look as resemble as the
original image, i.e., differences need be small.
- 180 -
ICEIC 2015
Step 3. Obtain the original difference histogram, and
recover original image by adding the difference
back to the base and enhancement layers.
3. Simulation Results
We have conducted simulations with three test
images, Airplane, F16, and Lena, with sizes of
512512, to check the effectiveness in Fig. 1, and have
made comparisons between our method and that in [2]
and [3]. There are five curves, where the blue curve
denotes performances of proposed method, the red
curve means that of [2], and remaining three imply
three schemes, or MSE (mean square error), BL
(bilinear), and NN (nearest neighbor), from [3]. We
observe the trend when embedding more bits, denoted
by bit per pixel (bpp), marked image quality, denoted
by peak signal-to-noise ratio (PSNR), gets degraded.
From Fig. 1, with our algorithm, the largest
capacities can be observed, with 1.2539 bpp at 30.64
dB for Airplane, 1.2217 bpp at 29.74 dB for F16, and
1.2228 bpp at 29.07 dB for Lena, respectively. It leads
to the average enhancement of 27.94% in maximal
capacity over those in [2] and [3]. However, an average
degradation of 2.10 dB of marked image can also be
watched. Larger capacity leads to more alteration to
difference histogram, hence the degradation of marked
images.
As reported in [3], among the MSE, BL, and NN
schemes, MSE reaches the best, and NN performs
poorly. We also observe the curve in [2] outperforms
all the three curves in [3] in Fig. 1. With proposed
method, it performs well at high embedding capacity
regions, where capacities of larger than 1.0 bpp can
easily be reached. However, it has a bit inferior
representation at low embedding capacity regions.
Even so, it still performs better than the NN scheme in
[3]. From the observations above, proposed algorithm
has the advantages of hiding more secret bits with
better qualities for the copyright protection of
progressively transmitted images.
(a)
(b)
(c)
Fig. 1
Acknowledgements
The authors would like to thank Ministry of Science
and Technology (Taiwan, R.O.C) for supporting this
paper under Grant No. MOST103-2221-E-390-018.
4. Conclusions
References
We propose a reversible data hiding algorithm with
enhanced prediction for producing difference
histogram, which is suitable for progressive image
transmission. Reversibility of proposed algorithm is
guaranteed. Simulations have presented the better
performances at high embedding capacities, and
comparable results at mid- to low-capacities regions.
Our algorithm is easy for implementation, and it is
applicable to progressive image transmission.
- 181 -
FO-1-3-2
Department of Electrical and Computer Engineering, Korea University, Seoul 136-713, Republic of Korea
youngsun@kiu.ac.kr
Abstract
Dalvik is a virtual machine (VM) that has been
designed to run Java-based Android applications on the
Android operating system. In order to improve
performance of Dalvik VM, trace-based Just-in-Time
(JIT) compilation technique has been employed.
However, this approach can limit aggressive
optimizations due to its compilation overhead at runtime
and lack of code information from traces, i.e. portion of
codes. In this paper, we propose a new method-based
JIT compiler, named DEX2C, for not only improving
performance of Dalvik VM but also finding what
optimization is necessary and which codes can be
parallelized in the Android applications. Our compiler
dynamically translates the Dalvik bytecodes (DEX) into
C code by method granularity. The generated C codes
are compiled aggressively by GNU C compiler (GCC)
and parallelized by Intel C/C++ compiler. We also
developed a new method-based JIT interface in Dalvik
VM for the DEX2C compiler. Our experiment result
shows that our compiler achieves significant
performance improvement of up to 15.2x and 3.7x on
average in integer benchmarks.
1. Introduction
Dalvik [1] is a virtual machine that provides runtime
environment to the Android applications. Since its
runtime performance is intrinsically limited due to its
interpretation overhead, a trace-based JIT compiler [2,3]
has been adopted to improve the performance by
dynamically compiling hot traces into native codes and
directly executing them. However, each trace contains
only a few instructions when comparing with a method,
the trace-based JIT compiler inherently exploits less
optimization opportunities and parallelism than a
method-based JIT compiler handling all the instructions
of a method.
So in order to improve the performance of the Dalvik
VM, we propose a new method-based JIT compiler
called DEX2C so as to find more optimization
opportunities and to exploit the parallelism. Our
- 182 -
ICEIC 2015
dynamically links the object file and executes the target
method instead of interpreting its DEX code.
2.2 DEX2C Compiler Structure
The compiler frontend analyzes the method information
to be translated, such as method signature, local
variables, DEX code, and so on, in order to build
intermediate representation (IR). The IR basically
includes both a symbol table and a control flow graph
with basic blocks that are separated by the branch
instructions of the DEX code. Prior to build the symbol
table, the frontend makes a local variable map that
contains the pairs of a virtual register number and its
data type by using the information of local variables.
Since the DEX code is originally register-based,
resolving the data types of the registers is essential for
DEX-to-C translation. However, unfortunately, because
the data types of the temporary registers being used in
the DEX code are not apparently specified, the frontend
performs global liveness analysis in order to exploit their
data types completely. By using the Def-Use chains
from the global liveness analysis, the data types of the
temporary registers are ultimately determined with the
local variable information and type specific instructions
such as mul-double, int-to-double, and so on. After the
symbol table defining both local and temporary
variables type and scope is constructed, the backend of
the DEX2C compiler traverses each basic block in the
control flow graph and translates its DEX code into C IR.
The C IR is designed to be nearly equivalent to C
language syntax for straightforward conversion into C
code. Finally, the backend emits a C-style method
signature including return type, method name, and
parameters. It also emits the declaration of the variables
in the symbol table and the C code from the C IR.
2.3 Method-based JIT Interface in Dalvik VM
In order to execute the generated C code in Dalvik VM,
we implemented a method-based JIT interface. First, we
determine a method to be translated by a configuration
file or by a hot-method detection algorithm. The hotmethod detection scheme counts the number of method
invocations instead of entering traces. If a method is
invoked more than a predefined threshold, the method
will be translated into C code by the DEX2C compiler.
The compiler compiles the C code into an object file
with GNU C compiler as shown in Figure 1. After the
object file is dynamically linked, the method arguments
are copied from Dalvik's argument stack into registers
and stack space of the native codes. Then, the target
method is executed by assigning a program counter to
the linking address. Also, a return value must be
transferred from the native codes return registers, r0
and r1, into the retval registers of the Dalvik VM.
3. Performance Evaluation
The performance of our DEX2C compiler was tested
using four integer benchmarks of Element Benchmark
- 183 -
4. Conclusion
To the best of our knowledge, our work is the first
attempt of making a method-based JIT compiler that
supports DEX-to-C translation and its execution
framework for the Android system. The performance
results show that our DEX2C compiler achieves a
reasonable performance improvement against the
existing trace-based JIT compiler in Dalvik VM.
In the future work, so as to find out what optimization
can be applied for the Android application, we will
evaluate the performance with various optimization
options of GCC using our DEX2C infrastructure. We
will also study how to parallelize the Android
applications through the parallelism opportunity by the
Intel C/C++ compiler.
References
[1]
Google,
Dalvik
VM
Internals,
https://sites.google.com/site/io/dalvik-vm-internals, 2008.
[2] B. Cheng and B. Buzbee. A JIT compiler for Androids
Dalvik VM, http://dl.google.com/googleio/2010/android-jitcompiler-androids-dalvik-vm.pdf, 2010.
[3] B. Stefan, Analysis of the Android Architecture,
http://os.itec.kit.edu/downloads/sa_2010_braehlerstefan_android-architecture.pdf, 2010.
[4]
Intel,
Intel
C
and
C++
Compilers,
https://software.intel.com/en-us/c-compilers, 2014.
[5]
K.
Kodama,
Element
Benchmark,
https://sites.google.com/site/elementbenchmark, 2012.
[6] Google, Galaxy nexus. http://www.google.com/nexus/,
2014.
FO-1-3-3
1. Introduction
As the level of needs on IT infrastructure becomes
higher and more complex, the demand for the highperformed and various environmental resources more
increases. However, the high-performed resource
requires large power consumption and additional costs
such as data centers cooling cost. A variety of
platforms of which the utilization is low, inevitably
encounters unnecessary operating costs such as
occupying cost and maintenance personal cost. To
overcome these problems, the virtual environment has
been developed. However, if the virtualization
environment does not fully consider the characteristics
2. Background Knowledge
Simultaneous multithreading techniques such as
Hyper-Threading (HT) can execute two threads
simultaneously on a single core [1]. Therefore, HT
technology can improve the utilization of the
virtualization environment and enhance the overall
performance.
Advanced Configuration and Power Interface
(ACPI) defines processor's power saving states as Pstates and C-states, which are saved power by dynamic
voltage and frequency scaling. Xen supports these
cpufreq and cpuidle states by cpufreq driver. This logic
figures out appropriate CPU frequency according to the
cpufreq policy and current status, and passes this
related information onto the Xens hypervisor.
Therefore, we can define the optimized frequency for
the power savings and performance.
- 184 -
ICEIC 2015
Acknowledgement
This work was supported by the ICT R&D program
of MSIP/IITP, Republic of Korea [14-000-05-001,
Smart Networking Core Technology Development] and
in part by the ICT R&D program of MSIP/IITP
(2014(10041971), Development of Power-efficient
High-performance Multimedia Contents Service
Technology using Context-adapting Distributed
Transcoding).
References
4. Experiment
In this paper, we analyze the results from
blackscholes of the PARSEC benchmark suite for the
multi-threaded application on Xen virtualization
environment. The hardware experiment environment is
i7 Quad-core at 2.8GHz, with 32KB L1 cache. The
software environment is Xen 4.2.0 hypervisor on
- 185 -
ICEIC 2015
Virtualization
Platform,
Green
Communications (GreenCom), 2010.
Computing
and
- 186 -
FO-1-3-4
AbstractFor the traditional ATM terminal customer recognition systems rely only on bank cards, passwords, and such
identity verification methods which measures are not perfect
and functions are too single. For solving the bugs of traditional
ones, a new ATM terminal recognition systems is to verify the
fingerprint of the Account holder of the bank at outside of
the gate. Because biometrics-based authentication offers several
advantages over other authentication methods, there has been a
significant surge in the use of biometrics for user authentication
in recent years. The security of the ATM (Automated Teller
Machine) system has been improved by generatnig two visual
cryptography shares of the fingerprint template of the user.
Two shares are encrypted with Chaotic Image Encryption with
PIN2 as key and share1 is stored in the users ATM card and
share2 is stored in the banks database. For the authentication
of user, the user first enter the PIN number and the ATM
machine checks the first level authenticity. Then the fingerprint
of the user is captured using the fingerprint sensor for the
second level authenticity. For that the ATM machine retrieves
the two shares of the fingerprint one from the users ATM
card and second from the banks database, decrypt the two
shares and stacked two generate the fingerprint template. Then
the fingerprint template is compared with fingerprint given by
the user and the authentication is success is both are same.
As only one encrypted share is stored in the banks database
attack on the database does not reveal any information about
the fingerprint.
Keywords-Automated Teller Machine; biometrics-based authentication; visual cryptography; Chaotic Image Encryption,
I. I NTRODUCTION
With the development of computer network technology and e-commerce, the self-service banking system has
got extensive popularization with the characteristic offering
high quality 24hrs service for customer. Nowadays, using the
ATM (Automatic Teller Machine) which provides customers
with the convenient banknote trading is very common.
However, the financial crime case rises repeatedly in recent
years; a lot of criminals tamper with the atm terminal
and steel credit card and password by illegal means. Once
uses bankcard is lost and password is stolen, the criminal
will draw all cash with in shortest time, which will bring
enormous financial losses to customer how to carry valid
identity to the customer becomes the focus in current financial circle. Traditional ATM systems authenticate generally
by using the credit card and the password, the method has
some defects. Using credit card and password cannot verify
the clients identity exactly. In recent years the algorithm
that the fingerprint recognition continuously updated which
has offered new verification means for us, the original
password authentication method combined with the biometric identification technology verify the clients identity
better and achieve the purpose that use of ATM machine
improve the safety effectively.
Biometrics-based authentication offers several advantages over other authentication. Fingerprint technology in
particular, can provide a much more accurate and reliable
user authentication method. Biometrics is a rapidly advancing field that is concerned with identifying a person based
on his physiological or behavioural characteristics. As the
Automated Teller Machines (ATM) technology is advancing,
fraudsters are devising different skills to beat the security of
ATM operations. Various forms of fraud are perpetuated,
ranging from: ATM card theft, skimming, pin theft, card
reader techniques, pin pad techniques, force withdrawals and
lot more. Managing the risk associated with ATM fraud as
well as diminishing its impact is an important issue that
faces financial institutions as fraud techniques have become
more advanced with increased occurrences. Considering the
numerous security challenges encountered by Automated
Teller Machines (ATM) and users and given that the existing
security in the ATM system has not been able to address
these challenges, there is the need to enhance the ATM
security system to overcome these challenges.
There are certain issues related to biometric system
and biometric data. Biometric systems are vulnerable to
attacks, which can decrease their security [3]. As template
is stored in database, if the security of stored templates is
compromised, the attacker can gain unauthorized access. The
stolen templates can also be used for other unintended purposes, e.g. performing unauthorized credit-card transactions
or accessing health related records. Hence, biometric templates should not be stored in plaintext form and fool-proof
- 187 -
ICEIC 2015
methodologies are essentially needed to securely store the
templates. We can protect the biometric data and template
by using cryptography, steganography and watermarking.
In this paper a system is proposed by visual cryptography
technique to protect the fingerprint template to make it
secure from attack in system database as well as dual layer
of authentication to the users.
The security of the ATM (Automated Teller Machine) system has been improved by generatnig two visual
cryptography shares of the fingerprint template of the user.
The two shares are encrypted with Chaotic Image Encryption
and one share is stored in ATM card and the second share is
stored in banks database. For the authentication of user, the
user first enter the PIN number and the ATM machine checks
the first level authenticity using the PIN number. For second
level authentication the fingerprint of the user is captured by
using the fingerprint sensors. The visual cryptography shares
(share1 stored in ATM card and share2 stored in banks
database) of the particular user are retrieved by the ATM
machine and decrypt by using the PIN2 number entered
by the user. Then the two shares are stacked two generate
the fingerprint template. The fingerprint minutia matching
algorithm is used to compare the fingerprint template and
the fingerprint of the user captured by the sensor. If the
minutia of the fingerprint template and sensored fingerprint
matches the second level authentication is success. As only
one encrypted share is stored in the banks database so attack
on the database does not reveal any information about the
fingerprint.
II. RESEARCH BACKGROUND
Crime at ATMs has become a nationwide issue that
faces not only customers, but also bank operators and this
financial crime case rises repeatedly in recent years [4]. A
lot of criminals tamper with the ATM terminal and steal
customers card details by illegal means. Once users bank
card is lost and the password is stolen, the users account is
vulnerable to attack. Traditional ATM systems authenticate
generally by using a card (credit, debit, or smart) and a
password or PIN which no doubt has some defects [5]. The
prevailing techniques of user authentication, which involves
the use of either passwords and user IDs (identifiers), or
identification cards and PINs (personal identification numbers), suffer from several limitations [6]. Passwords and
PINs can be illicitly acquired by direct covert observation.
When credit and ATM cards are lost or stolen, an unauthorized user can often come up with the correct personal codes.
Despite warning, many people continue to choose easily
guessed PINs and passwords - birthdays, phone numbers
and social security numbers. Recent cases of identity theft
have heightened the need for methods to prove that someone
is truly who he/she claims to be. Biometric authentication
technology may solve this problem since a persons biometric
data is undeniably connected to its owner, is nontransferable
- 188 -
ICEIC 2015
system in a company is considered as case study in our
approach.
The fingerprint of each eligible person is collected
by the system administrator and they are given to the
visual cryptography algorithm. Random shares are created
from fingerprint images. One of the shares is stored in the
database and the other share is given to the eligible person
in the form of unique ID card. For verification, the user
should insert the ID card into the security system. The
corresponding share which is stored in the database is found
and stacked with the other random share that is embedded
in the ID card. After verification, the system should identify
the participant. Hence, the system requests the participants
new fingerprints to compare with the minutiae extracted
from secret fingerprint images obtained from the visual
cryptography algorithm. Authentication is accepted if the
matching process succeeds.
1 1 1 1 1 1 1 0 = 254
Share1 = 0 0 1 0 0 1 0 0
Share2 = 1 1 0 1 1 0 1 0
1 1 1 1 1 1 1 0 = 254
Combining the two shares will give the exact bit and by doing the same procedure for the whole grayscale block gives
the perfect high quality image when reconstructed without
any loss of contrast. Example of (2,2) visual cryptography
is shown in figure 3.
(1)
= .ctg(
1
).(1 + ) , > 0
1+
(2)
- 189 -
1
).(1 + ) .tg(xn ).(1 xn )
1+
(3)
ICEIC 2015
where xn (0, 1), (0, 1.4], [5, 43], or xn (0, 1),
(1.4, 1.5], [9, 38], or xn (0, 1), (1.5, 1.57],
[3, 15]. The ranges of a and b are obtained by iteration
experimental analysis.
C. Encryption algorithm based on NCA
The image encryption algorithm is based on the
proposed NCA map. It uses chaotic sequence generated by
NCA map to encrypt image data with different keys for
different images. Original chaotic sequence x0,x1,x2, . . .
consists of decimal fractions. However images are all digital.
So a map is defined to transform the chaotic sequence to
another sequence which consists of integers. Then plainimage image can be encrypted by use of XOR operation
with the integer sequence.
Figure 2.
The decryption algorithm is similar to the encryption algorithm but receiving encryption key and operating with
the encrypted image. The first 100 points of the chaotic
iteration curve are abnegated in order to avoid the harmful
effect of transitional procedure. Furthermore, to improve
system security, we selected the pseudo-random numbers
discontinuously; as shown in Fig. 3, one was selected after
two abnegated points.
D. Biometric Authentication
In the fingerprint registration process the visual
cryptography shares(share1 and share2)of the fingerprint
template are generated and encryptd using the Chaotic
Algorithm for Image Encryption with PIN2 as the secret
key. The encrypted share1 is stored in users ATM card and
encrypted share2 is stored in the banks database. As only
one encrypted share is stored in the banks database attack
on the database does not reveal any information about the
fingerprint.
In the fingerprint authentication process the fingerprint image signals of the ATM user are collected usnig the
fingerprint sensors and are converted to a grey scale image.
The fingerprint collector serves as the fingerprint collection
module. The modules fingerprint sensor is Veridicoms thirdgeneration product, the FPS200 sensor (with 256 x 300
array numbers and 500-DPI resolution). The sensor uses
Veridicoms ImageSeek function and high-speed image transmission technology to obtain quality images of all fingerprint
types.
During fingerprint image preprocessing, the fingerprint image is enhanced. Accurate fingerprint identification
relies on the identification of the fingerprint ridge texture
and minutiae. However, due to skin condition, collection
conditions, devices, the working and living environment of
the fingerprinted person, etc., the raw fingerprint images
collected by the fingerprint sensor usually contain noise and
degrade dramatically. Therefore, the raw fingerprint images
must be preprocessed after being collected. Fingerprint image preprocessing procedures include image normalization,
orientation and frequency extraction, filtration, binarization,
ridge thinning, etc.
Minutiae extraction involves preprocessing the image
to obtain a quality image, and then finding and specifying
the minutiae. After a raw fingerprint image goes through
orientation filtration, binarization, and thinning, it becomes
a thinned image. We then determine the endpoint and
bifurcation according to the crossing of each point on the
thinned image and extract the useful information of the
two minutia points, such as coordinate position, type, and
orientation. Fingerprint minutiae fall into many types. From
the perspective of probability, 2-bifurcation and ending are
the most common.
For thinned images, the pixel point grayscale value
can only be 0 or 1. We set 0 as the background point
- 190 -
ICEIC 2015
grayscale and 1 as the foreground point grayscale of the
ridge. The crossing number CN of eight fields of any point
P on the thinned image (see Figure 3) is defined as:
Figure 3.
CN
1X
=
|pk+1 pk |herep9 = p1
2
(4)
k=0
2 Nm
100 Ts
N +M
(5)
- 191 -
ICEIC 2015
image encryption algorithm is good at resisting brute-force
attack.
V. C ONCLUSION
The security of the ATM (Automated Teller Machine) system has been improved by generatnig two visual cryptography shares of the fingerprint template of the user. Two shares
are encrypted with Chaotic Image Encryption with PIN2 as
key and share1 is stored in the users ATM card and share2 is
stored in the banks database. For the authentication of user,
the user first enter the PIN number and the ATM machine
checks the first level authenticity. Then the fingerprint of the
user is captured using the fingerprint sensor for the second
level authenticity. For that the ATM machine retrieves the
two shares of the fingerprint one from the users ATM card
and second from the banks database, decrypt the two shares
and stacked two generate the fingerprint template. Then the
fingerprint template is compared with fingerprint given by
the user and the authentication is success is both are same.
As only one encrypted share is stored in the banks database
attack on the database does not reveal any information about
the fingerprint.
R EFERENCES
[1] Prof. Selina Oko and Jane Oruh ENHANCED ATM SECURITY
SYSTEM USING BIOMETRICS, 3rd ed. IJCSI International
Journal of Computer Science Issues, Vol. 9, Issue 5, No 3,
September 2012 ISSN (Online): 1694-0814.
[2] Nazanin Askari and Cecilia Moloney and Howard M. Heys,
Application of Visual Cryptography to Biometric Authentication, 3rd ed. International Journal of Computer Applications
(0975 888).
[3] S. Koteswari and P. John Paul, VC of IRIS Images for ATM
Banking, 3rd ed. International Journal of Computer Applications (0975 888).
[4] Vaclav Matyas and Zdenek Rha BIOMETRIC AUTHENTICATION SECURITY AND USABILITY, 3rd ed. IEEE.
[5] Chen GR and Mao YB A symmetric image encryption scheme
based on 3D chaotic cat maps, 3rd ed.
Chaos, Solitons
Fractals 2004;21:74961
[6] A.K. Jain and A. Ross Biometrics: a tool for information
security, 3rd ed. IEEE Transaction on Information Forensics
and Security, vol. 1, no. 2, Jun. 2006.
[7] Sandeep Katta, Visual Secret Sharing Scheme using Grayscale
Images, Department of Computer Science, Oklahoma State
University Stillwater, OK 74078.
[8] J K Mandal and S Ghatak, Secret Image / Message Transmission through Meaningful Shares using (2, 2) Visual Cryptography, IEEE-International Conference on Recent Trends in
Information Technology, ICRTIT 2011.
[9] Zhou Zhe and Yang Haibing and Zhu Yu and Pan Wenjie and
Zhang Yunpeng, A Block Encryption Scheme Based on 3D
Chaotic Arnold Maps, 2009 International Asia Symposium
on Intelligent Interaction and Affective Computing.
- 192 -
ICEIC 2015
Oral Session
FO-1-4
Communication Software, Services, and
Applications
FO-1-4-1
Abstract - Although millimetre wave (mm-Wave) communications are expected to be a key part of 5G, these
frequencies present considerable challenges due to high
propagation free-space path losses and implementation
difficulties. For this reason, it is desirable to have broadband mm-Wave communications with good power and
spectral efficiencies that are compatible with high efficiency
amplification.
In this paper we propose a massive multiple-input and
multiple-output (MIMO) architecture for broadband mmWave communications that can employ highly-efficient,
low-cost saturated amplifiers with any constellation,
even large quadrature amplitude modulation (QAM)
constellations or other dense constellations with high
spectral efficiency.
Index Terms: mm-Wave communications, multilevel modulations, power-efficient amplification, massive
MIMO.
I. I NTRODUCTION
5G (Fifth Generation) systems are supposed to have much
higher capacity and spectral efficiency requirements than current systems, and many techniques are independently emerging
for these systems [1]. Millimetre wave (mm-Wave) communications are expected to be a crucial part of 5G systems [2],
[3], and there are already some standards like 802.11ad [4].
These systems use carrier frequencies above 30 GHz where
we have large unoccupied bandwidth (there are proposals for
several bands in the vicinity of 40GHz, 60Ghz, 70GHz, or
even above [2], [5]).
However, mm-Wave transmission has important problems
like high free-space path losses, very small diffraction effects,
huge losses due to obstacles and implementation difficulties,
namely with the power amplification [3]. In the other hand,
the small wavelength means that we can have small antennas
and small-sized antenna aggregates with a large number of
elements, allowing the deployment of massive multiple-input
multiple-output (MIMO) schemes [2]. Moreover, the high
- 194 -
ICEIC 2015
tuations and a compact spectrum. An efficient frequencydomain receiver for offset modulations based on the iterative
block decision feedback equalization (IB-DFE) concept [11]
was recently proposed [12]. Moreover, larger constellations
can decomposed as the signals as the sum of binary phase
shift keying (BPSK) or OQPSK components [13], that can
be separately amplified without distortion (or with reduced
distortion) by different NL amplifiers [14].
In this paper we propose a massive MIMO architecture for
broadband mm-Wave communications that can employ highlyefficient, low-cost saturated amplifiers.
This paper is organized as follows: section II describes the
basic concepts behind the proposed architecture. Section III
considered the transmitter structure and section IV considers
the receiver structure. Finally, section V concludes the paper.
m=1
(1)
i=0
with T denoting the bit duration, r(p) (t) denoting the adopted
(p)
pulse shape and an the amplitude of the nth bit2 . For OQPSK
(p)
(p)
signals we have a2n = 1 and a2n+1 = j, i.e., the complex
symbols are alternately 1 and j. However, if the complex
envelope is referred to a frequency shifted from the central
frequency of the spectrum by 1/4T we have
x(s) (t)
(m)
(2)
(4)
1 The exception will be the case where rectangular pulses with symbol
duration are employed, but this case is less interesting due to the poor spectral
characteristics
2 The superscript symbols (p) and (s) are used to denote the parallel and
serial implementation versions of a OQPSK signal, recpectivelly.
- 195 -
ICEIC 2015
where
r
(s)
(t) = r
(p)
(t) exp(jt/(2T ))
(5)
and
(p)
n
a(s)
n = an (j) = 1.
(6)
- 196 -
ICEIC 2015
1
0
0...
100
10
01
.
00 ..
...
...
...
.....
....
...
SPATIAL
MULTIPLEXER
(NU SUB-STREAMS)
PHASE
SHIFTER
DAC
QAM
MODULATOR
...
BEAMFORMING
Nb
NU PATCH
ANTENNAS
</2
NONLINEAR
POWER AMPLIFIER
POLAR
DECOMPOSITION
(BPSK/OQPSK)
Nm COMPONENTS
...
...
...
...
...
...
Nm
POLAR
DECOMPOSITION
/2
(1)
Fk,(n)
+
(1)
Yk
(2)
Fk,(n)
Sk,(p)
sn,(p)
IDFT
(2)
+
+
Yk
(1)
(Nr )
Sk,(0)
Yk
sn,(1)
Sk,(Nu )
Nu
DFT
sn,(Nu )
(N )
u
Bk,(p)
DFT
Nr receiving antenas
Nr
sn,(p)
Bk,(p)
(N )
r
Fk,(n)
Soft Demodulation
Estimation and
Compensation of
Transmission Impairments
V. C ONCLUSIONS
R EFERENCES
- 197 -
ICEIC 2015
[4] IEEE 802.11 Task Group AD, PHY/MAC Complete Proposal
Specification, IEEE 802.11-10/0433r2, May 2010.
[5] S. Nie, G. MacCartney, S. sun, T. Rappaport, 28 GHz and
73 GHz Signal Outage Study for Millimeter Wave Cellular and
Backhaul Communications, IEEE ICC2014, Sydney, Australia,
Jun. 2014.
[6] D. Falconer, S. Ariyavisitakul, A. Benyamin-Seeyar and B. Eidson, Frequency Domain Equalization for Single-Carrier Broadband Wireless Systems, IEEE Comm. Mag., vol. 4, no. 4, pp.
5866, April 2002.
[7] J. Cimini, Analysis and Simulation of a Digital Mobile Channel Using Orthogonal Frequency Division Multiplexing, IEEE
Trans. on Comm., vol. 33, pp. 665675, Jul. 1985.
- 198 -
FO-1-4-2
1. Introduction
2. Theory
The structure of one dimensional photonic crystal
consists of different stack of dieletric layer. The
layered dielectric medium can be seen as a matrix
system that obtained from the sum of individual layer
matrices. The matrix that we use is scattering matrix
and transfer matrix. The scattering matrix diagram is,
- 199 -
(1)
ICEIC 2015
U t
2 12
U r12
1
r21 U1
t21 U
2
(2)
U C D U
2
1
(3)
3. Simulation Results
For the simulation, the width of dielectric medium
Silicon (Si) is 0.8 m and the air gap is 0.2 m. Total
unit cell is 1 m for mathematical convenience in the
simulation results. The refractive index of the Silicon
(Si) is 3.3252 and air gap 1. We also change the
frequency
into
normalized
frequency
norm d 2c .
The simulation results of photonic band structure
diagram:
r12
1
C D t21
r 1 AD BC B
t
S 12 21
1
r12 t21 D C
(4)
4n1n2
4n1n2
i k d k d
i k d k d
r 1
e 1 1 2 2
e 1 1 2 2
2
n1 n2 2
n1 n2
(5)
n1 n2
n n
(6)
- 200 -
ICEIC 2015
value is increased through higher normalized frequency
value. Although we use normalized value and the
results only valid below 1, we simulate it until
normalized value 2 to show the increasing reflectance
properties. As can be seen in Figure 5. below,
4. Conclusions
The photonic bandstructure can be used to show the
bandgap occured in the normalized frequency value.
But to obtain the proof, we need to compute its
reflectance properties. The reflectivity is increased
when the value of normalized frequency is higher.
Because the simulation is using normalized frequency,
the valid results is only on below normalized value 1.
References
[1] L. Lee, Donald. Electromagnetic Principles of Integrated
Optics. Florida, USA. John Wiley & Sons, 1986.
[2] J. D. Joannopoulos, R. D. Meade and J.N. Winn,
Photonic Crystals: Molding the Flow of Light. Princeton,
NJ: Princeton University Press, 1995.
[3] K. Sakoda, Optical Properties of Photonic Crystals.
Berlin, Germany: Springer, 2001.
[4] S. G. Johnson and J. D. Joannopoulos, Photonic
Crystals: The Road from Theory to Practice. Boston, MA:
Kluwer Academic Publishers, 2002.
[5] Skorobogatiy. M, Yang, Jianke. Fundamentals of
Photonic Crystal Guiding. USA: Cambridge University
Press. 2008.
[6] A. Sukhoivanov, Igor, V.Guryev, Igor, Photonic Crystals:
Physics and Practical Modeling. Springer: London. 2009.
- 201 -
FO-1-4-3
1. Introduction
Train becomes one of the popular transportation
systems in Indonesia due to its capability of carrying
massive number of passengers for long distance trip
with a relatively low price. Every year, the whole trains
system carries around 15 million peoples to travel [1,2].
To provide the service of train system, the railway link
across the Java island has been intensively built since
the 19th centuries. In some cases, the railways are
installed through the urban areas.
Since some of the railways may across road
segments intentionally provided for cars and
motorcycles, it arises a safety issue for these kinds of
vehicles. For example, as a train is approaching railway
crossing, there is a possibility that a car enters the gate
of railway crossing at the same time. This condition
may leads to a dangerous collision. Therefore, a
signaling and gating system are highly required to avoid
- 202 -
ICEIC 2015
sending a XML-RPC message through 3G cellular
network. On reception of the train position information,
the server store those information in a central database
and the second application in server calculates the train
distance to all railway crossing.Then, the server will
send a notification message to the crossing officer
through sms gateway if the Haversine distance [6]
between train position ( , ) and the crossing railway
position ( , ) is below a threshold such that <
while
= 2 sin1 (sin2 (
) + sin2 (
) cos cos (1),
2
2
and
(2),
= +
where is the radius of earth, is the GPS error in
meter, is theminimal distance from train to the
railway crossingwhen the officer can receive a
notification. Notice that is obtained from the
performance evaluation of position accuracy while
can be approximately set to 600 meters by considering
the train speed as 30 m/s and time needed to close the
gate as 20 second .
- 203 -
FO-1-4-4
2. Simulation Parameters
Table 1 shows the simulation parameters for fixed
estimate time periods.
1. Introduction
MIMO Eigenbeam Space Division Multiplexing (ESDM) is an effective method for getting a gain by space
diversity and increasing throughput. However, the
method suffers from inherent instability problem [1, 2].
Several studies [3, 4] have pointed out that the order of
Eigenvectors is likely to be misjudged in close
Eigenvalues.
However, it is known that singular vectors and
singular subspaces can be ill-conditioned in terms of
Singular Value Decomposition (SVD). In other words,
the singular vector is more sensitive in the case of
smaller gap between its singular value and closest [5].
In this study, we used the 2x2 MIMO E-SDM system
for our simulation. Here, the channel matrix is estimated
at regular intervals. The transmitter is linked to the
receiver by feedback for the channel matrix. The
transmitter use transmission weight matrix. The receiver
use reception that. Both of them is calculated by SVD
of the estimated channel.
Value
2
2
10 wavelength
Urban Micro-cell
NLOS
3 km/h
-20.817 deg.
5 GHz
QPSK
625 x 103 bps
3.6 x 10-3 sec
(Coherent Time/20)
- 204 -
ICEIC 2015
3. Simulation Results
Figure 1 shows the Median of Eigenvalue Gap Range
bin (MEGR) vs Average Normalized Velocity of Phase
angle Variation (ANVPV). The Eigenvalue gap is
divided by range bin. MEGR is the median of the range
bin. The velocity of phase angle variation is normalized
by the velocity of phase angle variation in maximum
Doppler frequency. ANVPV is the average of the
normalized velocity.
5. Conclusion
References
t fixed
(1)
ANVPV MEGR WA
In equation (1), WA is the weight average of
t adaptive MEGR
- 205 -
FO-1-4-5
Tokyo
Abstract
To improve the quality of service (QoS) of delay-sensitive
application, a High-functional Node (HN) network is studied.
HNs can operate automatic-repeat-requests between adjacent
HNs. Meanwhile, duplicating packets and transmitting them
along with the original packets between adjacent HNs may
be also effective for improving QoS. However, duplicating
packets causes trafc congestion on the network, so there
duplicated packets should be as few as possible. Thus, we
propose a method for determining the number of duplicated
packets on each link. The method satises the QoS dened
by a delay-sensitive application in advance as the smallest
possible number of duplicated packets. To choose the link
on which packet duplicating is most effective, our proposed
method uses an expectation of one link delay including delay of
retransmission between adjacent HNs. In numerical analysis,
the case is found in which the proposed method is not optimum.
Thus, we analyze characteristics of the proposed method. From
the results, even when networks consist of many links, the
proposed method is shown to be effective. However, when delay
on each link is large or an allowable delay is small, trafc
increases slightly.
Keywords: QoS, livestreaming, High-Functional Node, SDN
1. Introduction
Various delay-sensitive applications such as videophones,
VoIP, and network games are now commonly used by consumers, and their demand is expected to increase in the future.
These applications are delay-sensitive and have a more restricted allowable delay, conventional data transmission applications such as World-Wide-Web and -mail. These applications
discard packets that do not satisfy the allowable delay at
the receiver node. These discarded packets also reduce the
quality of service (QoS) of these applications. Therefore, such
packets that are not transmitted to the receiver node within
the allowable delay should be as few as possible in order to
improve QoS of these applications.
For that reason, some algorithms for recovering lost packets are actually operated in data transfer protocols, such as
Automatic-Repeat-reQuest (ARQ) or Forward Error Correction
(FEC). However, when the end-to-end delay is large, ARQ
takes a long time to retransmit packets. Thus, ARQ is inadequate for delay-sensitive applications in that environment. FEC
is also inadequate because redundant packets are transmitted
on every link on the transmission path between the sender node
and receiver node.
To recover lost packets immediately, a High-functional
Node (HN) network is studied [1][2][3]. High-functional nodes
- 206 -
ICEIC 2015
However, when a network is congested, causing a buffer
overow on a node between a sender node and a receiver node,
many packets may be lost. Therefore, the receiver node cannot
recover lost packets any longer even though some redundant
packets have arrived at the receiver node.
In this case, the receiver node sends the number of redundant packets required for recovering the original packet
to the sender node instead of the NAK packet. Only when
the receiver node cannot recover lost packets from redundant
packets does the sender node retransmit only the numbers of
redundant packets required for recovering lost packets. That
means this method combines the advantage of ARQ with the
advantage of FEC.
However, ARQ and FEC are operated between the sender
node and receiver node in the Hybrid ARQ-FEC method.
When a low quality link is located on the transmission path
between the sender node and receiver node, the link may
become a bottleneck. In this case, redundant data packets are
also transmitted on every link including the bottleneck link on
the transmission path, and this may be cause trafc congestion
at every link on the path.
B. Immediately Recovery of Loss Packets by High-Functional
Node
To improve the quality of service of livestreaming applications, a High-functional Node network has been studied[1][2].
A High-functional Node has the following advanced functions
in addition to functions of the simple node in current use.
1)
2)
3)
4)
buffering packets
detecting packet loss
sending retransmission requests
retransmitting stored packets
, T = (2n 1)di ,
otherwise
(1)
P rob =
(2)
T =0
C. Objective Function
Let P be Success-Rate dened by the delay-sensitive
application in advance. The total number of duplicated packets
on the transmission path is expressed as m
in Equation (3).Our
objective is to satisfy P rob >= P as the fewest m
as possible.
m
= m1 + m2 . . . + mL =
mk
(3)
k=1
4. Proposed Method
A. Delay-Expectation
3. Problem Setting
A. Denition of High-Functional Node
The High-functional Node dened in this study has the
following functions in addition to the High-functional Node
functions described in Section 2.
2)
mi (n1)
i
(1 pm
i )pi
0,
1)
{
Pi,mi (T ) =
- 207 -
ICEIC 2015
Ei,mi =
mi (k1)
i
(2k1)di (1pm
i )pi
k=1
(5)
B. Proposed Algorithm
Our method begins from no packet duplicating on all HNs
on the path (on each link i, mi = 1). Then, on the largest
Delay-Reduction link, the HN duplicates the packet, and then
Delay-Reduction is updated on the link. Our method repeats
these operations up to P rob satisfying Success-Rate dened
by the delay-sensitive application in advance. Details of our
method are as follows.
1)
2)
3)
4)
5)
Lossrate
Loss
Rate
Delay
i
di (1 + pm
i )
=
(4)
mi
1 pi
A. Optimally
Delay-Expectation is calculated by the product of link
delay and packet lossrate on the link. When the link delay
is small or the packet lossrate on the link is low, DelayExpectation on the link is also low. Meanwhile, Success-Rate
is a probability of packet arrival at the receiver node from
sender node within an allowable delay. When the link delay
is small or the packet lossrate on the link is low, SuccessRate is also high. According to these two denitions, there
seems to be monotonic decrease relationship between DelayExpectation and Success-Rate.
However, numerical analysis shows that there are some examples that do not satisfy the monotonic decrease relationship,
as shown in Fig (1).
In Fig. (1), packet lossrate on link A is a little higher than
that on link B, but link delay on link A is a little smaller than
that on link B. In this network, because the allowable delay
is 300[ms], HNs can retransmit packets within the allowable
delay on link A, but HNs cannot transmit them on link B.
Therefore, Success-Rate is improved by packet duplication on
link B while Delay-Reduction on link A is larger than on link
B. For this reason, there is no monotonic decrease relationship
(80, 0.25)
5. Performance Analysis
(70, 0.39)
Table I.
N ETWORK CONFIGURATION
parameter
link delay[ms]
packet lossrate[%]
Success-Rate dened by the delay-sensitive application[%]
allowable delay[ms]
the number of analyses
the number of links on the path
value
5-15(random)
0.1-5(random)
99
100
10000
2-7
- 208 -
ICEIC 2015
5.00
0.90
4.00
0.80
Traffic
Concordance
1.00
0.70
0.60
3.00
2.00
1.00
0.50
2
0.00
LINK
Concordance
LINK
Conventional method Traffic
Proposed method Traffic
Brute force Traffic
0.30
Concordance
0.20
Fig. 4.
0.10
Success Rate
Delay[s]
Fig. 2.
0.00
2
LINK
Conventional method delay
Proposed mathod delay
Brute force delay
1.00
Trafc increase
0.99
0.98
0.97
0.96
0.95
2
LINK
Fig. 3.
End-to-end delay
Fig. 5.
Success-Rate
- 209 -
ICEIC 2015
1.00
Concordance
Concordance
1.00
0.90
0.80
0.70
0.90
0.80
0.70
2
LINK
Fig. 8.
10.00
9.00
8.00
7.00
6.00
5.00
4.00
3.00
2.00
1.00
0.00
LINK
LINK
Fig. 7.
2.5%-Concordance
5%-Concordance
7.5%-Concordance
10%-Concordance
Traffic
Traffic
10.00
Link delays of 10, 15, 20, and 25[ms]
9.00
8.00
7.00
6.00
5.00
4.00
3.00
2.00
1.00
0.00
2
3
4
5
6
10ms-Traffic
15ms-Traffic
LINK
10ms-Concordance
15ms-Concordance
20ms-Concordance
25ms-Concordance
Fig. 6.
2.5%-Traffic
5%-Traffic
20ms-Traffic
25ms-Traffic
In Fig. (6), when networks consist of many links, concordance decreases slightly. Moreover, the larger the link
delay, the lower the concordance on the same number of
links on the path. When link delay on each link is large,
packets cannot be retransmitted between adjacent HNs within
an allowable delay, and a large number of duplicated packets
is required for satisfying Success-Rate dened by the delaysensitive application.
Meanwhile, trafc increases with the number of links on
the path in accordance with a liner function. Moreover, the
larger the link delay, the more trafc on the same number
of links on the path. However, trafc does not drastically
increase in each method. The reason is Success-Rate can
be drastically improved by duplicating a few packets and
Success-Rate dened by the delay-sensitive application can be
satisfyied.
Fig. 9.
7.5%-Traffic
10%-Traffic
2) Characteristic of Packet Lossrate: The number of duplicated packets on each link is determined by both the proposed
algorithm and brute force. The maximum packet lossrate of all
links are given 4 values (2.5, 5, 7.5 and 10 [%]), while the other
parameters are xed as shown in Table (I). Fig. (8)(9) show
the concordance between the results of the proposed algorithm
and brute force and the trafc increase in each method.
In Fig. (8), when networks consist of many links, concordance decreases slightly. Thus, concordance is higher than
that in Section 5-C1. Moreover, the higher the packet lossrate,
the lower the concordance on the same number of links on
the path. However, concordance is not less than 85%, so the
proposed algorithm can give almost optimum solution.
Meanwhile, trafc increases slightly, even when network
consists of many links. Moreover, even when packet lossrate
on each link is high, trafc does not drastically increase.
- 210 -
ICEIC 2015
The reason is improving Success-Rate by duplicating packets
between adjacent HNs is better than reducing Success-Rate by
high packet lossrate on each link.
3) Characteristics of Success-Rate Dened by DelaySensitive Application: The number of duplicated packets on
each link is determined by both the proposed algorithm and
brute force. The Success-Rate dened by the delay-sensitive
application in advance is given 4 values (90, 95, 99 and 99.9
[%]), while the other parameters are xed as shown in Table
(I). Fig. (10)(11) show the concordance between the results of
the proposed algorithm and brute force and the trafc increase
in each method.
In Fig.(10), when networks consist of many links, concordance decrease slightly. Thus, the higher the SuccessRate dened by the delay-sensitive application, the lower
the concordance on the same number of links on the path.
However, concordance is not less than 85%, so the proposed
algorithm can give an almost optimum solution.
Meanwhile, when networks consist of many links, a large
number of duplicated packets may be required for satisfying
Success-Rate dened by the delay-sensitive application, but
trafc increases slightly. Moreover, even when Success-Rate
dened by the delay-sensitive application is high, trafc does
not drastically increase. The reason is Success-Rate can be
drastically improved by duplicating a few packets between
adjacent HNs.
4) Characteristics of Allowable Delay: The number of
duplicated packets on each link is determined by both the
proposed algorithm and brute force. The allowable delay is
given 4 values (50, 100, 150 and 200 [ms]), while the other
parameters are xed as shown in Table (I). Fig. (12)(13) show
the concordance between the results of the proposed algorithm
and brute force and the trafc increase in each method.
In Fig. (12), when networks consist of many links, concordance decreases slightly. Thus, the smaller the allowable
0.90
Traffic
Concordance
1.00
0.80
0.70
2
LINK
10.00
9.00
8.00
7.00
6.00
5.00
4.00
3.00
2.00
1.00
0.00
2
90%-Concordance
95%-Concordance
99%-Concordance
99.9%-Concordance
LINK
90%-Traffic
95%-Traffic
99%-Traffic
99.9%-Traffic
- 211 -
Concordance
1.00
0.90
0.80
5.00
4.50
4.00
3.50
3.00
2.50
2.00
10
9
8
7
6
5
2
ICEIC 2015
LINK
0.70
2
LINK
50ms-Concordance
100ms-Concordance
150ms-Concordance
200ms-Concordance
Fig. 14.
Traffic
Fig. 12.
10.00
9.00
8.00
7.00
6.00
5.00
4.00
3.00
2.00
1.00
0.00
LINK
50ms-Traffic
100ms-Traffic
150ms-Traffic
200ms-Traffic
Fig. 13.
[1] Yudai Nitta, Taro Hashimoto, Katsuyoshi Iida, Katsunori Yamaoka, and
Yoshinori Sakai,Novel Link Weight for Path Construction Based on
Overall QoS Index, Proc. of IEEE ICC2007, Jun. 2007.
[2] Takayuki Akanoma, Takamichi Miyata, Katsunori Yamaoka, and Yoshinori Sakai, Path Conguration Using Probability Distribution of Delay
for High-Functional Node Network, in Proc. IEEE CCNC 2011, pp.
231-233, Jan. 2011.
[3] Hashimoto. T, Yamaoka. K, Sakai. Y,A study of a path reconguration
for QoS multicast protocol for live streaming, PACRIM. 2005 IEEE
Pacic Rim Conference on, pp617-620, Aug, 2005.
[4] Ying-zong Huang, Mehrotra. S, Jin Li,A hybrid FEC-ARQ protocol for
low-delay lossless sequential data streaming, ICME 2009, pp718-725,
June-July, 2009.
[5] D. Rubenstein, J. Kurose, and D. Towsley,A study of proactive
FEC/ARQ and scalable feedback techniques for reliable, real-time multicast, Computer Communications, 24, 2001, pp. 563-574.
[6] Yong Jin, Jinyi Chang, Deguang Le,Performance Analysis of an Adaptive Hybrid FEC/ARQ Based on Hops for Wireless Multimedia Sensor
Networks, ICCIIS 2010, pp240-243, Oct, 2010
[7] Kaidi. H.M, Ibrahim. M,Performance analysis of hybrid ARQ based on
convolutional codeSCOReD 2010, pp37-41, Dec. 2010
[8] J. Nonnenmacher, E. W. Biersack, D. Towsley, Parity-based loss recovery for reliable multicast transmission, IEEE/ACM Transactions on
Networking, Volume 6, Issue 4, 349-361, Aug. 1998.
- 212 -
FO-1-4-6
Abstract
Packet classification has been one of the elementary
techniques of modern routers and firewalls. While the
performance of packet classification is important for
packet forwarding, the existing algorithms usually pursue speed performance by sacrificing space efficiency
or vice versa. In this paper, we investigate several
packet-classification algorithms based on filter categorizing. These algorithms use different methods to distinguish filters. They may result in unstable performance for different filter databases. Combining complementary methods of filter categorization can benefit
the stability of packet classification. We consider two
memory-efficient algorithms, Independent Sets and
Tuple Space Search, and present several approaches to
combining their methods of filter categorizing. The
experimental results show that our approach can
achieve stable packet classification.
Keywords: packet classification, tuple space, independent sets.
1. Introduction
Packet classification is one of the basic techniques
of many network devices such as routers and firewalls.
It is an enabling function to support next generation
networking services such as security monitoring, accounting, and quality of service. Therefore, packet
classification must be capable of supporting the current
state-of-the-art networking speeds and cost efficiency.
A filter in a packet classifier is defined with five
fields, and each field can be one of the following types:
prefixes, ranges, exact values or wildcards. The most
common header fields specified in a packet classifier
include the source and destination IP address prefixes,
source and destination port ranges of the transport protocol and the protocol type. Each filter has an associated priority or cost. When a packet arrives, the corresponding field of the packet header is compared with
the filters. A filter matching all the corresponding
fields is considered as a matching filter. Packet classification requires the matching filter with the highest
priority or the least cost [1].
Many algorithms have been proposed to improve
the search performance of packet classification in the
last decade [2-5]. However, the existing algorithms
cannot support large filter databases. These algorithms
usually consume too much memory or lead to poor
worst-case throughput. We observe that packet classification schemes suffer from a trade-off between the
storage and speed performance. Finding a good balance between the storage and speed performance to
keep both performances stable and scalable are thus
important.
In this paper, we consider two algorithms, Independent Sets [6] and Tuple Space Search [7], which are
based on filter categorization. Both algorithms have
superior space performance and support incremental
updates. However, their speed performance may not
scale well and degrades their feasibility. We observed
that their approaches of filter categorizing can be functionally complementary to each other. Combining their
data structures can significantly decrease the number of
filter groups without storage penalty. Since the number
of filter groups affects the search performance of the
original algorithms, the new schemes improve the
scalability of packet classification. We evaluate the
performance of our scheme with filter databases of
varying sizes and characteristics. The results show that
our scheme can keep the storage requirement low.
The rest of the paper is organized as follows: Section 2 briefly summarizes the related work. Section 3
proposes our new approaches and the update procedure.
Section 4 presents the performance evaluation of our
schemes. Finally, Section 5 concludes this work.
2. Related Work
The simplest classification algorithm uses linear
search to compare the incoming packet header with
filters one-by-one. Linear search has the smallest storage requirement, but it needs a long time period to query a large filter database. Hence, improving speed per-
- 213 -
ICEIC 2015
formance by trading off storage performance is unavoidable. Many approaches have been proposed in the
last decade. In the following paragraphs, we provide a
brief discussion of the existing algorithms.
Ternary content addressable memory (TCAM) is
employed in hardware based solutions [8,9]. Each
TCAM cell can store words with three state: 0, 1 or
X (Don't care). The search procedure of TCAM compares all entries in parallel and returns the first matching entry. Although TCAMs are effective for packet
classification, their drawbacks include low density,
high power dissipation and extra entries due to rangeto-prefix transformation [1,10,11]. Hence, TCAMs are
only suitable for small filter databases currently. Another hardware based scheme, Bit Vector (BV) [12],
performs $d$ one-dimensional searches for a d-field
filter databases and produce d lists of filters which
have at least one matching field. Then, the matching
filters are generated by taking the intersection of the d
filter lists. An algorithm, Aggregate Bit Vector (ABV)
[11], is proposed to improve the performance of the
BV algorithm.
Currently, there are also many software-based algorithms. Cross-producting is a mechanism of constructing a pre-computed table by combining all possible
values of each field [13]. The searching procedure
looks up the best matching prefix on individual fields
first, and then combines the results of all inspected
fields to access the pre-computed table. Crossproducting suffers from an O(Nd) memory blowup for
d-field filters, where N denotes the number of filters.
Another algorithm, Recursive Flow Classification
(RFC) [14], is proposed to improve the storage efficiency of Cross-producting. RFC generates crossproducting tables with only a subset of the inspected
fields for eliminating unmatched entries. The algorithm
lowers the storage usage of Cross-producting, but it
still cannot support large filter databases.
HiCuts divides the filters into multiple groups with
a decision tree, and each group corresponds to a leaf
node of the decision tree [15]. Each query is directed to
a leaf node and uses linear search to find the matching
filters in the corresponding group. The number of filters in each group is limited by a predefined value to
keep the cost of linear search low. HyperCuts further
extends the one-dimensional cut rules to multidimensional ones [16]. HyperCuts reduces the number
of memory accesses and the storage requirement of
HiCuts, but the speed and storage performance are
unstable for different characteristics of filter databases.
Modular Packet Classification [17] and CubeCuts [18]
also proposed different approaches to partition a set of
filters. For the decision tree algorithms, filters occupied
more than one leaf nodes are replicated. To alleviate
- 214 -
ICEIC 2015
ping filters for the corresponding primitive range. The
index array is sorted according to the starting point of
each primitive range; thus, we can use binary search to
search for the matching primitive range in the index
array. Since there are at most d fields of independent
sets, there are at most d index arrays. The last data
structure, classifier array, stores the filter database, and
each entry of classifier array stores complete filter
specifications, including the field values, priority and
action. When we retrieve an entry of the index array,
we use the corresponding index to access the classifier
array.
The search procedure of the ISET algorithm acts as
follows. In the first step, the value of each inspected
field of an incoming packet is used to search for the
matching primitive range by using binary search. After
determining the matching primitive range, the corresponding row of index array is accessed to retrieve the
index set of the possible-matching filters. With the
filter indexes, the corresponding filters are retrieved
from the classifier array and the matching filters are
determined by comparing the original filter specifications. The above procedure repeats for each generating
field of independent sets to yield all the matching filters.
A tuple is a set of filters with the same prefix
length combination [7]. For example, the filters,
F=(01*, 010*) and G=(01*, 111*), both map to tuple
T2,3. The set of tuples is called a tuple space. Since
each tuple has a specific prefix length for each field,
the prefixes of each filter can be concatenated to create
a hash key for storing the filter. When searching for
T2,3, a hash key is generated by concatenating the first
two bits of source prefix and the first three bits of destination prefix to access the hash table of T2,3. TSS
must access all tuples to find out all the matching filters and determine the one with the highest priority.
Therefore, the search performance of linear TSS in the
worst case is O(Wd), where W denotes the maximal
field length.
We observed that both ISET and TSS shared some
properties. First, both algorithms divide filters into
multiple groups to simplify the search procedure.
While ISET only accesses one filter in each set, TSS
probes one hash table for each tuple as well. Accordingly, the speed performance of both algorithms depends on the number of groups. ISET uses a greedy
algorithm to categorize filters, and the size of each
generated set gradually decreases when the number of
sets increases. The last few sets usually have only few
filters. One group with only few filters also can be observed in TSS, which categorizes filters into different
tuples according to the prefix length combination.
Since these groups which have few filters also need to
be probed once in each packet classification, removing
- 215 -
ICEIC 2015
of ISET, the number of tuples which generate from the
remaining uncategorized filters can also be reduced.
The categorization procedure acts as following.
First, we choose a set threshold value. In the procedure
of generating the sets of ISET, we compare the number
of sets with the threshold value after generating a new
independent set. When the number of sets is equal to
the threshold value, the remaining filters are directly
stored in TSS.
With the previous approaches of filter categorization, the filters are divided into two sets, one for ISET
and the other for TSS. Both sets must be searched to
yield all the matching filters. Accordingly, we describe
an improved procedure to minimize the cost of accessing both data structures.
Both algorithms, ISET and TSS, need onedimensional searches; thus, we combine the onedimensional searches of both algorithms to reduce the
storage requirement and enhance the search performance. The result of each one-dimensional search provides a tuple list with matching prefixes and a row with
the matching primitive range in the ISET index array.
The search procedure acts as follows. First, the
longest matching prefix of each field is generated. Subsequently, the tuples which appear in all tuple lists of
the longest matching prefixes are probed and the corresponding row of the index array on each field are accessed. Finally, combining the results of both searches
can find out all the matching filters.
The update procedure of our algorithm is based on
those of ISET and TSS. Before we introduce update
procedure for our algorithm, we describe the update
procedures for ISET and TSS first.
Inserting a filter into ISET consists of three steps.
First, we find a set whose filters are independent of the
new filter. If we cannot find the set, we generate a new
set. Second, we insert the new filter into the designated
set. If the starting point of the new filter already exists
in the corresponding index array, then the index array
is not modified. Otherwise, we generate a new row to
the index array. In the third step, with the index array
of the designated independent set, we replace the corresponding indexes which are original empty with the
index of new filter.
Filter deletion for ISET also starts by finding the set
which contains the filter to be deleted and eliminating
the filter indexes from the corresponding rows of the
index array. Intuitively, a set is deleted when we delete
its last filter. If there is no other filters which have the
same starting point as the deleted filter, the row of index array corresponding to the starting point is also
deleted.
To insert a filter into TSS, we first generate a hash
key from the new filter and store the filter to the corresponding hash table. A new hash table is generated if
4. Performance Evaluation
In this section, we evaluate the performance of our
approaches and compare them with several notable
algorithms. The evaluation is based on both real and
synthetic databases. Since the largest real database
acquired contains only 1550 filters [20], we use ClassBench [21] to generate larger filter databases for testing the scalability of our approaches. ClassBench is a
notable tool for synthesizing filter databases from predefined seed files. It can also generate packet traces for
filter databases. With ClassBench, we can better evaluate the performance of our scheme. In our experiments,
we use twelve publicly available seed files [21] to generate 48 synthetic databases with different sizes. These
synthetic databases correspond to the most common
classification applications, namely access control list
(acl), firewall (fw) and IP chain (ipc). All of the evaluated algorithms are developed by using C programming language. The source codes of several algorithms
- 216 -
ICEIC 2015
are available in http://www.arl.wustl.edu/~hs1/ PClassEval.html.
The performance evaluation consists of three parts.
The first part, we present the performance trade-off
between the number of independent sets and the number of tuples. In the second part, we compare our approaches with other existing algorithms in terms of
speed and storage performance. The last part tests the
scalability of our approaches by using large databases
with 32K, 64K, and 128K filters.
We present the performance trade-off between the
number of sets and the number of tuples. This evaluation is performed upon three real filter databases.
These databases are denoted as real_acl, real_fw and
real_ipc, and their sizes are 752, 269 and 1550.
In Table 1, we list the storage performance of ISET,
TSS and our first approach. TSS has the highest storage requirements due to the oversized hash tables. Our
approach only generates independent sets for IP prefix
fields; hence, some filters are stored in the data structure of TSS. As a result, the storage performance of our
approach is between those of ISET and TSS. Our approach can significantly reduce the storage requirements by only generating few independent sets.
Table 1: The tradeoff between the number of tuples and number of sets of our approach
Fig. 1 The variation between the number of sets and
the number of tuples of our approaches for real filter
databases.
Next, we use four filter thresholds, 128, 256, 512
and 1024, for the second approach and three set
thresholds for the third approach, 2, 5 and 10, to show
the variation between the number of independent sets
and the number of tuples. As shown in Fig. 1(a), the
number of sets gradually decreases as the value of filter
threshold increases. Therefore, there is a trade-off between the number of sets and the number of tuples. In
Fig. 1(b), we also show the similar phenomenon by
varying the set threshold for the third approach. Both
figures indicate that the number of sets can be significantly reduced with our approaches. Moreover, the
number of tuples is less than the original TSS even
when we store most filters in TSS. Our approaches
equal TSS when the number of the independent sets is
decreased to zero.
Figure 2 shows that the storage requirement in kilobytes and the number of memory accesses in the worst
case (WMA) for our approaches. Since the storage
requirement of TSS is larger than that of ISET, the
storage requirement gradually increases when the
number of tuples increases. The storage requirement of
- 217 -
ICEIC 2015
- 218 -
ICEIC 2015
vary for different databases. For the first and third proposed approaches, the speed performance is only worse
than RFC on real_fw. As compared with ISET and
TSS, our schemes have better or comparable speed
performance for three real filter databases.
For synthetic databases, we show the storage and
speed performance in Table 2 and Fig. 5, respectively.
We cannot yield the results of RFC in this experiment
due to its explosive storage requirement. As show in
Table 2, ABV still consumes the most storage and
ISET/SET usually need the least storage. The storage
requirement of HyperCuts vibrates severely. For example, HyperCuts outperforms our approaches for acl1
and acl5 but consumes larger storage than our approaches for the other databases. The storage requirements of our three approaches are relatively stable and
smaller than the other algorithms, except for ISET.
Table 2: Storage performance of the existing algorithms using synthetic filter databases
5. Conclusion
In this paper, we present our schemes of combining
Independent Sets and Tuple Space Search. We combine their approaches of filter categorization based on
the observation to both algorithms. Due to their different approaches of filter categorization, they can be
functionally complementary to each other. Hence, we
divided the filters into two sets to optimize the storage
performance of both algorithms. Our approach is based
- 219 -
ICEIC 2015
Table 3: Scalability performance of the third approach
[8]
[9]
Acknowledge
This work is supported in part by the Ministry of Science and Technology of Taiwan, R.O.C., under grant
NSC103-2221-E-005 -061.
[10]
References
[11]
[1]
[2]
[3]
[4]
[5]
[6]
[7]
D. Taylor, Survey and taxonomy of packet classification techniques, ACM Computing Surveys,
vol.37, no.3, pp.238-275, 2005.
V. Pus and J. Korenek, Fast and scalable packet
classification using perfect hash functions, Proceeding of the ACM/SIGDA, pp.229-236, 2009.
B. Xu, D. Jiang, and J. Li, HSM: A fast packet
classification algorithm, 2005.
H. Lu and S. Sahni, O(logw) multidimensional
packet classification, IEEE/ACM Transactions
on Networking, vol.15, no.2, pp.462-472, 2007.
H. Lim, H. Chu, and C. Yim, Hierarchical binary search tree for packet classification, Communications Letters, IEEE, vol.11, no.8, pp.689691, 2007.
X. Sun, S. Sahni, and Y. Zhao, Packet classification consuming small amount of memory,
Networking, IEEE/ACM Transactions on, vol.13,
no.5, pp.1135-1145, 2005.
S. Varghese, V. Srinivasan, S. Suri, and G. Varghese, Packet classification using tuple space
search, 1999.
[12]
[13]
[14]
[15]
[16]
- 220 -
ICEIC 2015
[17]
[18]
[19]
[20]
[21]
- 221 -
ICEIC 2015
Oral Session
FO-1-5
Special Symposium on Semiconductor
and Device I
- 222 -
FO-1-5-1
Abstract
The negative capacitance (NC) for ultra-low power
transistors is experimentally implemented. To
demonstrate the effect of the NC on CMOS devices, a
ferroelectric capacitor is first fabricated using
P(VDF0.75-TrFE0.25). And then, it is connected in series
to a 1-m CMOS device. The step-up voltage
conversion is measured, as shown in the measured
internal voltageversusgate voltage (VIntVG) plot.
The steep switching characteristic in the CMOS
devices implemented by the negative capacitance is
also
observed
in
the
measured
drain
voltageversusgate
voltage
(IDVG)
plot:
subthreshold slope of 18 mV/decade is demonstrated at
room temperature.
Keywords: Negative Capacitance, CMOS, MOSFET.
2. Experiments
To experimentally demonstrate the impact of
negative capacitance (NC), a ferroelectric capacitor is
fabricated: a ferroelectric material, P(VDF0.75-TrFE0.25),
is used as the insulation layer of the capacitor. The
sequences of the fabrication are as follows: (i) 1-wt%
(weight percent) solution of P(VDF0.75-TrFE0.25), which
was fully dissolved in a solvent of methyl ethyl ketone
(MEK), was deposited (i.e., spin-coated) onto a TiN/Si
substrate. (ii) The sample was annealed on a hot plate
at ~ 140 C. (iii) Gold electrode was formed onto the
P(VDF0.75-TrFE0.25). (iv) In order to observe the NC
effect, the ferroelectric capacitor and the gate electrode
of a 1-m CMOS device are connected in series (see
the details in the inset of Fig. 1).
1. Introduction
- 223 -
ICEIC 2015
4. Conclusion
Acknowledgments
This work was supported by the Korea Foundation
for the Advancement of Science & Creativity
(KOFAC), and funded by the Korean Government
(MOE). Also, this work was supported by the National
Research Foundation of Korea (NRF) grant funded by
the
Korea
government
(MSIP)
(No.
2014R1A2A1A11050637). This work was also
supported by the Basic Science Research Program
through the National Research Foundation of Korea
(NRF), funded by the Ministry of Science, ICT, and
Future Planning (No. 2012R1A1A1014011).
Fig. 2: Drain current (ID) versus gate voltage (VG).
Note that drain voltage (VD) is 2 V, and the
measured subthreshold slope (SS) is 18 mV/decade
(less than the physical limit of SS, i.e., 60
mV/decade at 300 K).
In order to experimentally observe the effect of the
negative capacitance (NC), the structure of "MOSFET"
+ "ferroelectric capacitor" is used to measure VIntVG
and IDVG. As shown in Fig. 1, the NC effect of the
ferroelectric capacitor is observed as a step-up
conversion of the internal voltage. This is occurred
because of the phase transition of the ferroelectric
material [2-3]. The abrupt change of the internal
voltage in a certain region (i.e., phase transition region)
has induced a higher surface potential (S) than gate
voltage (VG) in CMOS devices (i.e., S > VG). Hence,
the body factor in the aforementioned equation is lower
than one. Now that the first term (i.e., the body
factor) is < 1 and the second term in the equation is 60
mV at 300 K, SS < 60 mV/decade can be obtained. As
shown in Fig. 2, the NC effect is demonstrated in the
IDVG plot. In other words, the steep switching feature
in CMOS devices is demonstrated because of the step-
References
[1] S. Salahuddin and S. Datta, Use of negative
capacitance to provide voltage amplification for
low power nanoscale devices, Nano Lett., vol. 8,
no. 2, pp. 405410, Feb. 2008.
[2] D. J. R. Appleby, N. K. Ponon, K. S. K. Kwa, B.
Zou, P. K. Petrov, T. Wang, N. M. Alford, and A.
ONeil, Experimental observation of negative
capacitance in ferroelectrics at room temperature,
Nano Lett., vol. 14, no. 7, pp. 38643868, Jun.
2014.
[3] A. Cano and D. Jimenez, Multidomain
ferroelectricity as a limiting factor for voltage
amplification
in
ferroelectric
field-effect
transistors, Appl. Phys. Lett., vol. 97, No. 13, pp.
133509-1133509-3, Sep. 2010.
- 224 -
FO-1-5-2
1. Introduction
LED lighting has been concerned as an effective
lighting generation for the last few years due to its
advantages: compact, long lifetime, environmental
friendliness, as compared to other lighting systems [1].
In the literature, a lot of AC-DC drivers have been
proposed with high efficiency [3,4]. Most of them use a
bulky transformer and electrolytic capacitors which
shorts the lifetime of LED module and cannot be
integrated inside the chip. In the design presented in [5],
the design gains a high PF and improves THD;
however, it may results in a current glitch due to hard
switching operation that degrades the THD due to
severe current distortion which then degrade PF,
shorten the LED lifetime [1]. Flicker and its human
health-related effects have been drawn much more
concerns [2]. In this letter, we propose a new AC LED
driver topology which owns some dominant advantages
- 225 -
ICEIC 2015
switching MOSFETs operate in active regions with low
resistances that mean the drain-source voltages which
equal to the gate voltages of the power MOSFETs drop
down to a low level then turns off accompanying CLCs.
As VIN continues increasing, all the LEDs will be
turned on. This process repeats in the next cycles.
- 226 -
ICEIC 2015
lateral double-diffused MOS transistors with a 350V of
breakdown voltage.
Result
220/60
Unit
Vrms/Hz-
21.3
mA
Power Factor
0.97
24.62
Efficiency
87.1
23.04
cm2
IC Process
BCDMOS
IC Active Area
1.72
mm2
IC Package
COB
5. Conclusion
In this paper, we have proposed a new, simple, low
cost and high efficiency AC LED driver. For the
evaluation, only 2-string LED driver was fabricated
using the 1-um 650V-BCDMOS process technology.
Measurement results demonstrated a high performance
that the driver can gain. The LED driver proposed can
be flexibly customized to get a higher performance
according to the requirement of the lighting systems by
choosing the number of LED string.
(a)
(b)
(c)
Fig. 5. (a) Microphotograph of the proposed 2-string
LED driver IC. (b) Prototype board. (c) Light by the
proposed driver
Fig. 6 shows the measurement waveform of the input
voltage and input current of the prototype board. Table
I shows the measurement summary of the prototype
driver. The measurements of the prototype are THD of
24.6 % with a PF of 0.97, and efficiency of 87.1% of a
4W.
Subject
Input Voltage
References
[1] N. Ning, W. B. Chen, D. J. Yu, C. Y. Feng and C.
B. Wang: Electron. Lett. 49 (2013) 1170.
DOI:10.1049/el.2013.2093
[2] A. Wilkins, J. Veitch and B. Lehman: IEEE Energy
Conversion Congress and Exposition (2010) 171.
DOI:10.1109/ECCE.2010.5618050
[3] Y. Hu, L. Huber and M. M. Jovanovi: IEEE Trans.
Power
Electron.
27
(2012)
1579.
DOI:10.1109/TPEL.2010.2082564
[4] K. I. Hwu and W. C. Tu: Proc. IEEE Applications
Power
Electronics
Conf.
(2011)
713.
DOI:10.1109/APEC.2011.5744674
[5] M. E. Poplawski and N. M. Miller: Proc. of CIE
Centenary Conference Towards a New Century of
Light (2013) 188.
- 227 -
FO-1-5-3
J. Lee1, 2, C.H. Je1, Y.-G. Kim1, S.Q. Lee1, W.S. Yang1, and S.-G. Lee2
Nano Sensor Lab., Electronics and Telecommunications Research Institute, Korea
2
Dept. of Electrical Engineering, Korea Advanced Institute of Science and Technology, Korea
E-mail*: jaewoo@etri.re.kr
1
Abstract
A capacitive-type micro-electro-mechanical system
(MEMS) acoustic sensor with a diaphragm of
Al/Si3N4/Al (0.1/0.4/0.1 m) multi layers on a
sacrificial layer of 3.0 m polyimide is presented. It
can make the total fabrication process simpler due to
using O2 gas as a releasing material. Furthermore,
equivalent circuit modeling for the MEMS acoustic
sensor is implemented with a lumped model. The
acoustic sensor had a modeled open-circuit sensitivity
of -38.5 dBV/Pa at 1 kHz with a bias of 10.0 V, which
shows good agreement with the measured one in the
range from 100 Hz to 16 kHz.
3. Characterization
To determine an open-circuit sensitivity (S0) of the
sensor, the pull-in voltage (VP) was measured by
VP
1. Introduction
MEMS acoustic sensors have been widely applied to
the mobile phone with the greatly increasing demand
for microphones, especially in the smartphone market.
Consequently, numerous design issues and fabrication
methods have been reported on the basis of surface and
bulk micromachining [1]-[4]. In this paper, the
capacitive-type MEMS acoustic sensor is proposed and
investigated. In addition, to characterize the frequency
performance, structure-based equivalent circuit
modeling [5] is implemented. Ultimately, measured
data is compared with modeled values in order to
verify the validity of the proposed structure-based
lumped-parameter model of the MEMS acoustic sensor.
8g0 1
27 0 Sm
8g0 K eff
27 0 Aeff
(1)
where Sm was the mechanical sensitivity, g0 was the airgap between the diaphragm and the back-plate, 0 was
the dielectric constant of a vacuum, Keff was the
effective spring constant, and Aeff was the effective area
of a diaphragm. The VP was 15.0 V at g0 of 3.0 m.
The residual stress of the diaphragm was determined to
be +10 MPa. Furthermore, to evaluate the frequency
response, an equivalent circuit model [5] was used as
S0()
v ou t ()
1
, (2)
P ()
Aeff K 1() (1/ Aeff ) K 2() j (C0 CP )
K 2 ( ) j M d
1
j C bc
.
j C d
j (C 0 C P )
2
(3)
(4)
- 228 -
ICEIC 2015
capped MEMS microphone module. The measured
sensitivity Smea was -39.4 dBV/Pa at 1 kHz (0 dB = 1
V/Pa, ROIC gain = 6 dB at 1.6 pF input capacitance).
The measured S0 was extracted to -38.5 dBV/Pa. As a
result of modeling, the modeled S0 had a good
agreement with the measured S0, as shown in Fig. 5.
Diaphragm
Acoustic chamber
Cbc
Cd
Air gap
Vout ()
Md
Metal lid
Rg
Rh
P ()
Read-out IC
hole
Rr ()
PCB
Mr
Acknowledgement
Vout ()
S0 ()
References
[1] P. R. Scheeper, et al, Sensor and Actuators A, vol. 44, pp.
1-11, 1994.
[2] Q. Zou, et al, IEEE J. Microelectromech. Syst., vol. 5, pp.
197-204, 1996.
[3] J. W, et al, IEEE MEMS 2006 Conf., pp. 86-89, Jan. 2006.
[4] M. Gato, et al, IEEE Sens. J., vol. 7, pp. 4-10, Jan. 2007.
[5] H. A C Tilmans, J. Micromech. Microeng., vol. 9, pp.
157-176. Mar. 1996.
Diaphragm (Al/Si3N4/Al)
A1
P ()
Acoustic wave
Mr Rr () Rh
Rg
1:Aeff Ud
Md Cd
:1
P ()
Pd
Fd
C0
Fe
Cp
Vout ()
Cbc
Diaphragm pad
B1
-fr measurement
= 650 um
Diaphragm holes
B2
A2
Back-plate pad
(a)
A1-A2 cross section
acoustic sensor
*Polytec MSA-400
Read-out IC
Sensitivity (dBV/Pa)
-10
-20
measured S0
modeled S0
-30
-40
-50
-60
100
1000
10000
100000
Frequency (Hz)
(b)
Fig. 1. Images (a) and schematic cross section views
(b) for the fabrication process for the MEMS sensor.
- 229 -
FO-1-5-4
1. Introduction
Delta-sigma analog-to-digital converters (ADCs)
with oversampling and quantization noise shaping are
traditionally main candidates for high and very high
resolution low-to-medium bandwidth applications [1].
In the recent trends, the operation speed of ADCs is
improved in accordance with development of submicron CMOS process. The challenge of a latest
ADCs is to reduce power consumption from
satisfying strict requirements of settling time. The
double-sampling technique is a well-known approach
to increase the over-sampling ratio (OSR) by a factor
of two with a negligible power penalty [2]. In this
paper, a 1.5-bit fourth-order double-sampled ADC
which uses fully-floating configuration is presented.
2. Architecture
The block diagram of the proposed fourth-order feedforward ADC with double sampling technique is
shown in Fig. 1. The signal component which appears in
3. Circuit Description
A schematic of the first integrator using double
sampling technique is shown in Fig. 2. The fullyfloating configuration is employed to solve the path
gain mismatch problem which affects overall
performance of the ADC. The mismatch in the input
signal path has little effect on the performance because
the modulated input signal near fs/2 can be eliminated
by digital filter. However, in case of feedback path, it
degrades the SNR significantly since huge quantization
noise power near fs/2 is folded into a signal band [3].
Thus, the fully-floating configuration is applied to only
feedback path to avoid noise folding. Dedicated
capacitors are used for input sampling and feedback
DAC to implement fully-floating switched capacitor
circuit. To achieve high DC gain of the amplifier, fullydifferential folded cascode topology is used in all of the
integrators. The finite gains of the amplifiers are
determined by considering the quantization noise
leakage to satisfy the target performance.
4. Measurement Results
The designed ADC was implemented in a 0.35m CMOS process, and occupies 0.76 mm2 active die
area. The fabricated chip is shown in Fig. 3. The
measured power spectrum for a -3.2 dBFS 35-kHz
sinusoidal input is shown in Fig. 4. The prototype
achieves 88.3 dB peak SNDR and 92.0 dB dynamic
range with a 3.1-V supply voltage and 21-mW power
consumption. A 25.6-MHz clock frequency is used,
resulting in an OSR of 128. The measured SNR and
SNDR versus input amplitude curves are shown
- 230 -
ICEIC 2015
+-
1/5
1/4
1/10
1/4
1.5-bit
ADC
2
3
4
DEM
f2p
CS11
f1
f1
Vinn
f2p
/fni
x2 pair
fni
fpi
Vinp
f2
Voutp
f1p
/fpi
Vrefp
Ci
f2p
Cd1
A1
Voutn
f1p
Cd2
Supply: 3.1 V
FIN: 35 kHz
FCLK: 51.2 MHz
VIN: 2.26 Vpp (-3.02 dBFS)
SNR: 88.7 dB
SNDR: 88.3 dB
SFDR: 102.6 dB
-20
f1p
CS12
f2
Vrefn
f1p
Vinp
f2p
-40
-60
-80
-100
-120
-140
-160
f1p
CS13
f2p
-180 2
10
Ci
f1
f1
Vinn
f1p
CS14
n-1
104
105
Frequency [Hz]
106
107
f2p
f2
103
100
n+1
n+2
SNR
SNDR
90
f1 /f1P
80
Magnitude [dB]
f2 /f2P
70
60
50
40
30
20
INT1
INT2
INT3
INT4
10
Quantizer
0
-70
-60
-50
-40
-30
Input level [dBFS]
-20
-10
Process
Power Supply
Sampling rate
Clock frequency
Signal Bandwidth
Oversampling Ratio
Dynamic Range
Peak SNR
Peak SNDR
Input Range
Acknowledgment
This work was supported by IDEC, and the MSIP
(Ministry of Science, ICT and Future Planning), Korea,
under the ITRC (Information Technology Research
Center) support program (NIPA-2014-H0301-14-1007)
supervised by the NIPA (National IT Industry
Promotion Agency).
Power consumption
Chip Area
0.35 m CMOS
3.1 V
51.2 MHz
25.6 MHz
200 kHz
128
92.0 dB
88.7 dB @ FIN = 35 kHz
88.3 dB @ FIN = 35 kHz
3.2Vp-p.diff.
Analog: 16.7mW
Digital: 4.40mW
0.76 mm2
References
- 231 -
FO-1-5-5
A Serializer for Converting Parallel Data with Various Data Rates to Serial Data
Keun-Seon Ahn1, Chang-Hyun Bae1, 2, and Changsik Yoo1
1
Department of Electronics and Computer Engineering, Hanyang University, Seoul, Korea
2
DRAM Design, Samsung Electronics, Hwaseong, Korea
gtcorea@hanyang.ac.kr, chbae@hanyang.ac.kr, csyoo@hanyang.ac.kr
Abstract
Serializer
1. Introduction
In order to increase data bandwidth while
improving signal integrity, the serialized data is widely
used in a serial link transceiver [1]. In order to serialize
each of input data rates (75-, 150-, 300-Mbps) to each
of output data rates (1.5-, 3-, 6-Gbps), a wide range
phase-locked loop (PLL) which is difficult to be
realized can be required. Instead of using the wide
range PLL, the input data with different data rate are
converted into the serialized data with the predetermined fixed data rate [2]. Because the output data
rate is fixed regardless of the input data rate by
changing a serialization ratio which is the ratio of the
parallel input data rate and the serialized output data
rate, this technique is not suitable for systems that the
output data rate should be changed in accordance with
input data rate with the fixed serialization ratio.
In this paper, the serializer using an external clock
with fixed frequency is presented. Parallel data having
various data rates are serialized with the fixed
serialization ratio by adjusting the multiplexing ratio
instead of changing the frequency of the external clock
or using additional PLLs.
2. Circuit description
Figure 1 shows the block diagram of the proposed
serializer composed of CLK Selector, Data Register,
20:i MUX, 20:i MUX CTRL Generator, i:1 MUX, and
D0[0:9]
DIN[0:19]
Data
Register
D1[0:9]
20:i
MUX
DMO1[0:i-1]
i:1
MUX
DMO2<n>
DOUT<n>
Voltage-mode driver
iTBC
CK_EXT[0:3]
TBC
CLK
Selector
CK_INT[0:3]
SELiDj[k]
20:i MUX
CTRL
Generator
SEL_MUX[0:i-1]
i:1 MUX
CTRL
Generator
MODE_SEL[0:2]
3. Measurement Results
The prototype serializer with a voltage-mode driver
has been implemented in a 65-nm CMOS process. The
microphotograph and layout are shown in Figure 3 and
the serializer occupies 0.024-mm2 active area. Figure 4
shows the eye diagrams and the eye openings for the
BER of 10-12 at the end of a cable with 5-dB loss at 3GHz. The serialized data with CK_EXT[0:3] having a
- 232 -
ICEIC 2015
Table 1: Multiplexing ratio according to data rate
Input
Output
20:i MUX
i:1 MUX
data rate
data rate
75-Mbps
20:1
1:1
1.5-Gbps
150-Mbps
20:2
2:1
3-Gbps
300-Mbps
20:4
4:1
6-Gbps
100m
Voltage-mode
driver
240m
Serializer
CK_INT[0]
CK_INT[1]
CK_INT[2]
CK_INT[3]
TBC @ 300MHz
DIN[0:19] @ 300Mbps
[0:19]
CK[0]
CK[1]
0.87-UI
CK[2]
CK[3]
iTBC @ 300MHz
D0[0:19] @ 300Mbps
[0:19]
D1[0:9] @ 300Mbps
20:4
MUX
4
1
DMO1[1] @ 1.5Gbps
DMO1[2] @ 1.5Gbps
4:1
MUX
(a)
[10:19]
0
DMO1[0] @ 1.5Gbps
12
10
DMO1[3] @ 1.5Gbps
16
13
17
14
11
18
15
19
0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19
DMO2 @ 6Gbps
(a)
0.84-UI
CK_INT[0]
CK_INT[1]
CK_INT[2]
(b)
CK_INT[3]
TBC @ 150MHz
DIN[0:19] @ 150Mbps
[0:19]
CK[0]
CK[1]
CK[2]
CK[3]
iTBC @ 150MHz
0.71-UI
D0[0:19] @ 150Mbps
20:2
MUX
2:1
MUX
[0:19]
D1[0:9] @ 150Mbps
[10:19]
0
DMO1[0] @ 1.5Gbps
2
1
DMO1[1] @ 1.5Gbps
0
DMO2 @ 3Gbps
3
2
5
4
10
12
11
14
13
16
15
18
17
(c)
19
9 10 11 12 13 14 15 16 17 18 19
(b)
CK_INT[0]
CK_INT[1]
CK_INT[2]
CK_INT[3]
TBC @ 75MHz
DIN[0:19] @ 75Mbps
[0:19]
CK[0]
CK[1]
CK[2]
CK[3]
iTBC @ 75MHz
D0[0:19] @ 75Mbps
20:1
MUX
1:1
MUX
DMO1[0] @ 1.5Gbps
DMO2 @ 1.5Gbps
Acknowledgments
[0:19]
[10:19]
D1[0:9] @ 75Mbps
0
0
1
1
2
2
3
3
4
4
5
5
6
6
7
7
8
8
9
9
10 11 12 13 14 15 16 17 18 19
10 11 12 13 14 15 16 17 18 19
(c)
Figure 2: Timing diagram of the proposed serializer when the
input data rate is (a) 300-Mbps, (b)150-Mbps, and (c)75-Mbps.
4. Conclusions
A serializer capable of converting parallel data
having various data rates to serial data using independent external clock and maintaining a serialization ratio
is presented. A serializer detects the sampling point of
References
[1] D. A. Yokoyama-Martin, et al, A Multi-Standard
Low Power 1.5-3.125Gb/s Serial Transceiver in 90nm
CMOS IEEE Custom Integrated Circuit Conf., Sept.
2006, pp.401-404.
[2] K. Y. Park, et al, A 6.24-Gb/s Wide-Input-Range
Serializer ASIC using Fixed-Data-Rate Scheme, IEEE
Int. Symp. Circuits and Systems, pp.1704-1707, May
2012.
- 233 -
FO-1-5-6
1. Introduction
As the demand for mobile devices such as smart
phones, tablet PCs, and solid-state drives (SSDs)
increases, NAND flash memory has become the most
widely used memory device owing to its ultrahigh
storage capacity. However, the limitation of
photolithography and cell-to-cell interferences hinders
further two-dimensional scaling of the device. To
overcome NAND scaling issues, a three-dimensional
(3D) stacked NAND array has been considered as a
breakthrough, since Toshiba reported bit-cost scalable
(BiCS) technology [1-3]. In 3D NAND, charge trap
layer is widely used due to its easiness of fabrication,
and better scalability. However, its retention
characteristic is a critical issue [4] to be improved for
reliable performance in many applications. In this work,
the retention characteristics are investigated in NAND
flash array with gate-all-around (GAA) structure and
single crystalline silicon channel.
2. Simulation results
We adopted gate-all-around (GAA) structure with
single crystalline channel for TCAD simulation. Three
30 nm
40 nm
100 nm
20/30/40/50/60 nm
85
- 234 -
ICEIC 2015
voltage. In Fig. 5, we can see the distribution of
trapped electrons after 2 hours of retention conditions.
3. Conclusions
We investigated the effect of the gate space length
variation on the retention characteristics in NAND
flash array. In the case of short gate space length, holes
remained between cells interact with trapped electrons,
and it causes the reduction of threshold voltage of
programmed cells.
Figure 2. Retention characteristics at 85 (gate
space length = 40 nm)
Acknowledgement
This work was supported by the IT R&D program of
MKE/KEIT (10035320, Development of novel 3D stacked
devices and core materials for the next generation flash
memory).
References
[1] A. Nitayama and H. Aochi, Bit Cost Scalable (BiCS)
flash technology for future ultra high density storage
devices, in International Symposium on VLSI Technology,
2010, pp. 130131.
[2] H.-T. Lue et al., A highly scalable 8-layer 3D verticalgate (VG) TFT NAND flash using junction-free buried
channel BE-SONOS, in Symposium on VLSI Technology,
Honolulu, HI, 2010, pp. 131132.
[3] Y. Kim et al., Three-dimensional NAND flash memory
based on single-crystalline channel stacked array, IEEE
Electron Device Letter, vol. 34, no. 8, pp. 990992, 2013.
[4] C. M. Compagnoni, A. S. Spinelli, and A. L. Lacaita,
Experimental Study of Data Retention in Nitride Memories
by Temperature and Field Acceleration, Electron Device
Letters, IEEE, vol. 28, no. 7, pp. 628630, 2007.
- 235 -
ICEIC 2015
Oral Session
FO-2-1
Special Symposium on Intelligent
Control and Robotics(Lab-to-Lab) II
- 236 -
FO-2-1-1
Engineering Science Programme, National University of Singapore, 9 Engineering Drive 1, 117576, Singapore
Department of Mechanical Engineering, National University of Singapore, 9 Engineering Drive 1, 117576, Singapore
a0101671@nus.edu.sg, goh_yu_feng@nus.edu.sg, mpekohsj@nus.edu.sg
tubular,
giant
1. Introduction
Voltage
Abstract
Voltage
Dielectric elastomer
Stretch
(a)
Stretch
(b)
- 237 -
ICEIC 2015
coordinates
of
and
respectively in the actuated state.
P
H
P
(c) Actuated
(b) Mechanically
loaded
2. Computational model
A numerical model, proposed by Lu et. al., was used
to model our experiment [6].
Using axisymmetry of the DE membrane in the
tubular configuration, each material particle can be
labelled solely by a coordinate along its height, in the
unloaded and uncharged state, . Consider an element
connected by two neighbouring material particles,
located at coordinate points and . In the
actuated state, the element is stretched by and is
oriented at an angle from the radial axis (Figure 5).
The deformed element length becomes . We
.
further define hoop stretch as
(1b)
(2)
Lact
P
(a) Unloaded
and uncharged
(1a)
Lpre
b
b
(3)
(4a)
(4b)
(4c)
- 238 -
(5a)
(5b)
ICEIC 2015
Combining equations (4a) and (4b) gives equation
(6), while applying an appropriate boundary condition
to equation (4a) gives equation (7).
(6)
47.8mm
48.3mm
(7)
Lactuation
=6.017kV
=0V
4. Results
Voltage-actuation
strain
curves
for
both
experimental and numerical model are plotted in Figure
6. In the numerical model, we assume the following
material properties: Dielectric breakdown strength, =
200MV/m, relative permittivity, = 5, small strain
shear modulus, = 50kPa and stretch limit, = 120.
8
Voltage / kV
6
2=6
Pload= 415g
L/B = 1.32
4
2
0
0
Actuation strain
3. Experimental Setup
(a)
Voltage / kV
8
6
2=6;
Pload=315g
L/B = 1.32
4
2
0
0
Actuation strain
(b)
- 239 -
ICEIC 2015
5. Discussion
As seen in Figure 7, the experimental data are in
good qualitative agreement with the theoretical results.
With a higher Pload of 415g, an actuation strain of over
200% is attained at an applied voltage of 6kV (Figure
7a).
However, there is a significant difference in
maximum actuation strain. This may be due to the high
variability of the material constants, which include
dielectric constant , dielectric strength and small
strain shear modulus . To ascertain this, we vary the
values of the material constants to fit the theoretical
model to the experimental data. The results are
presented in Figure 8.
Voltage / kV
6
2=6;
W=415g
L/B = 1.32
4
2
0
0
Actuation strain
(a)
1.0
0.5
Voltage / kV
8
6
2=6
Pload=315g
L/B=1.32
4
2
0
0
4.5
Actuation strain
(b)
Figure 8: Voltage-actuation strain curves. Solid lines
denote experimental data; red dotted lines denote
fitted numerical data with material constants of (a)
, , (b)
, ,
By varying the values of the material constants in
the theoretical model, we obtain a very good fit between
experimental and theoretical data on actuation response.
These material constants used to fit the two sets of
experimental data are found to coincide with the
published values of dielectric constants (ranging from
4 to 6.5) [11], the highly stretch dependent dielectric
strength , and the highly variable small strain
shear modulus (ranging from 45 kPa to 65 kPa) due to
the viscoelastic effect of the elastomer [13].
- 240 -
ICEIC 2015
6. Conclusion
While previous studies have reported actuation
strains of 37.3% and 35.8% for a tubular DEA and a
fibre-stiffened cylindrical DEA respectively [14], we
demonstrate a 200% actuation strain for a similar
tubular DEA. This was due to the application of a large
hoop prestretch of 6 that fully suppresses
electromechanical instability (EMI), and thereby
allowing for giant electrical actuation. Our experiments
further exhibit very good agreement with a theoretical
model using published values of material constants. The
verification of the analytical model allows us to create
an actuation map for varying aspect ratio and preload.
This allows optimal soft actuation systems to be
designed and developed.
References
[1]
[2]
[3]
[4]
[5]
[6]
[7]
[8]
[9]
[10]
[11]
[12]
[13]
- 241 -
FO-2-1-2
Functional Hip and Knee Joint Assistive Torque Controller for Gait Training
Jinfu Li, Bingquan Shen and Chee-Meng Chew
Department of Mechanical Engineering
National University of Singapore
li.jinfu@nus.edu.sg
Abstract
This paper proposes a functional hip and knee joint
assistive torque controller based on virtual gait period
sequence for gait training purpose. The advantages of
this controller are twofold. First, it is more compliant
compared with trajectory-based position control.
Second, the assistance level can be easily adjusted in
the whole gait cycle or in each sub virtual gait period.
The controller has been implemented in our single-leg
version lower extremity assistive device and
preliminarily evaluated with one healthy subject
walking on a treadmill. Experimental results show that
the assistive torques can help generate the desired gait
pattern, and with various assistance levels, the gait
trajectories are also different.
Keywords: functional assistive joint torque, lower
extremity assistive device, gait training
1. Introduction
In recent years, robotic gait training devices have
been used in rehabilitation training of neurological
patients post stroke or spinal cord injury. However, it
is still an open challenging problem how these devices
should be controlled to achieve better functional gait
improvements.
Current commercial robotic gait training devices
such as Lokomat [1], are either purely position
controlled or impedance-based position controlled
using a predetermined trajectory. These controllers
focus more on the joint trajectory tracking instead of
joint torque assistance. However, if we observe the gait
training by physical therapists, it seems that they focus
more on providing assistance to joints and thus make
the legs achieve gait functionality instead of simply
performing point-by-point gait trajectory tracking. In
order to mimic therapists rehabilitation training, a
functional joint assistive torque controller will be
developed and then evaluated with one healthy subject
on our lower extremity assistive device in this study.
- 242 -
ICEIC 2015
Figure 2. Implementation.
4. Experimental Results
Figure 3 shows a sample 16 seconds representative
data when assistance level is set as 50%. It is observed
that based on the virtual gait period, continuous and
smooth hip and knee joint torques have been generated
and proper gait pattern similar to normal walking gait
has been achieved.
Figure 4 shows the mean and 1 standard deviations
of the joint trajectories and assistive joint torques
among all the gait cycles. It is observed that the
assistive joint torques can be easily adjusted by
assistance level. Under lower assistance, the gait
trajectories are more compliant. With assistive torques
increasing, the angle of hip extension, hip flexion and
knee flexion also increase and the subject could feel
more assistance from the device.
4. Conclusion
In conclusion, the proposed functional joint assistive
torque controller can help to achieve normal gait
pattern for gait training, and the assistance level can be
easily adjusted.
Acknowledgement
This work was financially supported by the Singapore
Ministry of Education (MOE) Academic Research Fund
(AcRF) (Grant No.: R-265-000-419-112).
References
[1] G. Colombo, M. Joerg, R. Schreier, and V. Dietz, Treadmill
training of paraplegic patients using a robotic orthosis, Journal of
Rehabilitation Research & Development, vol. 37, no. 6, 2000.
[2]. M. W. Whittle, Chapter 2-normal gait, in Gait Analysis, 4th ed.
Edinburgh: Butterworth-Heinemann, pp. 47100, 2007.
[3] B. Shen, J. Li, F. Bai, and C. M. Chew, Development and
control of a lower extremity assistive device (LEAD) for gait
rehabilitation, in Rehabilitation Robotics (ICORR), 2013 IEEE
International Conference on, pp. 16, June 2013.
[4] J. Li, B. Shen, F. Bai, C. M. Chew, and C. L. Teo, First
implementation results on FAT based adaptive control for a lower
extremity rehabilitation device, in Mechatronics and Automation
(ICMA), 2013 IEEE International Conference on, pp. 945-950,
August 2013.
- 243 -
FO-2-1-3
Abstract
We developed an intelligent knee-ankle-foot robot,
which is compact, modular, and portable, to enable
stroke patients to perform gait rehabilitation at
outpatient and home settings. The robot was designed
with a novel compact series elastic actuator for safe
human-robot interaction. The modular design for the
knee and ankle joints caters for patients with different
degrees and types of impairments. A prototype of the
robot has been built and tested on a healthy subject in
the lab to evaluate the performance of the robotic
system.
Keywords: Stroke, rehabilitation robotics, knee-anklefoot robot, series elastic actuator.
Fig. 1. The prototype (b) and schematic diagram (c) of the portable
powered robot with modular ankle and knee (a), sensors are attached
to detect and monitor the state of human body for physical therapy.
2. Exoskeleton design
1. Introduction
Due to the rapid growth of aging population, stroke
is becoming one of the leading causes of adult
disability, notably gait impairment. Robots have been
developed to overcome the limitations of manual
therapy for rehabilitation, but most of them are bulky,
expensive, and available only in big hospitals [1].
Therefore, there is a great need for a compact homebased wearable robotic system for gait rehabilitation.
Numerous robots have been developed specifically for
the ankle joint, such as the MIT ankle robot [1] or for
the knee joint, such as Tibion [3]. However, research
aimed at providing active assistive torque to both the
knee and ankle was very limited due to the added
mechanical design complexity and tethered operation
system [4].
We present an intelligent compact and modular
powered knee-ankle-foot robot for chronic stroke
patients to conduct gait rehabilitation at outpatient
rehabilitation centers or at private homes. We
developed a novel compliant actuator and linkage
mechanism to achieve light-weight and modular design.
A. Knee-Ankle-Foot Robot
Figure 1 shows the schematic diagram and prototype
of the robotic system. The modular system consists of
an ankle robot and a knee robot (Fig. 1(a)). Each
module is driven with the same compact compliant
force controllable linear actuator (Fig. 1(a)). Based on
human biomechanics, the range of motion of the lower
limb joints are within 90 during normal walking [5].
Therefore, the design is optimized by utilizing a simple
rocker-slider mechanism to achieve a compact design
[6]. The structure of the system is fabricated with
lightweight carbon fiber composite material, which
leads to a total weight of about 3.5Kg. The robot has a
suite of sensors for gait pattern detection and assistive
control (Fig. 1(c)). Potentiometers are used to
determine joint kinematics. Foot pressure sensor
combining with inertia measurement units (IMUs) are
applied to detect gait initiation and phases. sEMG
electrodes are placed at the muscle group to monitor
the muscle activity pattern of the subject. A prototype
is shown in Fig. 1(b). The system will deliver optimal
assistance force based on the sensory information.
- 244 -
ICEIC 2015
B. Compliant series elastic actuator
Compliant actuator is required to provide safe and
controllable force for interaction with human limbs.
However, the series elastic actuators (SEA) widely
used for rehabilitation robot design has to compromise
between force transmission and compliance; large
output and high compliance cannot be achieved
simultaneously. We developed a novel SEA to
overcome this limitation, extending a large range of
output force while maintaining a high intrinsic
compliance [7]. As shown in Fig. 1(a), a very soft
linear spring is used at the output to handle the lowforce range and to ensure truly high intrinsic
compliance and high force fidelity. We introduce a stiff
torsion spring directly between the motor and the ball
screw in the high speed range. Due to its high reflected
stiffness, the torsion spring can handle high-force
operation when the soft spring is fully compressed,
ensuring continuous output force control and large
bandwidth at high force range. As both springs are
small, the actuator design is very compact. The actuator
for this robot has a total mass of 0.85 Kg, but can
transmit up to 1000N force.
3. Experimental Testing
Fig. 2. EMG test of major muscles in the right lower limb of the
subject with or without the force support of the robot.
4. Conclusion
With a novel compliant actuator, we developed a
modular and portable knee-ankle-foot robot for gait
rehabilitation. To our best knowledge, this is the first
lower limb exoskeleton with both powered knee and
ankle joints driven by SEA. Preliminary tests on
healthy subjects show the capability of the robot in
providing stable assistance force for human lower
References
[1] Riener, R., Lunenburger, L., Jezernik, S., Anderschitz,
M., Colombo, G., and Dietz, V., Patient-cooperative
strategies for robot-aided treadmill training: First
experimental results, IEEE Trans. Neural Syst. Rehabil.
Eng., vol. 13, no. 3, pp. 380395, Sep. 2005.
[2] Blaya, J. A., and Herr, H., Adaptive Control of a
Variable-impedance Ankle-Foot Orthosis to Assist DropFoot Gait, IEEE Trans. Neural Syst. Rehabil. Eng., vol. 12,
no. 1, pp. 21-31, 2004.
[3] Horst, R.W.,A bio-robotic leg orthosis for rehabilitation
and mobility enhancement, in Proc. IEEE Annu. Int. Conf.
Eng. Med. Biol. Soc.(EMBC), Sept. 3-6, pp.5030-5033,
2009. Minneapolis ,MN.
[4] Sawicki, G. S., Ferris, D. P., A pneumatically powered
knee-ankle-foot orthosis (KAFO) with myoelectric activation
and inhibition, J. NeuroEng. Rehabil, vol. 6:23, 2009.
[5] http://www.clinicalgaitanalysis.com/data/index.html
[6] Yu, H., STA Cruz, M., Chen, G., Huang,H., Zhu,C.,
Chew,E., Ng, Y. S., and Thakor, N. V., Mechanical Design
of a Portable Knee-Ankle-Foot Robot, in Proc. IEEE Int.
2013 Conf. Robotics and Automation (ICRA), p. 2175-80,
May 6-10, 2013, Karlsruhe, Germany.
[7] Yu, H., Huang, S., Chen, G., Thankor, N., Toh, S. L.,
Cruz, M., Ghorbel, Y., and Zhu, C., A Novel Compact
Compliant Actuator Design for Rehabilitation Robots, in
Proc. IEEE Int. Conf. Rehabil. Robot.(ICORR), June 24-26,
2013, Seattle, USA.
- 245 -
FO-2-1-4
Abstract
In this paper, we propose a novel strategy to obtain
accurate odometry for autonomous vehicles using incar sensors. Adding encoders on cars to obtain
odometry needs much mechanical work and they may
be vulnerable if protruding from the wheel. The in-car
sensors can be utilized to provide odometry, but the
direct output of the sensors may not satisfy the high
accuracy or high frequency requirement for
autonomous vehicles. We propose a novel method of
fusing two sensor readings from Controller Area
Network (CAN) bus messages to provide an odometry
of high accuracy and high frequency for autonomous
vehicles. We use Mitsubishi i-MiEV car as an example,
but the method can be extended to other vehicles.
Keywords: Odometry, in-car sensors, data fusion.
1. Introduction
Autonomous vehicles are receiving great attentions
because their great potentials for enhancing safety and
improving the throughput of transportation systems [13]. In order to realize autonomous driving, the vehicles
must be capable of sensing the surrounding
environment, localizing themselves and navigating to
the destination. Odometry can give an estimation of the
vehicle s ego motion and is one of the key components
necessary for localization [4-5].
In order to obtain odometry information, encoders
are commonly installed on vehicles to estimate their
traveled distance [1-3]. Retrofitting vehicles needs
much mechanical work and protruding encoders can
make the whole system vulnerable since they can be
easily damaged. One alternative method is to use the
in-car sensors, which is especially appealing for newer
car models since there are increasingly more sensors
installed on each iteration of new cars and the sensor
readings are accessible through the Controller Area
Network (CAN) bus. However, the direct output of the
sensor readings may not meet the requirements of high
- 246 -
2. Data fusion
The sensor readings are usually sent over the CAN
bus at different frequencies in different vehicles. Even
though there are already CAN messages for the car
odometer, the resolution is typically only one kilometer,
which is just used as an indicator for humans. There are
also readings for distance traveled in our i-MiEV car,
but they are very inaccurate for autonomous driving.
The two most useful messages are the readings for
the wheel rotational velocity and motor rotational
velocity. The wheel speedometer can give the absolute
value of rotational speed of the wheels at 50 Hz, whose
resolution is 0.0144 m/s. The direction of this velocity
is inferred from the gear shift value. The reading for
the motor velocity is available at 10 Hz and its
resolution is 0.0048 m/s.
For autonomous vehicles, the odometry should be
provided at a high frequency. Even though the wheel
speedometer can provide speed information at 50 Hz,
as the reading is much noisier than the motor velocity,
which is published much less frequently. The low
frequency of the speed reading results in an inability to
capture the rapid speed change, and thus the integral of
the speed over time is not accurate for distance traveled.
It is desirable to fuse these two sensor readings and
provide a high accuracy and high frequency odometry.
In order to fuse the two sensor readings, we need to
study the sensor characteristics. The motor velocity can
provide accurate velocity estimation of the vehicle and
we can use that as the ground truth. The sensor
readings from the speedometer are then downsampled
to 10 Hz and compared with the car speed estimation
from the motor sensor readings. The wheel
speedometer sensor characteristics are shown in Fig. 1.
ICEIC 2015
speed readings are read as often as they become
available and each used to calculate distance travelled
by integration. We use a timer to perform the integral
of the updated car speed to estimate the distance
traveled at 100 Hz.
3. Results
4. Conclusion
- 247 -
Acknowledgment
This research was supported by the National
Research Foundation (NRF) Singapore through the
Campus for Research Excellence And Technological
Enterprise (CREATE) and the Singapore MIT Alliance
ICEIC 2015
IRG) research
programme, in addition to the partnership with the
Defence Science Organisation (DSO). We are grateful
for their support.
References
[1] M. Montemerlo, J. Becker, S. Bhat, H. Dahlkamp, D.
Dolgov et al,
Junior: The stanford entry in the urban
challenge Journal of field Robotics, 25, no. 9, pp. 569-597,
2008.
[2] J. Leonard, J. How, S. Teller, M. Berger, S. Campbell et
al, A Perception-Driven Autonomous Urban Vehicle
Journal of field Robotics, 25, no. 10, pp. 727-774, 2008.
[3] Z. J. Chong, B. Qin, T. Bandyopadhyay, T.
Wongpiromsarn, E. S. Rankin, M. H. Ang Jr., E. Frazzoli, D.
Rus, D. Hsu, and K. H. Low, Autonomous personal vehicle
for the first- and last-mile transportation services
Cybernetics and Intelligent Systems (CIS), 2011 IEEE 5th
International Conference on, pp. 253-260. Sept. 2011.
[4] B. Qin, Z. J. Chong, T. Bandyopadhyay, M. H. Ang Jr.,
E. Frazzoli, and D. Rus Curb-Intersection Feature Based
Monte Carlo Localization on Urban Roads Robotics and
Automation (ICRA), 2012 IEEE International Conference
on, pp. 2640-2646. May 2012.
[5] Z. J. Chong, B. Qin, T. Bandyopadhyay, M. H. Ang Jr., E.
Frazzoli, and D. Rus, Synthetic 2D LIDAR for Precise
Vehicle Localization in 3D Urban Environment , Robotics
and Automation (ICRA), 2013 IEEE International
Conference on, pp. 1554-1559. May 2013.
- 248 -
FO-2-1-5
1. Introduction
Autonomous driving technology is an emerging area of
researches for intelligent vehicle which is different from
existing advanced driver assistance systems (ADAS) in
terms of human intervention in driving. The researches on
autonomous vehicle have been accelerated by the three
contests hosted by U.S. Defense Advanced Research
Projects Agency (DARPA) [1]. After these contests, major
motor corporations announced plans to begin
commercializing autonomous vehicles in a few years.
Recently, Google has completed accident-free autonomous
driving over 300,000 miles.
As research area in autonomous vehicle had received
more attention, Korea government took place the 2013
Autonomous Vehicle Contest (AVC) at the Korea
international circuit. Our autonomous vehicle named Baby
In Car participated in the 2013 AVC. The course for the
2013 AVC consisted of 3 km paved road and there were 9
driving missions in this contest. Among the 10 participants,
we accomplished the best lap time with 15 min and 5 sec at
the main race.
- 249 -
ICEIC 2015
and at least 50 Hz of update rate. To tackle this problem,
we utilize some in-vehicle sensor measurements such as
acceleration, steering angle and wheel speed to correct the
DGPS data. By fusing the DGPS data with the in-vehicle
sensor measurements through the extended Kalman filter,
the localization system estimates the pose (position,
heading) of the vehicle with 100Hz data rate and less than
10cm standard deviation error.
4. Planning systems
3. Perception systems
1) Object Detection and Recognition
To safely avoid obstacles, a precision map should be
needed, which contain accurate position of the obstacles
and sufficient range of view. To satisfy this criteria, we use
medium range laser scanners for object detection. Once we
get the map data, detected objects in this map should be
recognized to make strategy at the planning systems. Vision
sensors are used for recognizing detected objects.
3) Localization
We use a low-cost DGPS whose maximum update rate
is limited to 10 Hz and the standard deviation of positioning
error is 0.7 m under open-sky environment. The
performance of the DGPS is not sufficient for ego-vehicle
localization, since the planning system using the
localization results requires high accuracy less than 10cm
5. Conclusion
We developed an autonomous vehicle, Baby In Car
based on perception and planning algorithm presented in
this paper. Because we could only use the minimal number
of low-cost sensors, software performance was more
importance than that of any other autonomous vehicle. The
software algorithms presented in this paper were validated
by the autonomous vehicle Baby In Car which won the 2nd
prize in the 2013 AVC.
The algorithms presented in this paper are dedicated for
the AVC missions. We intend to improve and develop these
algorithms for more general road environments.
Acknowledgements
References
- 250 -
ICEIC 2015
Oral Session
FO-2-2
Signal Processing II
FO-2-2-1
Abstract
As the synthetic aperture radar (SAR) system
requires high-resolution, chirp signal generator that
can provide wide-bandwidth signal is also needed .
Direct digital synthesizer (DDS) accumulates phase
signal of chirp and synthesizes it with amplitude. It can
generate the signal with wide-bandwidth, however due
to the truncation spurs in DDS system the spectrum
purity is not guaranteed. In this paper, DDS chirp
signal generator with phase compensation block is
proposed and the simulation result shows that
proposed method can eliminate the phase offset ideally.
:
constant
source
Frequency
accumulator
++
Register
Phase
accumulator
++
++
: frequency offset
Register
++
LUT
Chirp
pulse
output
: phase offset
1. Introduction
Synthetic aperture radar (SAR) is a kind of active
sensor that provides high-resolution images of target
by using microwave signal called chirp. Chirp signal is
a linear frequency modulated signal its frequency
increases or decreases linearly according to time. The
reason why SAR uses chirp signal is that it has large
bandwidth and the radar system with wide bandwidth
can achieve the high-resolution due to equation (1).
c c
(1
r= =
)
2 2B
where c, , and B are speed of light, pulse width of
signal and signal bandwidth respectively. Accordingly,
SAR system requires the chirp signal generator that
can generate signal with wide-bandwidth.
Direct digital synthesizer (DDS) is a solution that can
generate the signal with wide-bandwidth but it has
phase error on signal plot than spectrum purity gets
lowered. This paper proposes the error compensation
method for DDS chirp signal generator.
- 252 -
ICEIC 2015
Contents
Center frequency
Bandwidth
Pulse width
Bits
Features
1.27 GHz
288 MHz
3.6 s
16 bits
4. Simulation results
Table 1 shows the simulation environment in this
paper. Figure 3 is presented to verify that proposed
DDS compensates the phase error of chirp signal in
time domain. Proposed DDS signal perfectly traces
ideal signal and phase error is compensated. It has
been introduces that DDS has bad spectrum purity due
to
5. Conclusion
In this paper, phase error in conventional DDS has
been investigated and phase error has been modeled to
polynomial. By adjusting the frequency offset value,
proposed DDS could enhance the signal output in time.
Consequently proposed DDS has the same signal
characteristic with ideal chirp signal.
Acknowledgement
This research was supported by NSL (National
Space Lab) program through the National Research
Foundation of Korea funded by the Ministry of
Education, Science and Technology (2012-0009092)
and KARI (Korean Aerospace Research Institute)
though the Ministry of Science, ICT and Future
Planning.
References
[1] A. Samarah, A Novel Approach for Generating Digital
Signals Using FPGA Technology for Synthetic Aperture
Radar Applications, Ph. D Thesis, pp. 13-55.
[2] H. Yang, S. B. Ryu, H. C. Lee, S. G. Lee, S.S. Yong, and
J. H. Kim, Implementation of DDS Chirp Signal Generator
of FPGA, Proc. in ICTC 2014, October, 2014.
[3] J. Tierney, A Digital Frequency Synthesizer, Audio and
Electronics, IEEE Trans. on, vol. 19, no. 1, pp. 48-57. 1971.
- 253 -
FO-2-2-2
Abstract
This paper introduce the fast motion detection method
in H.264/Advanced Video Coding(AVC) for
surveillance systems. While the surveillance equipment
supports high resolution video, the system provides
high quality video to us and decisive role in traffic
accident and settlement of a dispute. However, more
resources are needed to save the high resolution video
and the computational complexity increment. To solve
those problems, we propose a method to reduce
complexity and accelerate motion detection.
Keywords: H.264 compressed domain, fast motion
detection, multiple channel.
1. Introduction
In the surveillance system, currently the videos of full
HD are being supplied. In the future, we will be needed
to more resources to save the video and more
computational complexity to detect motion. Nowadays,
there are many studies about the fast motion detection
2. Proposed method
- 254 -
ICEIC 2015
- 255 -
ICEIC 2015
3. Result
References
A. Experimental environment
Number
of picture
7200
92321
7680
4598
I picture
interval
15
30
30
30
Place
Indoor
Outdoor
Outdoor
Indoor
Anchor(fps)
1200
1280
900
499
Proposed
algorithm(fps)
2676
2844
1935
884
Speed
up(times)
2.23
2.22
2.15
1.77
Acknowledgement
This research was supported by Basic Science
Research Program through the National Research
Foundation of Korea (NRF) funded by the Ministry of
Science,
ICT
&
Future
Planning
(NRF2014R1A2A1A11052210) and the IT R&D program of
MSIP/KEIT [10042395, Development of SW
emulation and rapid prototyping technology for highperformance SoC based-on multicore]
- 256 -
FO-2-2-3
Sunshin An
Fig. 1.
I. I NTRODUCTION
Demands on low power devices have been increasing in
recent years [1 - 2], however in the effort of achieving
low power requirement, there is a trade-off between power
requirement and performance requirement. Mobile application,
where the devices size is xed and mostly has small-sized
form, is one of applications where a low power technique is
required reduce power consumption while maintaining overall
performance requirement. The needs on low power technique
becomes high since many industries want to put a lot of
sophisticated software applications in mobile devices which
have a huge computation load, hence, killing battery lifetime
for a xed battery size.
Dynamic voltage scheduling (DVS) is a one of technique
to reduce overall power consumption of a system in which
systems voltage supply and frequency of operation can be
dynamically changed based on workload requirement. There
are two variables that are tuned up or down in DVS, i.e.
supply voltage and frequency of operation. Most of processors
have a discrete set of operating frequency, voltage supply, and
pair of frequency and voltage supply. In CMOS circuit, power
consumption which is dominated by voltage due to switching
activity can be expressed as follow [3]
2
P = CL Vdd
fclk
(1)
where CL represents the load capacity, Vdd is supply voltage, fclk is operating frequency, and is switching probability.
As expressed in equation (1), supply voltage is quadratic
proportional to power consumption. Many literatures have
concluded that reducing supply voltage is the most effective
way to reduce power consumption. However, reducing supply
voltage lead to degrading of systems performance due to
the increase of gate delay, hence, lowering overall systems
LMS
FILTER
N
1
hn [k]w[n k]
(2)
k=0
where w[n] is the previous workload in the observation interval, (n1)T t nT and hn [k] is coefcient of an adaptive
FIR lter. One of methods to update lters coefcients is
- 257 -
ICEIC 2015
TABLE I
MPEG-2 INPUT STREAMS
(3)
(5)
Fig. 2.
Input
File name
192X14430f ps bobo.m2v
240X20830f ps tue.m2v
l=1
IV. C ONCLUSION
We propose a DVS technique by using C-LMS lter for correct workload estimation in MPEG-2 application. Our scheme
is an extension of a lter-based DVS technique using LMS
FIR lter whose coefcient can be updated based on workload
history. Our modication to the LMS method is taking into
account the uctuation of actual execution time in the same
type of frame. We removed outlier frame whose uctuation
exceeds a variable threshold in workload history updating
process. Based on simulation results, our proposed algorithm
has a competitive performance among the other algorithms.
ACKNOWLEDGMENT
This work is supported by National Research Foundation of
Korea(NRF) grant funded by Korea government (No. NRF2012K1A3A1A09026959).
- 258 -
ICEIC 2015
R EFERENCES
[1] Woonseok Kim, et al., Performance Evaluation of Dynamic Voltage
Scaling Algorithms for Hard Real-Time Systems, Journal of Low Power
Electronics, 2005, Vol. 1.
[2] Pillai Padmanabhan and Kang G Shin, Real-time dynamic voltage
scaling for low-power embedded operating systems, Proc. of eighteenth
ACM symposium on Operating systems principles, 2001, Vol. 35, 5.
[3] Chandrakasan, A. P. and Brodersen, R. W., Minimizing power consumption in digital CMOS circuits, Proceedings of the IEEE, 1995, Vol. 83,
pp. 498-523.
[4] Sinha, A. and Chandrakasan, A. P., Dynamic voltage scheduling using
adaptive ltering of workload traces Fourteenth International Conference
on VLSI Design, 2001, pp. 221-226.
[5] Kihwan Shoi, et al. Frame-based dynamic voltage and frequency scaling
for a MPEG decoder, Proc. of the 2002 IEEE/ACM international
conference on Computer-aided design,2002.
- 259 -
FO-2-2-4
Abstract
In this paper, a modified transform skip signaling
method is investigated in order to improve coding
performance of HEVC Screen Content Coding. Its
experimental results show on average 0.2% coding gain
improvement under all intra test condition.
Keywords: Screen content coding, transform skip
1. Introduction
As a part of extensions to the HEVC version 1 [1],
international standardization for screen content coding is
under development by JCT-VC (Joint Collaborative Team
on Video Coding). Screen content is a novel type of video
sources which contain lots of artificial video/images such
as drawings, text, and graphics. Based on observation of
strong relationship between Intra Block Copy (IBC) and
transform skip mode (TSM) in screen contents [2], in this
paper, we investigate a modified transform skip signaling
method related to IBC to reduce signaling bits for TSM
and additionally TU (transform unit) split information.
Rest of this paper is as following. In Section 2, high cooccurrence relation between IBC and TSM is investigated.
Following, the proposed method is described in Section 3.
Experimental results for the method are discussed in
Section 4. Finally, Section 5 draws some conclusion.
3. Proposed method
The proposed method can be divided into two parts, one
is the representative flag signaling and the other is
corresponding action based on the value of the
representative flag. The proposed method is explained in a
decoders perspective. In the first part, the decoder
selectively parses the representative flag when the
following condition (lets call it as Cond. 1) is satisfied:
- 260 -
Cond. 1
1) Current CU contains non-zero residual data; and
2) it can have transform block coded as TSM; and
3) it is IBC-coded.
ICEIC 2015
Start
Cond. 1 ?
No
AI
Yes
Parsing representative flag
No
Yes
Split_transform_flag=1
(for depth 0 TU)
Cond. 2 ?
No
Yes
transform_skip_flag=1
Perform regular
decoding process
end
4. Experimental results
The proposed method is implemented using the HEVC
reference software HM 15.0 RExt 8.0 SCM 2.0 [3] and
tested using the common test condition for screen contents
coding [4] under all intra (AI), random access (RA), and
-0.5%
-0.3%
-0.2%
-0.1%
0.0%
0.0%
-0.3%
-0.1%
-0.2%
-0.2%
0.0%
0.0%
99%
100%
RA
G/Y PSNR
-0.1%
-0.1%
-0.1%
0.0%
0.0%
0.0%
-0.1%
0.0%
-0.1%
0.0%
0.0%
0.0%
100%
96%
LD
0.0%
0.1%
0.0%
0.1%
0.0%
0.0%
0.0%
-0.2%
-0.1%
-0.1%
0.0%
0.0%
100%
99%
5. Conclusions
In this paper, we presented a collective signaling
method of transform_skip_flag for IBC-coded CU. The
proposed method introduced one flag at CU level which is
conditionally signaled. Depending on the CU level flag,
signaling
bits
for
transform_skip_flag
and
split_transform_flag can be saved. By this method, average
gain of -0.19% for AI is achieved in lossy coding.
Moreover, en/decoding time increment compared to
current design is negligible.
References
[1] G. Sullivan, et al. "Overview of the high efficiency
video coding (HEVC) standard," IEEE Trans. Circuits and
Syst. for Video Technol, pp. 1649-1668, Dec. 2012
[2] S. Yang, H. J. Shim, D. Lee, and B. Jeon, Non-CE2:
Transform skip signaling for intra block copy, JCTVCS0036, Strasburg, Oct, 2014.
[3] HM 15.0 RExt 8.0 SCM 2.0 software, available
https://hevc.hhi.fraunhofer.de/svn/svn_HEVCSoftware/tags
/
[4] H. Yu, R. Cohen, K.Rapaka, J, Xu, Common Test
Condition for Screen Content Coding, JCTVC-R1015,
Sapporo, July, 2014.
- 261 -
FO-2-2-5
Fei Qiao()
Tsinghua University
qiaofei@tsinghua.e
du.cn
Huazhong Yang
Tsinghua University
2. Stereo matching
Abstract
In this paper, a FPGA-based smart camera named
Smart-Eyes is proposed and a binocular stereo vision
system is i mplemented. The key component for the
system is Adaptive Support-Weight algorithm. It can
produce accurate piecewise smooth disparity maps by
using complex calculation. We proposed some
optimization methods to meet the requirement of realtime and high accuracy. The system can process 60fps
(frame per second) for a video of 640*480 resolution
with the accuracy rate of 89% and the hardware
resources consumption of 73%.
Keywords: b inocular stereo vision, FPGA, parallelis m,
adaptive support-weight.
1. Introduction
Binocular stereo vision, based on the theory of
stereopsis, is a system in wh ich two cameras can be
used to extract three-dimensional information of a
scene from stereo images taken fro m distinct
viewpoints [1]. It is of great importance and finds
applications in the field of industry [2], robotics and
image analysis. As the depth informat ion found
valuable in wide range of applications, it can be
mean ingful to provide dense disparity maps by
designing a stereo vision system in the smart camera.
Current trends in the field main ly pursue real-time
execution speeds, as well as decent accuracy.
Inspired by an amazing approach named adaptive
support-weight approach proposed by Yoon [3], we
realize a similar algorith m in Verilog HDL and
implement it on a FPGA -based image processing
platform, Smart-Eyes. Our b inocular stereo matching
algorith ms average percent of bad pixels is about 11%
at the frame rate o f 60fps based on the Tsukuba dataset
provided by Middlebury [4]. It infers that we realize a
real-t ime binocular stereo vision system with
considerable accuracy at the expense of reasonable
hardware resources.
))
(1)
where
and
represents separately the absolute
color difference and the spatial distance between pixel
p and q. Moreover
and
are positive parameter
which are required to be set.
When aggregating matching cost, we should
mu ltip ly the matching cost of pixel in the support
window and their corresponding support-weight at first.
And then all the results should be added up. After the
cost aggregation, the WTA (Winner-Take-All) method
is selected to choose the disparity of each pixel.
We use parallel co mputing in order to rea lize the
high speed processing. It means that the calculation of
support-weight cannot occupy too much co mputing
resources. Therefor we optimize the (1) as
(
)
( (
)) ( (
)), (2)
where
and
represent the rightward shift of the
digit, respectively. Shift operation used in (2) can
greatly simplify the co mplexity of calcu lation with
small effect on the accuracy of results. In addition, we
calculate the Hamming distance between pixels instead
of Euclidean distance.
In fact,
is determined to the size of the supportwindow. When the size of support-window is 15x15,
results show that
should better to be 2. As for , a
series of experiments show that 7 is a wise decision.
- 262 -
ICEIC 2015
Pixel_Scan
weight_computatio
n
Pixel_Match
Left Image
Right Image
DDR3 SDRAM
Cost
computation
Cost
computation
Cost
computation
Cost
computation
Cost
computation
Cost
computation
Buffer
aggregation
aggregation
Disparity
aggregation
WTA
4. Hardware Implementation
To achieve the proposed Adaptive Support-Weight
algorith m, we propose a paralleled design on FPGA .
We transform the algorith m and present some
optimization methods which are mapped onto FPGA
under the resource constraints. Finally we imp lement
the algorith m with hardware description language
Verilog and ensure the real-time and accuracy at the
same time.
Fig.1 shows our proposed design. The video
resources and results are stored in the external DDR3
SDRAM. The other blocks are all imp lemented using
FPGA. The Pixel_Match module is the main block
which contains four parts: weight_computation module,
cost_computation module, aggregation module and
WTA module. It can be used for 16 disparity-level
parallel co mputation. The Pixel_Scan module controls
the task of scanning the whole pixels of images. We
adopt the efficient scanning mode shown as Fig.2 (c)
instead of these in Fig.2 (a) and (b).The Buffer module
read the data stored in the DDR3 and local cache them.
FPGA
Resource
Utiliaztion
215389 54676
(72%) (73%)
80 %
60 %
40 %
20 %
105707 28693
(35%) (38%)
(b)
153683 40320
(51%) (54%)
9x9
96137
(16%)
74138
(12%)
55194
(9%)
36952
(6%)
11 x 11
13 x 13
15 x 15
9x9
11x11
= 2,
13x13
= 7,
15x15
(a)
100 %
9x9
11x11
13x13
15x15
(c)
nonocc.
8.45
7.15
6.36
6.03
avg.
12.5
11.5
11.0
10.9
References
5. Experimental Evaluation
To verify and evaluate the stereo vision algorith m in
our Smart-Eyes, we use the Tsukuba dataset provided
by Middlebury Stereo Evaluation [4] with the
corresponding ground truth. Since our algorith m is
targeted at accuracy, real-time performance and
reasonable hardware resources, we focus on the tradeoff among algorith mic speed, accuracy and hardware
resources. The performance of algorithmic speed, with
real-time as the basic goal, achieves 60 fps .
- 263 -
FO-2-2-6
!
"#
$$
% &
&
&
'
&
(
)*$ (
)*
&
'
'
)
*
+*
$ ,&
*
'
& *
* -
$ % &
.&'
&
*
/ &
*
*
&
* &
*
&
&
$ -
*
&
&
* &
(
)*
&
0*
$
9,
9!,
!
!
!"
#$
! %
& !
'()'*)$
+
! $
(, &#
!
!"
!
'-)&'.)+
/"'0)
*,
!
! 1
'2)
'3)$
&#
!
&
!
&#
!"
&
!"
&
!
!
&
$
&&
!
&
#
!
4
'5)
6 !
7 8&&
&
!
&
$
9,
9,
% ($
- $
9,
':)9!, &#
! 9
&# , !"
9!" &# ,
9,! 9,
9,
9!,
% " &' ( $) &' *+
,
- 264 -
ICEIC 2015
#+
$
;;
!
..
;;
&
# !
!
;;
&&
<
<
;; !
"
9
;;"&&
<
<
'-)4
'5)
&
&
!
&
!
&#
&# &
&$
!
# $% % " & ' ' " & ( ( " <9(,
!
"
!
!
/ 0#1#234#567849#:9#;7<=4#/;>4? @ 8<?;?##/;>4
D
A 0#1#234#567849#:B#54;=38:93::C
/ ,$
#&
? A
B 0@*0CC ?D 30C E -$-C=%
F192.C#.5C,$
% !! &
$
0 # $ $
1
?
9,
6
$( 6
$* 6
$- 6
$. 8
'-)
-52: -*20 (3*5 ..-3 --*.5
'5)
*::
*5-
*:-
-C2
*:0
?
&
*2*
*0-
*20
*3C
*2*
! (
&
&
&
'-)'5)$
1
/ &
&
&
&
!
1
$ 1
#&
$
2$
"! &&
!
G
8 @
@ @
9G,
G
*(&&
H
D
'()?7%
$<I <?
$J
8
8
J$ 1 +
G
*K-(0L--3*CCC$
- 265 -
ICEIC 2015
- 266 -
ICEIC 2015
Oral Session
FO-2-3
Computer and Information II
FO-2-3-1
I.
INTRODUCTION
Language as a social phenomenon, developed in
conjunction with the community about the changing and
varying enrichment. Even within society, some things old and
some of them are completely formed and developed within the
language of interaction subjected to many of the most active
part of the vocabulary. Vocabulary enrichment and developing
a new non-permanent active process from one generation and
social development has stagnated for a time, and a major
change is the development of a lexical effect.
Nowadays, the main common text classification methods
are the Bayes Classification algorithm, Decision Trees,
Maximum Entropy Modeling, Perceptron Learning Algorithm
and k-Nearest Neighbor Classification.
In this paper, we will describe an evaluation on a text
classification using Decision Trees method for classifying by
year of the proses.
We have chosen our training corpora based on the
following length of 10 years interval proses in Mongolia to
make the detection:
1. 1920-1929
2. 1930-1939
3. 1940-1949
4. 1950-1959
5. 1960-1969
6. 1970-1979
7. 1980-1989
8. 1990-1999
9. 2000-2009
II. DECISION TREE CLASSIFICATION ALGORITHMS
Decision trees are one of the most widely used inductive
learning methods. The decision tree is a method for knowledge
- 268 -
ICEIC 2015
x All the samples in the list belong to the same class.
When this happens, it simply creates a leaf node for the
decision tree saying to choose that class.
function ID3(
R: a set of non-categorical attributes,
C: the categorical attribute,
S: a training set);
begin
If S is empty, return a single node with
value Failure;
If S consists of records all with the same
value for
the categorical attribute,
return a single node with that value;
If R is empty, then return a single node with
as value the most frequent of the values of
the categorical attribute that are found in
records of S;
Let D be the attribute with largest Gain(D,S)
among attributes in R;
Let {dj| j=1,2,.., m} be the values of
attribute D;
Let {Sj| j=1,2,.., m} be the subsets of S
consisting respectively of records with value
dj for attribute D;
Return a tree with root labeled D and arcs
labeled d1, d2, .., dm going respectively to
the trees
ID3(R-{D}, C, S1), ID3(R-{D}, C, S2),..,
ID3(R-{D}, C, Sm);
end ID3;
B. C4.5 algorithm
C4.5 builds decision trees from a set of training data in the
same way as ID3, using the concept of information entropy.
The training data is a set of already classified
samples. Each sample consists of a p-dimensional vector
, where the represent attributes or features of
the sample, as well as the class in which falls.
At each node of the tree, C4.5 chooses the attribute of the
data that most effectively splits its set of samples into subsets
enriched in one class or the other. The splitting criterion is the
normalized information gain (difference in entropy). The
attribute with the highest normalized information gain is
chosen to make the decision. The C4.5 algorithm then does
recursive calls on the smaller sub lists.
This algorithm has a few base cases [5].
(1)
(3)
(4)
(5)
- 269 -
ICEIC 2015
x Figure 2 shows, the results on the Mongolian proses.
The corpus consists of 352 texts of which divides into 9
year intervals.
10
20
30
0,8
0,75
0,7
0,65
0,6
1920-1929
1930-1939
1940-1949
1950-1959
1960-1969
1970-1979
1980-1989
1990-1999
2000-2009
= Summary
TABLE III.
4
4
21
39
35
34
100
20
95
352
1.
2.
3.
4.
5.
6.
7.
8.
9.
Year Interval
1.
2.
3.
4.
5.
6.
7.
8.
9.
CLASSIFIER ACCURACIES
Year Interval
1920-1929
1930-1939
1940-1949
1950-1959
1960-1969
1970-1979
1980-1989
1990-1999
2000-2009
| Average
Year
length
1.
1920-1929
2.
3.
1930-1939
1940-1949
4.
5.
1950-1959
1960-1969
6.
7.
8.
9.
1970-1979
1980-1989
1990-1999
2000-2009
ID 3 algorithm
classifier accuracy
0.77
0.78
0.75
0.73
0.75
0.76
0.73
0.76
0.76
0.754
, , , ,
, , , ,
, , , ,
, , , ,
, , ,
, , , ,
, , , ,
, , , ,
CONCLUSION
During the experiment we used ID3 algorithm for text
classification and the conclusions are the following:
- 270 -
x Though the ID3 algorithm worked well with the noncontiguous data that we created and has the advantage
that it generates a smaller depth decision tree, we would
like to evaluate this algorithm with a larger and more
complicated data set. In fact, since ID3 was first
ICEIC 2015
developed, there is now an improved version called
C4.5.
x This study will be expanded in the future to include
more authors and messages to further demonstrate the
scalability and feasibility of our proposed approach. The
current approach will also be extended to analyze the
authorship of other literature-related materials, such as
poetry, drama.
x Another more challenging future direction is to
automatically generate an optimal feature set which is
specifically suitable for a given dataset. We believe this
will have a better performance cross the different
datasets.
REFERENCES
[1]
., 1990
, , , , 2014
[2] Jian mei, Wu zhang and Suge wang 2012. Grid Enabled problem solving
environments for Text Categorization
[3] Mary Slocum, "Decision making using id3 algorithm", Rivier academic
journal, Volume 8, Number 2, Fall 2012
[4] Christopher D. Manning, Hinrich Schutze 1999. Foundations of
Statistical Natural Language Processing. Second Edition. Chapter 16
Text Categorization. pp 575-610
[5] Quinlan,J.R.: Simplifying decision trees, International Journal of ManMachine Studies, 27, 221-234, 1987
[6] Quinlan,J.R.: C4.5: Programs for Machine Learning, Morgan Kauffman,
1993
[7] Y.H.Li, A.K.Jain, Classication of Text Documents, The Computer
Journal, Vol. 41, No. 8, 1998
[8] ., (Ph.D)-
. ., 2006
[9] Zolboo Damiran, Khuder Altangerel, Text Classification Experiments
on Mongolian Language, IFOST, 2013
[10] Zolboo Damiran, Khuder Altangerel, Author Identification - An
Experiment based on Mongolian Literature using Decision Trees,
UMEDIA, 2014
- 271 -
FO-2-3-2
Frequency
scaling
on-die
variation
Soft-fault
1. Introduction
As semi-conductor technology is scaled down, the
uncertainty of a chip increases and induces on-die
variation of a multi-core processor [1]. Unlike a hardfault that causes permanent errors, a soft-fault is related
to the operating speed since the soft-fault is induced by
signal propagation delays that do not fulfill the
requirement of specification [2]. When a soft-fault
exists in a core, the core is disabled or slowed down
the speed as shown in Fig. 1; therefore, the soft-fault
causes the performance degradation. In spite of the
adverse condition of the soft-fault core, the optimal
frequency scaling of the other cores can prevent the
performance degradation of the multi-core processor.
Execution time of parallel processes depends on the
parallel processing ability of the multi-core processor.
Therefore, utilization of each core is a critical issue in
the multi-core processing. If one of the cores cannot
be used for the execution, the overall performance is
degraded.
When we can exploit the saving power of a softfault core, we can adjust the frequency of other cores
according to the status of cores and executed
- 272 -
ICEIC 2015
execute the sequential part; on the contrary, the other
multiple cores with relatively lower frequency run the
parallel part in parallel. Based on the collected
information of the core and workload status, we adjust
the frequency of each core to exploit the multi-core
processor with a soft-fault.
Multi-core monitoring
Soft-fault
No
Condition of a soft-fault in multi-core processor
Yes
Min. freq.
Max. freq.
Parallel portions of
workloads
Performance
estimation by models
1.2
1
0.8
original freq.
one Min. freq.
0.6
minimum freq.
0.2
0
Frequency scaling
streamcluster blackscholes
bodytrack
dedup
x264
PARSEC benchmark
4. Conclusion
3. Evaluation
We altered the clock frequency of each core for
evaluation. Fig. 3 shows the frequency configurations
of the cores. According to Fig. 3(a), when the
frequency of core 0 does not reach the common
frequency of other cores, the clock frequencies of core
1, 2, and 3 except core 0 can be increased equally by
the power budge of core 0. When the clock frequency
of core 0 becomes a minimum frequency, the power
budget from the gap between original and minimum
frequency is applicable to the other cores. In Fig. 3(b),
the frequency of core 1 and core 2 becomes minimum
frequency which is same to that of core 0. The
frequency of the other core, core 3 becomes the
maximum frequency by the rest power budget from
core 1 and core 2.
Min. Freq.
Max. Freq.
Min. Freq.
Max. Freq.
Core0
Core1
Core2
Core3
Core0
Core1
Core2
Core3
L1
L1
L1
L1
L1
L1
L1
L1
Switch
Switch
L2
L2
Main memory
Main memory
Acknowledgement
This work was supported by the ICT R&D program of
MSIP/IITP, Republic of Korea [14-000-05-001, Smart
Networking Core Technology Development] and in part by
the ICT R&D program of MSIP/IITP (2014(10041971),
Development
of
Power-efficient
High-performance
Multimedia Contents Service Technology using Contextadapting Distributed Transcoding).
References
- 273 -
FO-2-3-3
2. Experimental Environment
We carried out a simulation based on the
specifications of the ARM Cortex-A15 processor,
which is frequently used in mobile devices [3]. The
basic configuration of the level-2 (L2) cache and level1 (L1) cache of the Cortex-A15 is shown in Table 1.
Table 1: The basic configuration of the Cortex-A15
Capacity
L1 D
32KB
32KB
L2
512KB~4MB
(configurable)
64B
16 way
Line size
64B
64B
Associativity
2 way 2 way
Replacement
LRU
LRU
Random
policy
L1 I : Level-1 Instruction Cache
L1 D : Level-1 Data Cache
L2
: Level-2 Unified Cache
LRU : Least Recently Used
1. Introduction
The multi-core processor is currently being used in
a variety of computing platforms (e.g., PC, server,
embedded systems). Companies that produce mobile
processors have also released a multi-core processor
used in mobile devices, such as smartphones, tablet
PCs, and digital cameras. Design issues that occur in
mobile processor design are more complicated than
those of the general-purpose processor. Design issues
need to address power consumption and heat
generation from a battery as well as the limited size of
the mobile device.
L1 I
- 274 -
ICEIC 2015
4. Conclusion
The size of the cache memory is one of the most
important design constraints in mobile processors.
Therefore, it is not possible to obtain improved
performance with unlimited increases in cache capacity.
In this paper, we conducted a study of an efficient way
to improve the performance with a fixed cache
capacity. By changing the associativity of the L1 cache,
the results showed an improvement of 1.19% in the
IPC compared to the Cortex-A15, which is better than
tuning by the other parameters. Therefore, in order to
improve the performance of the mobile processor with
a size limitation, increasing the associativity of the L1
cache is the most efficient method for improving the
IPC.
In future work we will evaluate the measures of
performance improvement in the mobile processor
when power consumption is also taken into account.
Acknowledgement
This research was supported by the MSIP (Ministry
of Science, ICT and Future Planning), Korea, under the
C-ITRC (Convergence Information Technology
Research Center) support program (NIPA-2014H0401-14-1002) supervised by the NIPA (National IT
Industry Promotion Agency)
References
[1] P. ShivaKumar and N. Jouppi, CACTI 3.0: An
Integrated Cache Timing Power, and Area Model, DEC
Western Research Lab Report 2001/2.
[2] Ma Hai-feng, Yao Nian-min and Fan Hong-bo,
- 275 -
FO-2-3-4
1. Introduction
One of the tasks of the computer networks (CN)
monitoring is the obtain characteristics of the network
nodes and communication channels. Thus direct polling
of the CN nodes in real time can be used for this.
Hence, monitoring the CN can be modeled as a polling
system that allow real-time continuously update
monitoring data and to provide their relevance.
Due to propagation of delay when polling of
network nodes (SNMP agents) with monitor and
increasing the total processing time of all queries,
increases the time response of the network control
system for possible emergency and abnormal situations,
which is especially important in large CN. Thus, the
optimization of monitoring is one of the main tasks of
managing the CN.
2. Polling systems
The model shown in figure 1 is the basic standard
polling model. The polling model consists of polling
queue
and one server , which
Q1
Qi
Q2
- 276 -
ICEIC 2015
another. We are considering the polling system with
exhaustive discipline service of queues. At that, the
arrival process of queries (monitoring data) is the
independent Poisson process.
monitor
node 1
(MIB-base)
network nodes
node N
(MIB-base)
with rate
is denoted as
SNMPpolling
. .
node 2
.
(MIB-base)
and is denoted as
queue
are called as -class queries and their service
time is an independent random variable with mean
value
is denoted by
and defined
, where
,
where is the set of queue numbers with exhaustive
service discipline.
The optimization problem is as follows:
load is
. The service time of queue
is
independent and uniformly distributed variable with
with
moments
distribution
function
and Laplace-Stieltjes
transformation
(LST))
.
1
2
Q1
Qi
2
5. Conclusion
Q2
The paper proposes the optimal monitoring model
of CN. For this model polling system is used. The
problem of optimization of process of monitoring was
reduced to a problem of optimization of the polling
model of CN nodes, by minimizing of the mean waiting
time of queries in queue.
References
- 277 -
ICEIC 2015
[1]
[2]
[3]
[4]
[5]
[6]
- 278 -
FO-2-3-5
I. I NTRODUCTION
With the rapid development of network and through
which information transmission is widely used, the protection of information becomes a crucial issue. Multimedia
information, as an important information carrier, how to
confirm the confidentiality, integrity and usability when
transmitted on the network becomes a research hotspot in
recent years.
Wavelet analysis [1] is a mathematical tool, which
has been developed only in recent decades, but has been
quickly applied to many research areas, such as image processing and audio analysis. Wavelet transform time-domain
and frequency-domain or the space-domain and frequency
domain have a good local optimization features, as well as
the Multi-Resolution Analysis features make wavelet transform suitable for image processing on transform domain.
In this paper,a new image encryption algorithm is
proposed which is based on wavelet transform and AES
algorithm [13]. First of all, wavelet decomposition is used
for concentrating original image in low-frequency wavelet
coefficients, then dynamic S-Box based AES algorithm
[10] is applied to encrypt the low-frequency wavelet coefficients. In dynamic S-Box based AES algorithm [10]
the S-Box is generated from the key by using pairwise
linear chaotic maps. Secondly, an XOR operation is used
for high-frequency wavelet coefficients and the encrypted
low-frequency wavelet coefficients (as a key stream), so that
the image information contained in high-frequency wavelet
coefficients is hidden; Thirdly, a wavelet reconstruction is
used for spreading the encrypted low-frequency part to the
whole image.
II. H AAR -D ISCRETE WAVELET T RANSFORMS
The frequency domain transform applied in this algorithm is Haar-DWT [1], the simplest DWT. A 2-dimensional
Haar-DWT [1] consists of two operations: One is the horizontal operation and the other is the vertical one. Detailed
procedures of a 2-D Haar-DWT [1] are described as follows:
Step 1: At first, scan the pixels from left to right in horizontal
direction. Then, perform the addition and subtraction operations on neighbouring pixels. Store the sum on the left and
the difference on the right as illustrated in Figure 1. Repeat
this operation until all the rows are processed. The pixel
sums represent the low frequency part (denoted as symbol
L) while the pixel differences represent the high frequency
part of the original image (denoted as symbol H).
Figure 1.
- 279 -
ICEIC 2015
operations on neighbouring pixels and then store the sum
on the top and the difference on the bottom as illustrated
in Figure 2. Repeat this operation until all the columns are
processed. Finally we will obtain 4 sub-bands denoted as
LL, HL, LH, and HH respectively. The LL sub-band is the
low frequency portion and hence looks very similar to the
original image.
Figure 2.
Figure 4.
based on one-dimensional chaotic maps are used. A piecewise linear chaotic map (PLCM) [10] is given by
x/p 0 x P
F (1 x, p) 1/2 < x 1
Figure 3. (a) Original image-Lena, (b) Result after the first-order 2-D
Haar-DWT
(1)
Chebyshev map:
- 280 -
(2)
ICEIC 2015
The 128 bit key is divided into 4 32-bit unsigned integer
keys: key1, key2, key3, key4. In the initial condition generation process u0 = 1.9999.
x01 = (key0+key1)/(0xffffffff*2)
x02 =(key2+key3)/(0xffffffff*2)
x21 and x22 are calculated after 100 rounds iteration using
Logistic map with the initial value x01 and x02, x2=
(x21+x22)/2. The initial condition IC for the dynamic S-Box
is calculated after 100 rounds iteration using Chebyshev map
with x2 as the initial value.
Step 4. Iterate the PLCM using the initial condition. Whenever the PLCM visits a particular region, store that number
in an array S. If the PLCM has already visited a particular
region, then do not store the assigned number to that region
in the array S.
Step 5. Stop iterating the PLCM when it traverses all regions.
Step 6. Rearrange the array S in the form of a table by filling
rows sequentially.
B. Shift Rows
The ShiftRows step operates on the rows of the state,
it cyclically shifts the bytes in each row by a certain offset
as shown in Figure 5.
Figure 5.
- 281 -
ICEIC 2015
Image(Size)
Lena(256*256)
Cheetah(200*320)
AES Encryption
Time
31.75 ms
29.25 ms
Table I
AVERAGE T IME R EQUIRED BY AES AND WAVELET AES
D IFFERENT I MAGES
Input XORs
Output XORs
151
28
100
100
26
128
146
142
FOR
190
145
2
232
#x dx = S(x) dy 1
(4)
LP s (dx dy) = max
2n
2
dx,dy6=0
Table II
T YPICAL DIFFERENTIAL THAT OCCURS WITH THE MAXIMUM
PROBABILITY OF 10/256.
Figure 6.
The following method is used to test the pixel correlation property. First, 1000 couples of pixels are randomly
chosen (horizontally, vertically and diagonally) from the
cipher image. Second, the correlation coefficient of adjacent
pixels of the cipher image obtained using the following
- 282 -
ICEIC 2015
illustrates the statistical properties of original image have
been randomly diffused into cipher image.
V. C ONCLUSION
To improve the efficiency of AES wavelet decomposition is used to concentrate the main information of
image to the low frequency part. Then dynamic S-Box based
AES encryption is applied to the low frequency part. In
Dynamic chaotic S-Box Based AES the Substitute bytes
provide security because the S-Box is constructed from the
key. The high frequency parts are XORed with the encrypted
low frequency part and a wavelet reconstruction is applied.
R EFERENCES
[1] Po-Yueh Chen and Hung-Ju Lin, A DWT Based Approach
for Image Steganography, International Journal of Applied
Science and Engineering.
Figure 7.
formulas:
xi
(6)
(xi E(x))2
(7)
N
1 X
(xi E(x))(yi E(y))
N i=1
(8)
Con(x, y)
p
D(x) D(y)
(9)
E(x) =
D(x) =
Con(x, y) =
1
N
N
X
1
N
i=1
N
X
i=1
xy = p
CRC Press
Smart
Figure 8.
- 283 -
ICEIC 2015
Oral Session
FO-2-4
Access Networks and Systems II
FO-2-4-1
! #"
! $&% ( #)'"
$
&#%
! " ##
$#
"
""
*
$#%('&
)!#+"
#-,.-/.
'$"" #
"
" !#
$ ! %2013& !
*
# "
")
!#
)
3
$$!!2013""
"
!
" %&
"" ('
# " %4&
"
# # 0
! 65
)#" ##)
3'#
#
3
!
!# # !! # 65
""
# !! %634& -7. ('
"" !#4 "!
653"
$)"#!)
8#
)9
*
#$"+65-:.
3
!
$$$$#$"""
" "
# 3
$
$ !
"
# #
"$" $
"
$
$"" ('"
4 "!
)65#
*
!# 653
65
# 634
$ !
)
65;
$)#"
)' *
0
$$#
!2013
#)!#
#
#-/."
#
(' $!#
!# )
4 "!653
!#+#8
!!!!
)!#
""('
#
(' 4 "
)
$ # ! $#
8 "%122&!650+$5 <
5
)!# # ! 65 %65 &
$$ ")('65
3' #
#
) 65
634 )
#
$=>
)!#3
('#""
3
!$$(')
"
"
"
#!"""" ('#
$ ! 654 4 #
65 3
, ! 65
411
)!#
$
./01
./01
/.21
--
. /
1
54 5
- 285 -
ICEIC 2015
>>=
4
,
D==
D>=
G==
G>=
@A634#
$$ "%
4
&
#
"$
"%
#
$&
- 8 4 3.% 2" # 634 $
) "" 4
!#('
#+#
# 33"('6
%/&
6
4
-94 35%'# 4
4
4
4
4
4
%7&
4
%:&
<
:>=$""
(!#"#)
D,
+ """%:&
>==#%C&E=#%1&
03( (#
'+3$"()
,G:5#FH5
2 AG5
:D5#%C&7=5#%1&
,>#%('&/>#%C65&
,=#%165&
= .1
,=
/ 1!# ! $ !#
)65
C""
1""
0
$" # "" #
"
"#""$""#!
!! !
$$ "
# $
#
"$#
##83)"/
#")3'$!->.
/
#
!
(')!#
)65
/
$$ "
# %0I,J,=& )
#
%0I=& "
$$ #
)
$!#)
#$""
8'
0
$$ $$ #$"""
"
" "
# !
!
$$ "
#
!!
"#
3
$$ )
6"
! %6 & !
)
#%C201&%/=,: ,>,=,,:GE&
- 286 -
FO-2-4-2
Abstract
In this paper, we consider travel support systems
for emergency vehicles (EVs) using vehicle-to-vehicle
(V2V) communications in urban by simulations. The
results show that a simple proximity warning using
V2V lengthens a traveling time of an EV while that
with travel route advertisement shorten the travel time
compared with the conventional scheme using only
siren and light.
Keywords: Emergency Vehicle, V2V Communication
1. Introduction
Among emergency vehicles (EVs), in this paper, we
focus on ambulances because they are more frequently
called than the others. One of the most important issues
in the ambulance operation is to shorten a traveling
time required from ambulance call to an arrival at a
hospital.
There are two reasons to extend the traveling time:
1)
delay for the ordinary vehicles (OVs) to yield
the right-of-way to EVs, and
2)
deceleration of EVs when they enter
intersections without traffic light or those on a
red light
In order to mitigate these problems, there has been a
lot of research on travel support system for EVs
(TSSEV) based on vehicular-to-vehicular (V2V)
communications and/or vehicle-to-roadside (V2R)
communications ([1][3]). These studies on TSSEV
except [2] assess communication level performance
such as packet delivery ratio and delivery delay but do
not system level performance such as traveling time. In
[2], simulation studies are executed to evaluate how
much TSSEV can shorten traveling time in the case of
only straight roads like freeways. It does not, however,
examine the case of urban areas where there are
intersections with traffic lights and obstacles like
PWSL
The drivers of OVs must yield the right-of-way
when approached by an EV whose light and siren
are activated. In our simulation, we assume that
the drivers yield the right-of-way if necessary
when they hear the siren and confirm the position
of EV.
PWV2V
An EV broadcasts PW messages periodically.
Each driver of OVs yields the right-of-way to the
EV after a perceptional and operational delay
depending on its situation when receiving a PW
message from the EV. In this paper, we assume
that PWV2V is implemented in the application
layer based on the Basic Safety Message (BSM).
A BSM message contains safety information
such as a position, a velocity, and a direction of
its source vehicle.
TRA
Traffic accidents often cause traffic jam, which
could result in a delayed arrival of an EV at an
accident scene. In order to alleviate this
undesirable situation, it is effective to restrict
- 287 -
ICEIC 2015
other vehicles to run on a scheduled travel route
of the EV including the accident scene. A way to
do so is for an EV to disseminate its travel route
in a certain area, which we call travel route
advertisement (TRA). In this paper, TRA is
realized using a simple flooding scheme.
Value/Type
1,200 m2
66
1
1,000
IDM
2 (/direction)
Specification
Channel Frequency
Band Width
Transmission Power
MAC/PHY
Path-loss Model
SNR Threshold
Value/Type
5.9 GHz
10 MHz
20dBm
IEEE 802.11p
ITU-R_P.1411
10dBm
Acknowledgement
References
[1] A. Buchenscheit, et al., A VANET-based Emergency
Vehicle Warning System, Proc. IEEE VNC 2009, pp. 1-8,
Oct. 2009.
[2] T. Satou and T. Nagaosa, A Study of the Effect an InterVehicle Communication System for Emergency Vehicle
Operation Assist Systems, IEICE Tech. Rep. ITS2009-7, vol.
109, no. 58, pp. 37-42, 2009.
[3] S. Bhosale, et al., Vanet Based Communication for
Emergency Vehicle, Advanced Research in Computer
Science and Electronics Engineering, vol. 2, no. 7, July 2013.
[4] Space-Time Engineering Inc., http://www.spacetimeeng.com/, accessed on Nov. 5, 2014.
[5] T. Martin, et al., Congested Traffic States in Empirical
Observations and Microscopic Simulations, Physical
Review E, vol. 62, no. 2, pp. 1805-1824, Aug. 2000.
- 288 -
FO-2-4-3
Abstract
This paper introduces a novel scheme called
Priority Code Scheme (PCS). It is a suitable scheme,
capable to respond users demands, by dynamically
scheduling capacity resources into specific satellite
footprints. Based on users needs, the goal is using PCS
scheme to increase the resource utilization efficiency,
all through the satellite lifetime, by implementing
priority codes at every beam, amongst multiple beams
deployed on High Throughput Satellites (HTS). In
order to measure the advantages of using PCS, it is
intended to compare its performance in terms of
efficiency and capacity. The analysis is conducted
based on hypothetical scenarios.
Keywords: High throughput satellites, efficiency,
scheduling, algorithm and priority codes.
1. Introduction
In order to achieve currently capacity needs, high
throughput satellites (HTS) [1], [2] offer the possibility
to reach large number of users, providing high data
rates at low cost. Lately works [3], [4] have proved
satellite capacity improves as a result of bandwidth
increments and its better management. Getting higher
power levels also helps, but the benefits are not as
good as the ones that can be reached by having better
bandwidth administration. In this context, there are
inherent challenges to set adequacy of bandwidth
capacity. For instance, bandwidth scarcity, large
gateway networks and payload mass footprint. P.
Inigo, et al., [4] well summarize the currently high
throughput satellite situation, providing solutions and
proposals for several facing issues. On the other hand,
researches have improved scheduling process by using
various techniques, such as frequency reuse and beam
hopping [5], [6] in order to reach higher bandwidth
capacities. J. Anzalchi, et al., [5] analyze the beam
hopping technique by comparison to the fixed capacity
- 289 -
ICEIC 2015
under/overloaded resources. Step 3: HTS provides a
priority code at each beam, adjusting the level of
loading resources. Step 4: HTS deploys a new amount
of resources at each beam, and measures again the
efficiency.
The scheme performs a cyclical repetition of step 1,
2, 3, and 4, by grouping those steps into two modules:
the algorithm and the operative module. The algorithm
module has several tasks; such as step up efficiency
thresholds in order to estimate under/overload
bandwidth capacity, establish monitoring concurrency
and define the priority codes. The operative module is
in charge to reassign capacity based on the algorithm
output. Fig. 1 describes PCS modules. It is assumed
PCS takes part of the TTC&M (Telemetry, Tracking,
Command and Monitoring) satellite subsystem.
- 290 -
ICEIC 2015
capacity, it is important to set up an efficiency
threshold to each beam. With this on mind, it is
considered that = 0.8 0.05 assures accurate
capacity assignment. For < 0.8 the beam capacity is
overloaded, and under loaded if equals 1. In contrast
to overloading, under loading is difficult to detect,
because it is not possible to measure utilization of the
unallocated bandwidth. Therefore, it is assumed that
equals 1, or any close approximation, refers to an under
loading scenario. PCS matchs the efficiency values
with the priority codes in order to determine which
beams require more attention than others. This allows
to offer faster adjustement in higher demand beams
than beams with little demand. Beams with at least
60% of efficiency are considered as high demand
beams, and receive priority code 4. Medium demand
beams have at least 25% of efficiency with priority
code 3. Table 1 summarizes other beam classifications
and their corresponding priority codes.
Based on the latest efficieny ratio values, beams
receive new priority codes. Regarding monitoring
concurrency, PCS algorithm is a short-term scheduling
algorithm; then the monitoring concurrency is very low.
In order to find out the most suitable concurrency
performance, concurrency parameter is set with
different values starting from 10 to 30 ms. However,
the analysis of optimal concurrency time is not
included in this paper. This study will be covered in
future works.
Table 1: Priority codes based on efficiency ratio.
Demand
Priority Code
High
Medium
Low
Little
4
3
2
1
Efficiency
[%]
60
25
10
5
3. Numerical Results
The evaluation considers a DVB-S2 air interface
using Ka-Band frequencies, from 19.7 to 22.2 GHz.
The analysis only involves the forward link because it
is the link that goes from HTS in direction to final
users. The theoretical scenario refers to ten beams
(Bw1, Bw2, Bw3, , Bw9, Bw10) sharing the total
bandwidth of 500 MHz. This bandwidth is divided in
blocks of 100 kHz; therefore, the total number of
available bandwidth blocks is 5000. If the system
considers an equal bandwidth block distribution, every
beam receives 500 bandwidth blocks. For this situation,
scheduling does not take care of user needs, because
the bandwidth capacity is equally shared by all beams.
As a consequence, the presence of under and
overloading scenarios is inevitable without chance to
reverse their effects. In contrast, PCS fairly shares the
bandwidth blocks amongst beams. Beams with higher
priority code, need more capacity and as a result,
receive more bandwidth blocks than beams with lower
priorities. Inversely, beams with low bandwidth
requirements have less number of capacity blocks
assigned. This idea allows PCS to reserve unused
bandwidth to be reassigned later in case an specific
beam asks for it. To facilitate the calculations, it is
assumed that the distribution of capacity needs follows
a pseudo random pattern.
For the evalutions, eq. 1 and 2 are used considering
the following parameter values. PTWTA and I are both
set at 30 dB, GTX and GRX are equal to 19 dB, d is set at
800 km, TSYST is assumed at 500 K and the efficiency
threshold equals 0.8. The results are inset in fig. 4.
(1)
(2)
- 291 -
ICEIC 2015
Observing fig. 4, it is proved that PCS is a
successfull scheme. Due to all beams have different
capacity needs, PCS successfully allocates different
amount of bandwidth blocks at each beam. Despite the
unequal bandwidth distribution, PCS respects the total
available bandwidth of 500 MHz.
- 292 -
ICEIC 2015
beams. As a complementary result, fig. 9 illustrates the
efficiency performance as a result of threshold
variations. In fig. 9, it is observed that the efficiency
percentage proportionally increases as much as
efficiency threshold value increas. The reason is that
for higher thresholds, the PCS is more restrictive, and
as a result, the system improves its efficiency.
Acknowledgements
Authors want to thank The Ministry of Education,
Culture, Sports, Science and Technology in Japan
(MEXT) for its financial support along this research.
References
[1] H. Fenech, A. Tomassi, S. Amos and V.
Soumpholphakdy, KA-SAT and Future HTS systems, 14th
International Vacuum Electronics Conference (IVEC), IEEE,
May 2013.
[2] O. Vidal, G. Verelst, J. Lacan, E. Alberty, J. Radzik and
M. Bousquet, Next Generation High Throughput Satellite
System, First AESS European Conference on Satellite
Telecommunications (ESTEL), IEEE, October 2012.
[3] D. Serrano-Velarde, E. Lance, H. Fenech
Guisantes, A Novel Dimensioning Method
Throughput Satellite Design, First AESS
Conference on Satellite Telecommunications
IEEE, October 2012.
4. Conclusions
PCS offers advantages in terms on capacity
allocation. The interesting point is PCS algorithm
allows to successfully allocate bandwidth resources,
based on user demands. This point is very important
because in real situations, the network capacity needs
follow an unstable pattern due to the dynamic behavior
of user demands. As future work, it is intended to
compare PCS scheme performance increasing the
number of priority codes and determining the most
suitable monitoring concurrency. On the other hand, in
- 293 -
FO-2-4-4
1. Introduction
The curved waveguide is important to connecting
optical components, but it can cause a high loss in the
transmission.
2. Theory
The planar waveguide structure consists of three
layers; cladding, core, and cladding. The core is
dielectric medium where the light is propagate, where
the cladding is dielectric medium that keep the light
stay propagate in the core. Asymmetry slab waveguide
is a general structure in slab waveguide. The difference
between asymmetry and symmetry is the refractive
index of cladding. In asymmetry, the value of n1 > n2 >
n3, and at symmetry is n1 > (n2 = n3). The boundary
condition is 0 < x < h for the core. For the structure, the
boundary condition for TE mode solution is,
1
2 x h
xh
cos kx sin x e
cos kx sin x 0 x h
(1)
e 1 x x 0
x h
xh
cos h sin h e
cos x sin x 0 x h
(2)
e x x 0
- 294 -
ICEIC 2015
(3)
2 2
h
cos2 ( )e h
ko n2 ( h 2)
2
C2
2 (neff n2 )
n2
(4)
(5)
3. Simulation Results
For the simulation, the width of core is 7 m,
wavelength is 1.550 m, radius of curvature is 1-6 mm,
phase 40o. Then, using equation (3), the result of
curved loss asymmetry and symmetry slab waveguide
is,
- 295 -
ICEIC 2015
4. Conclusions
The curved loss of asymmetry is lower than
symmetry slab waveguide at the same parameters. It
can occur because in asymmetry the value refractive
index of cladding n3 is smaller than n2. To get the lower
curved loss we use asymmetry slab waveguide with
minimum radius of (r) is > 3mm. And for symmetry
slab waveguide, we use the minimum (r) is > 4mm.
References
[1] L. Lee, Donald. Electromagnetic Principles of Integrated
Optics. Florida, USA. John Wiley & Sons, 1986.
[2] E.A.J. Marcatili, Bends in optical dielectric guides,
Bell Syst. Tech. J., volume.48, 2103-2132, 1969.
[3] Syahriar, A. The method of lines analysis of asymmetric
optical waveguide, Universitas Gunadarma. 2000.
[4] R.Baets, P.E. Lagasse, Loss calculation and design of
arbitrarily curved integrated-optic waveguides, J. Opt. Soc.
Amer., vol.73, 177-182, 1983.
[5] W. J. Minford, S. K. Korotky, R. D. Alferness, Low-loss
Ti:LiNbO3 waveguide bends at =1.3 m, IEEE J. Quantum
Electron.,vol. QE-18, 1802-1806, 1982.
- 296 -
FO-2-4-5
1. Introduction
The growth in the internet is provisioning additional
bandwidth scale without increasing capex/opex
complexity [1]. One way of overcoming this limitation
is using super-channel. Moreover, super-channels
address the fundamental issue of supporting the future
generation of high speed services. This paper presents
generation of 555Gb/s signal using super-continuum
generation in dispersive material (HNLF). The
advantage of using HNLF as a nonlinear switching
medium owing to the ultrafast fibre response time [2].
Further, HNLF length can be significantly shorter and
less switching power is required compared to
dispersion shift fibre, which in general leads to more
robust switching. Several super-continuum generation
experiments with Er-doped fiber laser of hybrid modelocking [3], sub-megahertz repetition rate femtosecond
pulses based on nonlinear polarization rotation
technique [4], mode locked bismuth oxide based
erbium doped fiber laser [5] have been reported.
In this paper, a novel technique to generate a 555
Gb/s discrete multi-tone (DMT) channel is proposed
and experimentally demonstrated. The paper is
organised as follows. Section 2 introduces the
experimental setup and results, demonstrate generation
of the high speed channel using HNLF. Finally, Section
3 summarizes the work.
- 297 -
ICEIC 2015
10-3
10-4
-40
10-5
BER
Intensity [dBm]
10-6
10-7
-60
1548
1549
1550
1551
1552
1553
1554
Wavelength [nm]
3. Conclusion
A novel technique to generate a 555 Gb/s highspeed DMT channel using super-continuum generation
followed by frequency-to-time transformation in a
dispersive medium. This demonstration offers a
potential for future super-channel in the exgrid
networking eld trial.
References
Fig.3 : Signal at: (a) MLL, (b) after HNLF , (c) Band Pass
Filter , (d) Different PRBS with Modulated Adjacent SubCarriers
2014.
[5] M.R.A. Moghaddam et al., Laser Physics Letters, Vol 8,
2011.
- 298 -
FO-2-4-6
I. I NTRODUCTION
Relay communications where a relay node helps communications between source and destination have been extensively
studied since the monumental work of [1]. Although the
capacity of a relay channel is not exactly identied yet, it is
well known that relay nodes are able to improve not only data
rate but also reliability of communications. Specically, under
the random fading environments, several signal forwarding
strategies were proposed in [4], [5], [6], and their performance
enhancements, mainly coming from diversity gains, were analyzed. Eventually, in an isolated source to destination link,
relaying the desired signal to destination reinforces the received
signal strength at destination and thus improves achievable data
rate.
However, in wireless networks consisting of multiple sourcedestination pairs, interference from other transmitters is inevitable if they share time and frequency resources in the
same signal space. Therefore, transmission strategies and the
ways of utilizing relays in an interference limited environment
can be signicantly different from those of an isolated source
to destination link. Recently, there have been some pioneering works on relay communications in interference limited
environments, so called an interference channel with a relay
(ICR). The model of ICR where two independent sourcedestination pairs are assisted by a relay was rstly considered
in [7]. Achievable sum rate by rate splitting at each source
was analyzed for a symmetric Gaussian channel in [8]. In [9],
[10], [11], an interference channel (IC) assisted by a cognitive
relay which has non-causal message information of two sources
was studied. Especially, [12], [13], [14] showed that relaying
interference message to destination can be benecial in improving achievable rate when the relay is connected to only
one of sources and links from other sources are blocked. It is
interesting to note that the concept of interference forwarding
(IF) is completely opposite to that in conventional relaying
even though the relay in the scenarios of previous studies has
no alternative but forwarding interference messages.
Fig. 1.
The previous studies on relay communications in interference limited environments mostly focused on the model of
ICR from an information theoretic viewpoint and analyzed
the achievable rate region of two mutually interfering sourcedestination pairs. Furthermore, they require some levels of
coordination between two sources to mitigate the perceived interference at destinations. However, considering cost and complexity of coordination among sources, the previous approaches
are hard to implement in conventional cellular networks where
BSs greedily communicate with their own mobile stations
(MSs) and do not cooperate. In this context, we investigate
gains of interference forwarding by relay in a greedy cellular
network where each source tries to maximize its rate without
considering its inuence on other communication pairs. Our
model is fundamentally different from ICR but much more
practical because sources do not care about their interference
to unwanted destinations and correspondingly complicated
transmission schemes such as rate splitting are not required.
Similarly to our network model, a conventional relay channel
with an additional source of interference has been analyzed in
[15], called relay-interference channel (RIC). It was shown that
forwarding interferers signal at relay cannot provide gains if
the relay has a block delay so that the transmit codewords of
relay and interferer are blockwise independent. To reduce the
independency and the duplex loss in half duplex relaying, we
propose dynamic interference forwarding scheme (DIF) that
is an extension of IF to the half duplex relay with variable
slot length. Eventually, we present scenarios where forwarding
interference signal is better than forwarding the desired signal
and analyze gains of DIF in our practical cellular network.
- 299 -
ICEIC 2015
Fig. 2.
(1)
(7)
- 300 -
ICEIC 2015
over the last (1 )N symbol periods by maximum ratio
transmission (MRT) from source.
The achievable rate of a direct communication in an interference limited environment is given by
RN R = min log2 (1 + P1,s 11 ),
max
(1)
(2)
RDDF = min RDDF , RDDF
(9)
(1)
(2)
where RDDF and RDDF are the achievable rates at the relay
during the rst phase and at the destination during the second
(1)
phase, respectively. RDDF is obtained by
(1)
and RDDF is given in (11) at the top of the next page. Each
achievable rate in both phases can be obtained through the
same way as the rate in (8).
The achievable rate of DIF is classied into four cases
according to decoding capabilities of the interference signal
at relay and destination, when they treat the signal from S1 as
a noise. The achievable rates of the interference links treating
the desired signal as noise at the relay and at the destination
are given, respectively, by
23 P2,s
r
Rinf
,
(12)
= log2 1 +
1 + 13 P1,s
d
= log2 1 +
Rinf
21 P2,s
1 + 11 P1,s
21 P2,s + 31 Pr
.
+ (1 ) log2 1 +
1 + 11 P1,s
(14)
r
d
RDIF,1
Rinf
R2 , Rinf
R
r
d
Rinf < R2 , Rinf
DIF,2
RDIF =
r
d
RDIF,3
Rinf R2 , Rinf
r
d
RDIF,4
Rinf < R2 , Rinf
(17)
is summarized
R2
R2
. (18)
< R2
< R2
In the rst case, both relay and destination can decode the
interference signal since the received interference signal is
- 301 -
ICEIC 2015
(2)
RDDF
(11)
RDIF,3 = min log2 (1 + 11 P1,s ),
max log2 (1 + 11 P1,s + 21 P2,s ) + (1 ) log2 (1 + 11 P1,s + 21 P2,s + 31 Pr ) 2R2 ,
11 P1,s
11 P1,s
log2 1 +
+ (1 ) log2 1 +
1 + 21 P2,s
1 + 21 P2,s + 31 Pr
(16)
5
'')
',)
1RQUHOD\
Fig. 3.
'')
',)
1RQUHOD\
Fig. 4.
relay
ow moves from the direct link to the relaying link, and then
the achievable rate of DDF is saturated around 11 = 0.13.
At the same point of 11 , the rate of DIF is reduced sharply
because the state of DIF is transited from case1 to case3. In
other words, the cancelation of the interference signal without
sacricing R1 is impossible after 11 = 0.13. Although the
achievable rates of non-relaying direct transmission and DIF
go to zero as 11 decreases, the link from S1 to the relay is not
a bottleneck in this conguration. Therefore, the rate of DIF
overtakes that of DDF when 11 is larger than 0.05. Contrary
to the results of Fig. 3, however, the achievable rate of DDF is
growing without saturation as 13 increases as shown in Fig.
4. This is because the rate of DDF is directly and signicantly
related to the relaying link condition. Besides, although the rate
of DIF is sharply reduced at 13 = 0.1, the rate of DIF shows
the same rate of an interference free channel log2 (1 + 11 Ps,1 )
for a small 13 . The sharp rate decline of DIF is caused by
the reason that the relay cannot decode interferers signal while
- 302 -
ICEIC 2015
5
'')
',)
1RQUHOD\
Fig. 5.
5
R EFERENCES
'')
',)
1RQUHOD\
Fig. 6.
[1] T. Cover and A. E. Gamal. Capacity theorems for the relay channel,
IEEE Trans. Inform. Theory, IT-25(5):572-584, 1979.
[2] A. Sendonaris, E. Erkip, and B. Aazhang, User cooperation diversityPart I: System description, IEEE Trans. Commun., vol. 51, no. 11, pp.
1927-1938, Nov. 2003.
[3] A. Sendonaris, E. Erkip, and B. Aazhang, User cooperation diversityPart II: Implementation aspects and performance analysis, IEEE Trans.
Commun., vol. 51, no. 11, pp. 1939-1948, Nov. 2003.
[4] J. N. Laneman, D. N. C. Tse, and G. W. Wornell, Cooperative diversity in
wireless networks: Efcient protocols and outage behavior, IEEE Trans.
Inform. Theory, vol. 50, no. 12, pp. 3062-3080, Dec. 2004.
[5] J. N. Laneman, G. W. Wornell, Distributed space-time-coded protocols
for exploiting cooperative diversity in wireless networks, IEEE Trans.
Inform. Theory, vol. 49, no. 10, pp. 2415-2425, Oct. 2003.
[6] T. E. Hunter, S. Sanayei, and A. Nosratinia, Outage analysis of coded
cooperation, IEEE Trans. Inform. Theory, vol. 52, no. 2, Feb. 2006.
[7] O. Sahin and E. Erkip, Achievable rates for the Gaussian interference
relay channel, in Proc. IEEE Globecom Conference, 2007.
[8] T. Han and K. Kobayashi, A new achievable rate region for the interference channel, IEEE Trans. Inform. Theory, vol. 27, pp. 49-60, 1981.
[9] O. Sahin and E. Erkip, On achievable rates for interference relay channel
with interference cancelation, in Proc. Asilomar Conf. on Signals, Systems
and Computers, 2007.
[10] O. Sahin and E. Erkip, Cognitive relaying with one-sided interference,
in Proc. Asilomar Conf. on Signals, Systems and Computers, 2008.
[11] S. Srdharan, S. vishwanath, S. A. Jafar, and S. Shamai, On the capacity
of cognitive relay assisted Gaussian interference channel, IEEE Int. Symp.
Inform. Theory, 2008.
[12] R. Dabora, I. Maric, and A. Goldsmith, Relay strategies for interference
forwarding, in IEEE Infom. Theory Workshop, 2008.
[13] I. Maric, R. dabora, and Goldsmith, On the capacity of the interfernce
channel with a relay, in IEEE Int. Symp. Inform. Theory, 2008.
[14] I. Maric, R. Dabora, and A. Goldsmith, Generalized relaying in the
presence of interference, in Proc. Asilomar Conf. on Signals, Systems
and Computers, 2008.
[15] R. Dabora, I. Maric, and A. Goldsmith, Interference forwarding in
multiuser networks, in Proc. IEEE Globecom Conference, 2008.
- 303 -
ICEIC 2015
Oral Session
FO-2-5
Special Symposium on Semiconductor
and Device II
FO-2-5-1
$Q5) Gm - C /RZSDVV)LOWHU$GRSWLQJ7UDQVFRQGXFWRU
/LQHDUL]DWLRQ7HFKQLTXH
&KLKRRQ&KRL.XGXFN.ZRQ,ONX1DP
$EVWUDFW
In this paper, a linearization method for a
transconductor using V-NPN BJTs is proposed.
The proposed Gm - C low-pass filter achieve 8.5dB improvements over conventional circuits in the
output-referred
third-order
intercept
point,
respectively. In this paper, a linearization method for
a transconductor using V-NPN BJTs is proposed.
)LJ6FKHPDWLFRIWKHILUVWRUGHU G - C ORZSDVVILOWHUDGRSWLQJ
m
WKHSURSRVHGWUDQVFRQGXFWRU
,QWURGXFWLRQ
7RGHVLJQWUDQVFRQGXFWRUVZLWKKLJKSHUIRUPDQFH
IRU5)DQGDQDORJFLUFXLWVELSRODUMXQFWLRQWUDQVLVWRUV
%-7V ZKLFK DUH JHQHUDOO\ SURYLGHG WKURXJK D
%L&026SURFHVVDUHSUHIHUUHGVLQFHWUDQVFRQGXFWRUV
J P XVLQJ %-7V KDYH ODUJHU J P DQG ORZHU ORZ
QRLVH SHUIRUPDQFH WKDQ GR WUDQVFRQGXFWRUV XVLQJ
026)(7V>@
,Q WKLV SDSHU D OLQHDUL]DWLRQ WHFKQLTXH IRU D
WUDQVFRQGXFWRU XVLQJ YHUWLFDO 131 9131 ELSRODU
MXQFWLRQ WUDQVLVWRUV%-7V LQ D GHHS QZHOO &026
SURFHVV LV SURSRVHG WR DFKLHYH KLJK OLQHDULW\
SHUIRUPDQFHZLWKRXWGHJUDGLQJSRZHUHIILFLHQF\DQG
QRLVHSHUIRUPDQFH7KHSURSRVHGPHWKRGLVYHULILHG
E\ WKH H[SHULPHQWDO UHVXOWV REWDLQHG IRU D
WUDQVFRQGXFWRULPSOHPHQWHGLQDPGHHSQZHOO
&026SURFHVV
3URSRVHG Gm - C $GRSWLQJ/LQHDUL]DWLRQ
7HFKQLTXH
)LJ VKRZV WKH ILUVWRUGHU 5) Gm - C ORZSDVV
ILOWHUDGRSWLQJWKHSURSRVHGWUDQVFRQGXFWRURI)LJ
7KHFRPELQHG3'7DQG)'7LVXVHGDVDYROWDJHWR
FXUUHQW FRQYHUVLRQ GHYLFH DQG WKH RXWSXW FRPPRQ
PRGH YROWDJH OHYHO RI WKH WUDQVFRQGXFWRU LV VHW WR D
FRQVWDQW YDOXH ZLWK D FRPPRQPRGH IHHGEDFN
&0)%ORRSDVVKRZQLQ)LJD
$Q HUURU DPSOLILHU ZKLFK LV VKRZQ LQ )LJ E
VHQVHV WKH YROWDJH GLIIHUHQFH EHWZHHQ 92871 DQG
92873DQGIHHGVLWEDFNWRWKHJDWHVRIWKHS026ORDG
WUDQVLVWRUV0DPG0LQ)LJD)RUVWDELOL]DWLRQ
RIWKHWZRVWDJH&0)%ORRSWKHJDLQRIWKH&0)%
ORRSLVUHGXFHGE\DVRXUFHGHJHQHUDWLRQUHVLVWRU5GHJ
DQGWKHORRSVSKDVHPDUJLQLVLPSURYHGE\WKH0LOOHU
FDSDFLWRUC&0)%
7KH WUDQVFRQGXFWDQFH RI WKH SURSRVHG WUDQV
FRQGXFWRULVJLYHQE\
I R
Gm n c
VT
- 305 -
ICEIC 2015
7KH LQSXWUHIHUUHG QRLVH YROWDJH RI WKH SURSRVHG
WUDQVFRQGXFWRULVH[SUHVVHGDV
kT >rb 1 rb 3 n g mv @
2
2
x ' f
kT
g m5
KP
Vn #
2
Q g mv1
g m5 COX W5 L5 f
)LJ&KLSPLFURSKRWRJUDSKRIWKHILUVWRUGHU Gm - C ORZSDVV
ILOWHU
0HDVXUHPHQW5HVXOWV
7KHILUVWRUGHU Gm - C ORZSDVVILOWHUDGRSWLQJWKH
SURSRVHGWUDQVFRQGXFWRUZHUHLPSOHPHQWHGLQD
)LJ0HDVXUHGIUHTXHQF\UHVSRQVHRIWKHILUVWRUGHU Gm - C ORZ
SDVVILOWHUDGRSWLQJWKHSURSRVHGWUDQVFRQGXFWRU
GHHSQZHOO&026SURFHVVWRSURYHWKHIHDVLELOLW\
RIWKHSURSRVHGOLQHDUL]DWLRQWHFKQLTXH7KHFKLSVL]H
RI WKH ILUVWRUGHU Gm - C ORZSDVV ILOWHU LV PP
PP ,W GUDZV P$ IURP D 9 VXSSO\
YROWDJH7KHPHDVXUHGIUHTXHQF\UHVSRQVHRIWKHILOWHU
LVVKRZQLQ)LJ7KHFXWRIIIUHTXHQF\RIWKHILOWHULV
0+]$VVKRZQLQ)LJWKHPHDVXUHG2,3LV
G%P ZKHQ WZR WRQHV RI DQG 0+] DUH
DSSOLHG %\ DGRSWLQJ WKH SURSRVHG OLQHDUL]DWLRQ
WHFKQLTXHWKH Gm - C ORZSDVVILOWHUDFKLHYHVG%
RI 2,3 LPSURYHPHQW ZLWK WKH VDPH SRZHU
FRQVXPSWLRQ7DEOHVXPPDUL]HVWKHSHUIRUPDQFHRI
Gm - C ILOWHU,WLVQRWHGWKDWWKHSURSRVHGPHWKRGFDQ
ORZSDVVILOWHUDGRSWLQJWKHSURSRVHGWUDQVFRQGXFWRU
7DEOH3HUIRUPDQFH6XPPDU\RI7KH Gm - C ORZSDVVILOWHU
(PSOR\LQJ7KH3URSRVHG7UDQVFRQGXFWRU
7UDQVFRQGXFWRU
WRSRORJ\
&RQFOXVLRQ
$ OLQHDUL]DWLRQ PHWKRG XVLQJ 9131 %-7V LQ D
GHHSQZHOO&026SURFHVVLVSURSRVHGWRLPSURYHWKH
G\QDPLF UDQJH RI D WUDQVFRQGXFWRU 7KH SURSRVHG
PHWKRGPDNHVWKHRYHUDOO J P RIWKHWUDQVFRQGXFWRU
FORVHWR]HURE\FRPELQLQJD9131%-73'7DQGD
9131 %-7 )'7ZLWK WKHSURSHU FXUUHQWUDWLR 7KH
SURSRVHG ILUVWRUGHU Gm - C ORZSDVV ILOWHU DFKLHYH
G%2,3LPSURYHPHQWVUHVSHFWLYHO\7KHPHWKRG
FDQ DOVR EH HPSOR\HG WR DFWLYH PL[HUV DQG %%$
FLUFXLWV 7KH SURSRVHG WHFKQLTXH LV HVSHFLDOO\
EHQHILFLDO IRU %%$ FLUFXLWV LQ GLUHFWFRQYHUVLRQ
UHFHLYHUV '&5V DQG ORZ,) UHFHLYHUV EHFDXVH LW
SURYLGHV KLJK OLQHDULW\ SHUIRUPDQFH DV ZHOO DV ORZ
/f QRLVHSHUIRUPDQFH
9131
)'7
9131
3'7
3URSRVHG
7UDQVGXFWRU
*DLQG%
1)G%
2,3G%P
&XUUHQWFRQVXPSWLRQ
&KLSDUHDPP
PP
6XSSO\YROWDJH9
7HFKQRORJ\
GHHSQZHOO&026SURFHVV
3DUDPHWHUYDOXHVRIWKHVHEORFNVDUHVLPXODWHG
$FNQRZOHGJPHQW
7KLV UHVHDUFK ZDV VXSSRUWHG E\ %DVLF 6FLHQFH
5HVHDUFK 3URJUDP WKURXJK WKH 1DWLRQDO 5HVHDUFK
)RXQGDWLRQRI.RUHD15)IXQGHGE\WKH0LQLVWU\RI
(GXFDWLRQ
5HIHUHQFHV
>@ ' $ 5LFK HW DO %L&026 WHFKQRORJ\ IRU
PL[HGGLJLWDO DQDORJ DQG 5) DSSOLFDWLRQV ,(((
0LFURZ0DJYROQRSS-XQ
- 306 -
FO-2-5-2
ihwcho@ucdavis.edu
Abstract
2. Experiments
1. Introduction
Detection of overheating is an important issue in the
electronic systems. Among various types of
temperature detectors, Schottky diode type temperature
detector with Bi2Mg2/3Nb4/3O7 (BMNO) layer showed
a remarkable sensitivity [1]. Although its operating
mechanism has been already studied, reliability
characteristics need to be analyzed for optimized
device performances. Low-frequency noise would be a
highly sensitive index of the material quality and
device reliability since its time dependence reveals the
presence of imperfections and traps that significantly
affects the quality and reliability [2]. In this work, the
material quality of BMNO is closely investigated
varying the process condition performing the lowfrequency noise measurements. For the analyses,
BMNO samples prepared at different annealing
temperatures, 600C, 700C, and 800C, are measured
and the relation between material quality and device
reliability will be studied.
- 307 -
ICEIC 2015
(a)
(a)
(b)
(b)
(c)
Fig. 2 1/f noise characteristics from devices prepared at
different annealing temperature. (a) 600C, (b) 700C,
and (c) 800C.
Fig. 2 demonstrates the low-frequency noise
characteristics of the BMNO-based sensors with
temperature variation. The measurement voltage was
varied from -1 V to -10 V. As shown in the figures,
(c)
Fig. 3 Power spectral density (PSD) as a function of
current from samples prepared at different
temperatures. (a) 600C, (b) 700C, and (c) 800C.
The sample prepared at 700C also showed
different slopes in the current vs. PSD curves as shown
in Fig. 3. Slope of 1 comes from fluctuations in
- 308 -
ICEIC 2015
4. Conclusion
Reliability of temperature sensors with BMNO
layer have been investigated by low-frequency noise
measurements. It is revealed that annealing
temperature has an effect of changing trap density in
the BMNO layer and the sample prepared at 700C
showed slightly different characteristics compared with
those processed at 600C and 800C in terms of PSD
dependence on frequency and injection current.
Acknowledgement
This research was supported by the Basic Science
Research Program through the National Research
Foundation of Korea (NRF) funded by the Ministry of
Education, Science and Technology (MEST) (No.
2014R1A1A1006439).
References
[1] J.-M. Lee, I.-T. Cho, J.-H. Lee, S.-G. Yoon, and I. H.
Cho, Enhancement of Temperature Sensitivity for Metal
InsulatorSemiconductor Temperature Sensors by Using
Bi2Mg2/3Nb4/3O7 Film, Japanese Journal of Applied Physics,
pp. 080602-1, July 2012.
[2] J.-H. Lee, S.-Y. Kim, I. Cho, S. Hwang, and J.-H. Lee,
1/f Noise Characteristics of Sub-100 nm MOS Transistors,
Journal of Semiconductor Technology and Science, pp. 3842. 2006.
- 309 -
FO-2-5-3
A new multiple frequency out of DLL with Glitch Elimination and Phase Interpolator
for DDR4
Wei-Bin Yang 1, Chi-Hsiung Wang 1, Kuo-Hsiang Hsu 1, Han-Hsien Wang 1,
Yu-Yao Lin 1, Horng-Yuan Shih 1, Yu-Lung Lo 2
1 Department of Electrical Engineering, Tamkang University, New Taipei City, Taiwan
2 Department of Electrical Engineering, National Kaohsiung Normal University, Kaohsiung, Taiwan
robin@ee.tku.edu.tw, chwang@gmail.com, jackie240246@gmail.com, yllo@nknu.edu.tw
Abstract
This paper describes a delay-locked loop (DLL)
architecture. In this paper, phase-combining delaylocked loop (DLL) architecture is the low power
architecture. The proposed glitch elimination circuit
reduced the phase detector (PD) glitches and the charge
pump (CP) current mismatch. And The DLL architecture
used the delay-time-adjustment phase interpolator
(DTAPI), and the phase combiner circuit generating
multiple output frequency. The proposed circuit has been
design by a 90nm CMOS process technology and using
computer simulation. The proposed phase-combining
delay-locked loop (DLL) achieves four frequency output
(200, 400, 800, and 1600MHz). The simulations show
that the jitter and power are 99 ps at 200MHz, 33 ps at
1600MHz and 2.78 mW.
Keywords: Delay-Locked Loop, DLL, Phase Interpolator,
Phase Combiner.
1. INTRODUCTION
Currently, DDR3 SDRAM is widely used as a main
memory of PC and server systems. It provides reasonable
performance focusing on the reliability of data retention.
However, the explosive growth of mobile devices such
as smartphones and tablet PCs requires a very large
number of server systems [1]. And, higher performance
server systems are required due to the advent of highbandwidth network and the rise of high-capacity
multimedia content. A main memory of server system also
has to have the low power and high performance features
because it is one of the critical components of server
systems [2].
DDR4 SDRAM is regarded as the next generation
memory for the computing and server systems. In
comparison with precedent DDR3 SDRAM, major
changes are supply voltage of 1.2 V, pseudo open drain
I/O interface, and high data rate from 1.6 Gb/s to 3.2 Gb/s
[3].
In DDR DRAM, the action of transferring data in or
out relies on both rising and falling edges of clock to
trigger. Therefore, the clock is desirable to have as less
static phase mismatch as possible. An ideal DLL can
generate a synchronous clock perfectly aligning to the
reference. Although in reality, there is a limit for
- 310 -
ICEIC 2015
A. Phase Detector (PD)
The drawback of some conventional phase detectors is
dead zone, which causes the phase error in the output
signal. [4]. When the phase error is within the dead zone,
the charge pump does not charge the capacitor, and the
phase jitter may appear [5].
Fig.2 shows the dynamic phase detector (DPD) [6-7]
for high-speed operation. The block diagram of DPD
consists of two half-transparent (HT) registers that operate
in concert to generate up and down signals. This PD has
only six transistors and the critical path is reduced to two
logic gate delays; no reset path is present in the phase
detector, so can overcome the speed limitation and make
the dead zone smaller than the conventional phase
detector. The DPD is a digital circuit, which is triggered
by the edge of the Fref and Fout of VCDL. According to the
phase difference between input signals, UPin is used to
increase and DNin is used to decrease the control voltage
of the loop filter.
(a)
(b)
Fig. 5. (a) The voltage controlled delay line (VCDL)
architecture, and (b) the delay cell circuit.
(a)
(b)
Fig. 3. (a) the architecture, and (b) the truth table of the
glitch elimination circuit
C. Charge Pump (CP)
In this work, a charge pump schematic associated with
the loop filter is shown in Fig. 4. The UPout and DNout
signals are activated to turn on the M11 or M14. The VCDL
delay increases when the control voltage of filter
decreases greatly. Thus, the delay between the Fout and Fref
will decrease rapidly until the Fout is close to the Fref[8-9].
- 311 -
ICEIC 2015
There are two situation when 1 and 2 have input
phase. One is when input phase 1 and 2 are same phase
C1 and C2 opening at the same time to charge(or discharg)
the capacitor; the other is when input phase 1 leading
phase 2 the C2 opening to charge(or discharg) the
capacitor, until 2 arrives and C1 opening to charge the
capacitor with C2 at the same time.
(a)
(a)
(b)
Fig. 6. (a) The delay-time-adjustment phase interpolator
(DTAPI) circuit and (b) architecture of DTAPI.
F. Phase Combiner Circuit
Phase combiner circuit is a circuit which makes lowfrequency turn into one or multiple high-frequency. There
are many elements to be considered on the design of
phase combiner circuit. For example, the range of
frequency, power consumption, phase noise suppression,
output loading. Thus, design, choose an appropriate
frequency combiner is very important to Delay-Locked
Loop(DLL).
In order to be used for more circuit, so DLL need large
operating bandwidth range. Therefore, we use the
frequency combiner in DLL architecture. The clock
frequency of frequency combiner and phase combiners
function is[12],
Fout
x
u Fref
y
(b)
Fig. 7. (a) The twice the output frequency of the phase
combiner circuit, and (b) the 16 times the output
frequency of the phase combiner circuit.
Fig. 7(a) is twice the output frequency of the phase
combiner circuit and Fig. 7(b) is sixteen times the output
frequency of the phase combiner circuit sample. The
phase combiner circuits use the characteristics pseudo
differential delay component (eliminates common mode
gain and the output of the circuit is maintained at 50%
duty cycle).The advantage of this circuit is simply to find
the two different clock which away from each other 90,
can make two multiplier circuit. In our system of DLL,
VCDL outputs 16 phase to the interpolation circuit, The
interpolation circuit outputs 32 phase to the frequency
combiner, and we use these 32 phase to turn 100MHz into
200MHz, 400MHz, 800MHz, 1600MHz, to apply more
circuitry.
(1)
3. SIMULATION RESULTS
The proposed circuits of phase-combining delaylocked loop (DLL) had been designed in the previous
sections. The DLL have been designed and simulated by
SPECTRE in a 90nm CMOS process technology.
- 312 -
ICEIC 2015
Fig. 8 is the transient simulation of the PD, Glitch
Elimination Circuit and CP. The simulation of the Fref and
Fout is leading and lagging state. When equal to the Fout
phase of the Fref phase, the PD have glitches at UPin and
DNin. Through the glitch elimination circuit, the glitches
are eliminated. The CP is charging or discharging to
capacitance maked smooth and no glitch at Vctrl. Fig. 9 is
the phase-combining delay-locked loop (DLL) simulation.
The simulation of phase-combining delay-locked loop
(DLL) is Fref, 200MHz, and 1600MHz. The jitter of output
signals are 99 ps at 200MHz, and 33 ps at 1600MHz. The
power dissipation is 2.78 mW.
4. CONCLUSION
In this paper, we proposed the glitch elimination circuit
reduce the PD output glitch. And the glitch elimination
circuit makes reduce the CP current mismatch, and system
frequency jitter. The proposed phase-combining delaylocked loop (DLL) architecture generates four frequency
output. Simulations show that the jitter and power are 99
ps at 200MHz, 33 ps at 1600MHz and 2.78 mW.
ACKNOWLEDGEMENT
The authors would like to thank the National Chip
Implementation Center and Ministry of Science and
Technology, Taiwan, for supporting this work,
respectively.
REFERENCES
[1] R. Ramakrishnan, CAP and cloud data management,
Computer, vol.45, no. 2, pp. 4349, Feb. 2012
[2] M. E. Tolentino, J. Turner, and K. W. Cameron, Memory
MISER: Improving main memory energy efficiency in
servers, IEEE Trans. Comput, vol. 58, no. 3, pp. 336350,
Mar. 2009.
[3] Yo-Hao Tu, Kuo-Hsing Cheng, Hsiang-Yun Wei, and
Hong-Yi
Huang, A low jitter delay-locked-loop applied for
DDR4, 2013 IEEE 16th International Symposium on
Design and Diagnostics of Electronic Circuits & Systems
(DDECS), pp. 98-101, April 2013.
[4] Fang-Ren Liao and Shey-Shi Lu, A Programmable EdgeCombining DLL with aCurrent-Splitting Charge Pump for
Spur Suppression, IEEE Transactions on Circuits and
Systems II: Express Briefs, vol. 57, no. 12, pp. 946-950,
Dec. 2010.
[5] S. Kim, K. Lee, Y. Moon, D. K. Jeong, Y. Choi, and H. K.
Kim, A 960-Mb/s/pin Interface for Skew-Tolerant Bus
Using Low Jitter PLL, IEEE J. Solid-State Circuits, vol.
32, pp. 691-700, May 1997.
[6] J. Yuan and C. Svensson, Fast CMOS nonbinary divider
and counter, Electronics Letters, vol. 29, no. 13, pp. 12221223, June 1993.
[7] J. Yuan and C. Svensson, High speed CMOS circuit
technique, IEEE Journal of Solid-State Circuits, vol. 24,
no. 1, pp. 62-70, Feb. 1989.
[8] H. O. Johansson, A Simple Precharged CMOS Phase
Frequency Detector, IEEE Journal of Solid-state Circuits,
vol. 33, no. 2, pp. 295-299, Feb. 1998.
[9] Kuo-Hsing Cheng, Shu-Ming Chang Yu-Lung Lo and
Shu-Yu Jiang, A 2.2 GHz Progmmable DLL-based
Frequency Multiplier for SOC Applications, Proceedings
of 2004 IEEE Asia-Pacific Conference on Advanced
System Integrated Circuits 2004, pp. 72-75, Aug, 2004.
[10] W. S. T. Yan and H. C. Luong, A 900-MHz CMOS lowphase noise Voltage-Controlled Ring Oscillator, IEEE
Transactions on Circuits and Systems II: Analog and
Digital Signal Processing, vol. 48, no. 2, pp. 216-221, Feb.
2001.
[11] Wei-Bin Yang, Chi-Hsiung Wang, Sheng-Shih Yeh, and
Chao-Cheng Liao, A multiple frequency clock generator
using wide operation frequency range phase
interpolator, Microelectronics Journal 44(8), pp.688-695,
May 2013.
[12] Wei-Bin Yang, Chi-Hsiung Wang, Sheng-Shih Yeh, and
Chao-Cheng Liao, A multiple frequency clock generator
using wide operation frequency range phase
interpolator, Microelectronics Journal, vol. 44, no. 8,
pp.688-695, May 2013.
- 313 -
FO-2-5-4
1. Introduction
Due to the limit of scaling, increasing cost, and
reliability issue in planar (2-D) NAND flash memory, 3D stacked NAND flash memory has been considering
very promising candidate. In word-line stacked structures
[1]-[3], the gate dielectric stack including nitride storage
layer surrounds the tube-type polycrystalline silicon
(poly-Si) body standing vertically. However, the traps of
grain boundary in poly-Si body induces some side effects
[4]. Although there were many reports on the result
coming from poly-Si channel, they were mostly limited to
thin-film transistors. Our previous work has reported the
interface trap density (Dit) of the cells in 3-D stacked
NAND flash memory by using conductance method [5].
However, it has reported accurate trap profile in a limited
sub-bandgap energy of Poly-Si/SiO2 interface.
In this paper, we extract the Dit in a wide-range energy
level by measuring capacitance at different temperatures
(T). Therefore, we predict trap profile in a wide subbandgap energy at poly-Si/SiO2 interface of 3-D stacked
NAND flash devices with thin tube-type poly-Si body.
2. Experiments
The 3-D stacked NAND flash memory was fabricated at
Temperature
controller
ONO
SiO2
C-V measure
MG
B1500A
(B1520A)
BL2
BLn
All WLs
SiO2
VDSL, VSSL
Poly-Si Body
B1500A
(b)
(a)
@ Same Temperature
High Frequency
Low Frequency
EC
EC
Traps
EF
EV
Traps
Blocking
Nitride
layer
EF
Traps
EV
Poly body
Gate
@ Same Frequency
Low Temperature
High Temperature
EC
EV
Poly body
Tunneling
oxide
Tunneling
oxide
EF
Poly body
Tunneling
oxide
(c)
- 314 -
ICEIC 2015
@Program
60
30
0
10
3.0
-1
D it (10 cm eV )
20
0
2.4
VCG (V)
10
10
10
10
Extracted D
it
Temperature
Gaussian
-40 qC
0 qC
25 qC
-2
12
Capacitance (pF)
60
40
10
80
-40 qC
-20 qC
0 qC
25 qC
50 qC
75 qC
Frequency (Hz)
Fig. 3. Measured Gp/ of cells in program state versus at different VCG
Freq. : 1 kHz
@ 70qC
VCG=3.05~4.05 V
90
@Program
100
@ 25qC
VCG=3.55~4.35 V
Gp/Z (nF)
@ -40qC
VCG=4.15~4.8 V
75 qC
E (0.56 eV)
1.8
1.2
0.6
0.5
0.4
0.3
0.2
0.1
0.0
E -E (eV)
C
Fig. 4. Extracted Dit versus EC-ET in 3-D stacked NAND flash memory
3
References
[1]
[2]
[3]
[4]
4. Conclusion
In this paper, we have extracted Dit profile at the polySi/SiO2 interface in the cell devices of 3-D stacked
NAND flash memory having tube-type poly-Si body. To
extract accurate sub-bandgap interface trap profile,
[5]
[6]
- 315 -
FO-2-5-5
Abstract
The main contribution of this paper is design, modeling,
and simulation of a readout integrated circuit (ROIC).
We present a ROIC design considering pair-wise, timemultiplexed, column-wise configuration along with
thermal modeling of uncooled microbolometer array.
Fully differential approach is used at the input stage in
order to reduce the fixed pattern noise due to process
variation and self-heating related issues. Pulse sequence
to each pair of microbolometers is provided such that
they are both under the same self-heating point along the
self-heating trend line. Process variation with 10% is
considered. The proposed design is simulated with a
reference input image consisting of an array of 127x92
pixels. This configuration uses only one unity gain
differential amplifier along with single 14-bit ADC in
order to minimize the dynamic range requirement for the
ROIC.
1. Introduction
Infrared uncooled thermal imagers have been
employed in a wide range of civilian and military
applications including smartphone cameras,
industrial process monitoring, driver night vision
enhancement, and military surveillance. MEMS
microbolometer thermal detectors are the most
widely used pixel element detectors in today's
infrared uncooled thermal imaging cameras.
- 316 -
ICEIC 2015
PBIAS (t BIAS ) =
IR =
(1)
TIR =
(7)
Parameter
Value
Nominal Resistance, R0 ()
(2)
100e+3
20
300
11.7 [2]
-2.6 [2]
3.7e-8 [2]
4.34e-10 [2]
Optics F/Number
(3)
IR
G
(6)
Ab Tscene dP
( ) 300 K ,
dT
4F 2
(5)
2
I BIAS
R0 H
2
H I BIAS
t BIAS R0
6.25e-10
62 [2]
98 [2]
2.624 [2]
FPA Size
128 x 92
(4)
92 [2]
-2
10
- 317 -
ICEIC 2015
(8)
RIR = R0 ( . . . .TIR )
TCOOL ( ) = TB e
(9)
(10)
- 318 -
ICEIC 2015
(X/2)
(X/2) + 1
(X-1)
i=1Y,
4. Simulation Results
Fig.2. demonstrates the effect of self-heating by
measuring resistance versus bias current for pulse
duration of 20s and 10s. For pulse duration of
20s, increasing the bias current from 1A to 25A
results in a decrease in the nominal resistance Ro by
approximately 7000, which is equivalent to a
temperature rise of 2.5K. In order to minimize the
impact of self-heating, one way is to reduce the bias
current to the lowest practical value as shown in
Fig.2.
- 319 -
ICEIC 2015
References
[1] S. J. Hwang, H. H. Shin, and M. Y. Sung, "High
performance read-out IC design for IR image sensor
applications," Analog Integrated Circuits and Signal
Processing, vol. 64, pp. 147-152, 2009.
[2] D. Svrd, C. Jansson, and A. Alvandpour, "A readout
IC for an uncooled microbolometer infrared FPA with
on-chip self-heating compensation in 0.35 m CMOS,"
Analog Integrated Circuits and Signal Processing, vol.
77, pp. 29-44, 2013.
[3] B. F. Andresen, B. Mesgarzadeh, M. R. Sadeghifar,
P. Fredriksson, C. Jansson, F. Niklaus, A. Alvandpour,
G. F. Fulop, and P. R. Norton, "A low-noise readout
circuit in 0.35-m CMOS for low-cost uncooled FPA
infrared network camera," vol. 7298, pp. 72982F72982F-8, 2009.
[4] X.Gu, G.Karunasiri, J.Yu, G.Chen, U.Sridhar, and
W.J.Zeng, "On-chip compensation of self-heating effects
in microbolometer infrared detecto arrays," Sensors and
Actuators A: Physical, vol. 69, pp. 92-96, 1998.
5. Conclusion
Acknowledgment
The authors gratefully acknowledge the technical
and financial support of The Research Center of
The College of Engineering, Deanship of Scientific
Research, King Saud University.
- 320 -
FO-2-5-6
- 321 -
ICEIC 2015
0.6
|IDS|
0.4
|IGS|
VGS [V]
10
-7
10
-8
10
-9
10
-10
10
5
10
-11
(b)
7 W/L=150m/250m
VGS= 0 ~ -15V, -3V step
6
5
4
3
2
1
0
15
-6
VDS [V]
-4
-2
(a)
VGS [V]
|IDS| [A]
|IDS| [A]
-6
10
-7
10
-8
10
-9
10
-10
no passivation
10
PECVD SiO2 passivation
-11
10
-12
10
VDS = -1V
-13
10
-14 W/L = 150m/250m
10
-15 -10 -5
0
5
10
10
-6
10
-7
10
-8
10
-9
10
15
(b)
-6
10
-7
10
-8
10
-9
10
-10
10
-11
(a)
as-fabricated
50 days after
100 days after
no passivation
-15
-10
-5
10
-6
10
-7
VGS [V]
10
15
10
-8
10
-9
10
-10
10
-11
(b)
SU-8 passivation
-15
as-fabricated
50 days after
100 days after
-10
-5
VGS [V]
10
15
VDS = -1V
W/L = 150m/250m
References
no passivation
SU-8 passivation
-10
-15
10
|IDS| [A]
|IDS| [A]
0.8
-6
|IDS| [A]
1.0
10
(a)
1.2
-10
-5
VGS [V]
10
15
. Conclusion
In this article, we examine the effects of passivation layer
on the long-term durability and bias stress stability in ptype SnO TFTs. The electrical performance of the SnO
TFTs without a passivation layer was hardly affected by
the oxygen partial pressure in the environments. For the
stable operation of SnO TFTs, two different materials of
PECVD SiO2 and spin-coated SU-8 were tested as a
passivation layer. The TFT lose its initial characteristics
and the conductivity becomes very low after forming the
passivation layer with PECVD SiO2, but no significant
degradation was observed in the electrical performance of
SU-8 passivated devices. The SnO TFTs with a SU-8
passivation layer show much improved long-term
durability under air environments compared to the devices
without a passivation layer.
Acknowledgements
This work was supported by the National Research
Foundation of Korea (NRF) grant funded by the Korea
government (MEST) (No. 2014-005368) and by
NanoMaterial Technology Development Program through
the National Research Foundation of Korea(NRF) funded
by the Ministry of Science, ICT and Future Planning
(2009-0082580).
- 322 -
ICEIC 2015
Oral Session
FO-3-3
Access Networks and Systems III
FO-3-3-1
,QWHUIHUHQFH0LWLJDWLRQLQ+HW1HWVXVLQJ3RZHU&RQWURODQG%HDP)RUPLQJ
.RQMHWL9LVZDQDGK*DULPHOOD5DPD0XUWK\
63&5&,QWHUQDWLRQDO,QVWLWXWHRI,QIRUPDWLRQ7HFKQRORJ\+\GHUDEDG
NRQMHWLYLVZDQDGK#UHVHDUFKLLLWDFLQUDPPXUWK\#LLLWDFLQ
$EVWUDFW
)HPWR &HOOV WKDW DUH LQVWDOOHG LQ PDFUR FHOOXODU
QHWZRUN IRUPV D WZRWLHU +HWHURJHQHRXV QHWZRUN
\LHOGLQJ DQ LQWHUIHUHQFH SUREOHP EHWZHHQ WKH WZR
OD\HUV 7KH LQWHUIHUHQFH PDQDJHPHQW LV RI D JUHDW
FRQFHUQWRGHSOR\IHPWRFHOOV7RDGGUHVVLQWHUIHUHQFH
LVVXH ZH SURSRVHG DQ LQWHJUDWHG WHFKQLTXH RI SRZHU
FRQWURODQGEHDPIRUPLQJZLWKRXWDQ\UHTXLUHPHQWRI
FKDQQHO VWDWH LQIRUPDWLRQ &6, 7KH EHDP IRUPLQJ LV
LPSOHPHQWHG E\ WKH XVH RI GLUHFWLRQ RI DUULYDO 'R$
XVLQJ DGDSWLYH DQWHQQD V\VWHPV 6LPXODWLRQV VKRZ D
FOHDU LPSURYHPHQW LQ PLWLJDWLQJ WKH FURVVWLHU
LQWHUIHUHQFH
.H\ZRUGV+HW1HWV3RZHUFRQWURO%HDPIRUPLQJ
'LUHFWLRQRIDUULYDODGDSWLYHDQWHQQDVV\VWHPV
,QWURGXFWLRQ
5HVHDUFKHUV VKRZ WKDW PRVW RI WKH GDWD WUDIILF LQ
FHOOXODUQHWZRUNLVJHQHUDWHGIURPLQGRRUHQYLURQPHQWV
:KLOH RQ WKH RWKHU KDQG WKH SHQHWUDWLRQ ORVVHV PDNH
WKH UHFHSWLRQ GLIILFXOW LQ LQGRRU HQYLURQPHQWV )HPWR
FHOOLVDRQHRI WKHEHVW ZD\VWRDOOHYLDWH WKHVHLVVXHV
%XW WKH UDQGRP GHSOR\PHQW RI IHPWR FHOOV FUHDWHV
LQWHUIHUHQFH WR WKH H[LVWLQJ FHOOXODU QHWZRUNV VXFK DV
,(((PRU*33/7(IRUPVWZRWLHU+HW1HWV
7R PLWLJDWH VXFK LQWHUIHUHQFH SUREOHPV WKH EDVLF
VWUDWHJ\HPSOR\HGZKLOHLQVWDOOLQJWKHIHPWRFHOOVLVWR
HLWKHU DEDQGRQ WKH VSHFWUXP EDQG XVHG IRU QHDUE\
PDFUREDVHVWDWLRQRUWRFRQWUROLQWHUIHUHQFHOHYHOV%XW
DEDQGRQLQJ WKH EDQG ZRXOG UHVXOW LQ UHGXFWLRQ RI
HIILFLHQF\ RI IHPWR FHOO XWLOLW\ +HQFH WKH ODWWHU LV
JHQHUDOO\DSUHIHUUHGDSSURDFK
,QDW\SLFDOVFHQDULRWKHIHPWRFHOOXVHUVZRXOG EH
VDYHG IURP WKH LQWHUIHUHQFH FDXVHG E\ 0%6 E\
FRQWUROOLQJ WUDQVPLW SRZHU ZUW 6,15 +RZHYHU )%6
ZLOO FDXVH VLJQLILFDQW LQWHUIHUHQFH WR L QHLJKERULQJ
)%6 DQG LL QHDUE\ 0%6 XVHUV 7KH LQWHUIHUHQFH
SUREOHPWRWKHIRUPHULVDGGUHVVHGLQ >@DQLQWHOOLJHQW
YDULDWLRQRISRZHUFRQWUROPHWKRGGLVFXVVHGLQVHFWLRQ
:KLOH VROYLQJ WKH LQWHUIHUHQFH SUREOHP FUHDWHG E\
WKHIHPWRFHOOWRWKHQHDUE\0%6XVHUVLVWKHVXEMHFWRI
WKLV SDSHU ,Q WKLV SDSHU ZH SURSRVH D WHFKQLTXH LQ
ZKLFKQHDUE\ 0%6XVHUVFRXOGEHDYRLG E\ WKH XVDJH
RIPXOWLSOHDQWHQQDVDWIHPWRFHOODFFHVVSRLQW
7KH UHVW RI WKH SDSHU LV RUJDQL]HG DV IROORZV
6HFWLRQ H[SODLQV WKH SUHYLRXV ZRUN 7KH SURSRVHG
VROXWLRQ IRU LQWHUIHUHQFH PLWLJDWLRQ LV SUHVHQWHG LQ
VHFWLRQ 6LPXODWLRQ UHVXOWV DUH SORWWHG LQ VHFWLRQ
IROORZHGE\FRQFOXVLRQLQVHFWLRQ
%DFNJURXQG
7KH PDMRU FKDOOHQJHV HQFRXQWHUHG E\ +HW1HWV >@
LQFOXGH GHVLJQ RI PXOWL WLHU QHWZRUNV LQWHUIHUHQFH
PDQDJHPHQW VSHFWUXP UHVRXUFH DOORFDWLRQV DQG
EDFNKDXO PDLQWHQDQFH DQG UHODWHG LVVXHV ,QWHUIHUHQFH
PDQDJHPHQWLVWKHVXEMHFWRIWKLVSDSHU
7KHLQWHUIHUHQFHLQWZRWLHU+HW1HWVDUHFODVVLILHGLQWR
WKHIROORZLQJIRXUW\SHV
,QWHUIHUHQFH IURP PDFUR FHOO EDVH VWDWLRQ WR
IHPWRFHOOXVHUV
,QWHUIHUHQFH IURP QHDUE\ PDFUR FHOO XVHUV WR
IHPWRFHOOXVHUV
,QWHUIHUHQFH IURP IHPWR FHOO EDVH VWDWLRQ WR
QHDUE\PDFURFHOOXVHUV
,QWHUIHUHQFH IURP IHPWR FHOO EDVH VWDWLRQ WR
QHLJKERULQJIHPWRFHOOXVHUV
7KH WZR FRPPRQ VROXWLRQV RIIHUHG WR PLWLJDWH
LQWHUIHUHQFH DUH LQWHUIHUHQFH FRQWURO DQG LQWHUIHUHQFH
DYRLGDQFH 0RVW RI WKH SUHYLRXV ZRUNV UHTXLUH HLWKHU
WKHFKDQQHOVWDWHLQIRUPDWLRQ&6,RUWKHFRRUGLQDWLRQ
IURP WKH PDFUR FHOO EDVH VWDWLRQV 2QH RI WKHVH
WHFKQLTXHV
LQFOXGH
,QWHU&HOO
,QWHUIHUHQFH
&RRUGLQDWLRQ ,&,& >@ DV QDPH VXJJHVWV LW
FRRUGLQDWHV EHWZHHQ QHLJKERULQJ IHPWR FHOOV WR DYRLG
WKH LQWHUIHUHQFH %XW WKLV FRRUGLQDWLRQ ZLOO EHFRPH
FRPSOH[ DV WKH QXPEHU RI IHPWR FHOOV LQFUHDVHV 7KH
RWKHUFRPPRQO\HPSOR\HGWHFKQLTXHLV3RZHU&RQWURO
>@ LQ ZKLFK WKH WUDQVPLW SRZHU RI IHPWR FHOO EDVH
VWDWLRQLVFRQWUROOHGWRPLWLJDWHLQWHUIHUHQFHW\SHDQG
W\SH %XW LW LQ WXUQ LQFUHDVHV WKH QHLJKERULQJ
- 324 -
ICEIC 2015
LQWHUIHUHQFHV W\SH %DVLF EHDP IRUPLQJ WHFKQLTXHV
UHTXLUH FKDQQHO VWDWH LQIRUPDWLRQ ,Q DGGLWLRQ WKH
SUHYLRXV ZRUNV GR QRW FRXQWHU DOO WKH IRXU W\SHV RI
LQWHUIHUHQFH
,Q WKLV SDSHU SRZHU FRQWURO DQG EHDP IRUPLQJ
DSSURDFKHV DUH HPSOR\HG WR FRXQWHU DOO WKH W\SHV RI
LQWHUIHUHQFHHVSHFLDOO\WKHLQWHUIHUHQFHIURPIHPWRFHOO
EDVHVWDWLRQWRQHDUE\PDFURFHOOXVHUVDQGLQWHUIHUHQFH
IURPIHPWRFHOOEDVHVWDWLRQ WR QHLJKERULQJ IHPWR FHOO
XVHUV
3RZHU&RQWURODQG%HDP)RUPLQJ
\N W = ZQ H M ZW + QN
Q =
:KHUH
ZHLJKWDSSOLHGWRWKHRXWSXWRIQWKHOHPHQW7KXVE\
RSWLPDO FKRLFH RI ZHLJKWV WKH DUUD\ ZLOO UHFHLYH D
ZDQWHG VLJQDO IURP GLUHFWLRQ N DQG UHFHLYH QXOOV
IURP WKH VRXUFHV ORFDWHG LQ WKH GLUHFWLRQ RWKHU WKDQ
N /LNHZLVHZHLJKWLQJQHWZRUNFDQEHRSWLPL]HGWR
VWHHU EHDPV LQ WKH ZDQWHG GLUHFWLRQ DQG QXOOV WR WKH
XQZDQWHGGLUHFWLRQ
,QWKLVSDSHUZHLQWHJUDWHSRZHUFRQWURODQGEHDP
IRUPLQJWHFKQLTXHVVRWKDWDOOWKHW\SHVRILQWHUIHUHQFH
DUHPLWLJDWHG
L 3RZHU&RQWURO
:HSURSRVHDWKUHVKROGUDQJHUDWKHUWKDQWKUHVKROG
OHYHO 7KLV WKUHVKROG UDQJH ZRXOG YDU\
IURP 7K/ < 6,15 < 7K8 ZKHUH WKH ORZHU ERXQG
7K/ JLYHV WKH PLQLPXP UHTXLUHG 6,15 YDOXH ZKLOH
WKH XSSHU ERXQG 7K8 JLYHV WKH PD[LPXP DOORZHG
6,15 YDOXH 7KH IRUPHU LV WKH GHILQLQJ SDUDPHWHU WR
RYHUFRPH WKH FURVVWLHU LQWHUIHUHQFH W\SH DQG W\SH
:KLOH WKH ODWWHU LV WR WDFNOH FRWLHU LQWHUIHUHQFH
W\SH DV LW FRQVWUDLQV WKH QHLJKERULQJ LQWHUIHUHQFH
SRZHUOHYHOV>@
LL %HDP)RUPLQJ
%HDP IRUPLQJ 0XOWLSOH DQWHQQD V\VWHP LV RQH RI
WKHVROXWLRQVWRW\SHLQWHUIHUHQFH,QWKLVSDSHUEHDP
IRUPLQJLVDFFRPSOLVKHGWKURXJKWKHXVDJHRIDGDSWLYH
DQWHQQD V\VWHP DQG FRPSXWLQJ GLUHFWLRQ RI DUULYDO
'R$,Q)LJVKRZWKHDGDSWLYHDQWHQQDV\VWHPV>@
FRQVLVWV RI D QDUURZ EDQG VLJQDO RI ZDYHOHQJWK
)LJ$GDSWLYHDQWHQQDV\VWHPHPSOR\HGLQRXUSDSHU
WRHVWLPDWH'R$DQGEHDPIRUPLQJ>@
7KH ZHLJKWV RI WKH DOJRULWKP ZQ DUH FRPSXWHG E\
PLQLPL]LQJ WKH RXWSXW SRZHU ZKLOH PDLQWDLQLQJ WKH
XQLW\UHVSRQVHLQWKHGHVLUHGGLUHFWLRQLH
0LQLPL]DWLRQRI Z
5;; Z
6XEMHFWHGWR Z QN =
7KLVFDQEHDFKLHYHGXVLQJ/HDVW0HDQ6TXDUH/06
>@
QN =
G Q
VLQ N
7KHVLJQDODWRXWSXWRIHDFKRIWKHDQWHQQDHOHPHQWV
FDQEH
; QN = H M ZW + QN
$QGWRWDODUUD\RXWSXWLQGLUHFWLRQRI N DV
6LPXODWLRQ5HVXOWV
,Q ILJ RQH FDQ LQIHU WKDW WKH SUREDELOLW\ RI
LQWHUIHUHQFH WR PDFUR FHOO XVHUV GXH WR IHPWR FHOOV
ZRXOG VLJQLILFDQWO\ UHGXFH E\ HPSOR\LQJ EHDP
IRUPLQJ ,Q ILJ WKH VLJQLILFDQW UHGXFWLRQ LQ
LQWHUIHUHQFHOHYHOVFDQEHREVHUYHG HYHQDWQXPEHU RI
DQWHQQDHOHPHQWVHTXDOWRRU
- 325 -
ICEIC 2015
3RZHUFRQWURO
%HDP)RUPLQJQ
%HDP)RUPLQJQ
3UREDELOLW\RI,QWHUIHUHQFH
7UDQVPLWSRZHULQP:
)LJ)HPWRFHOODFFHVVSRLQWWUDQVPLWSRZHUYVSUREDELOLW\RI
LQWHUIHUHQFHWRQHDUE\PDFURXVHUV
3UREDELOW\RI,QWHUIHUHQFH
1XPEHURIHOHPHQWVDW%6
)LJ1XPEHURIDQWHQQDHOHPHQWVDWIHPWRFHOODFFHVVSRLQWYV
SUREDELOLW\RILQWHUIHUHQFHWRQHDUE\PDFURXVHUV
&RQFOXVLRQ
7KHFRPELQDWLRQRILQWHOOLJHQWSRZHUFRQWURODQGEHDP
IRUPLQJUHVXOWHGLQVLJQLILFDQWUHGXFWLRQRIDOOWKHIRXU
W\SHV RI LQWHUIHUHQFHV HVSHFLDOO\ WKH W\SH
LQWHUIHUHQFH 7KLV WHFKQLTXH GRHV QRW UHTXLUH DQ\ &6,
IRULPSOHPHQWDWLRQ
5HIHUHQFHV
>@ . 9LVZDQDGK * 5DPD 0XUWK\ $ &RJQLWLYH )HPWR
&HOO $FFHVV 3RLQW LQ +HW1HWV WR 0LWLJDWH ,QWHUIHUHQFH
DFFHSWHGIRU,&,&7
>@6ZDOHV6LPRQ&HWDO7KHSHUIRUPDQFHHQKDQFHPHQW
RIPXOWLEHDPDGDSWLYHEDVHVWDWLRQDQWHQQDVIRUFHOOXODUODQG
PRELOH UDGLR V\VWHPV9HKLFXODU 7HFKQRORJ\ ,(((
7UDQVDFWLRQVRQ
>@ $GLED(/)DGO$QRXDU'DOOLDQG6HGGLN%UL&LUFXODU
3DWFK $UUD\ IRU 6PDUW $QWHQQD LQ &%DQG-RXUQDO RI
:LUHOHVV 1HWZRUNLQJ DQG &RPPXQLFDWLRQV
- 326 -
FO-3-3-2
1. Introduction
Recently, wireless sensor networks (WSNs) have
attracted a lot of attention thanks to the rapid
development in sensor and wireless technology, which
allows the use of WSNs for a wide variety of purposes.
WSNs are sets of large numbers of small, lower-power
devices equipped with integrated sensing and wireless
communication capabilities. They usually employ low
quality radio modules and have a dynamic topology
and resource constrained device nodes. The above
network consists of thousands of wireless node
distributed in a geographical area.
WSNs have appeared among of the most important
research areas thanks to their great potential to support
- 327 -
ICEIC 2015
We provide mathematical expressions to estimate
the dropping times and sensors landing positions
using parameters such as the wind speed intensity
and direction, altitude and velocity of the
quadcopter, and area geography.
The remaining of this paper is organized as follows.
Section 2 reviews the studies that have been conducted
to address the deployment in WSN. We give the
architectural issues of the network in Section 3. In
Section 4, we propose our deployment scheme to fully
cover an area with irregular wind forces. We show in
this section, in a first step, the deployment model of our
system by mathematical calculations of the forces
applied on the sensor when dropped from the
quadcopter and, in a second step, the impact of wind
forces on the deployment strategy. Section 5 presents
some simulations results. Finally, we conclude in
Section 6.
2. Related works
To set up the design of WSNs, the most
investigated issue is the sensor deployment. It consists
to decide what type of sensor nodes is needed and
where it should be deployed in order to achieve the
required network performances. The goal of the WSN
aims essentially to maximize the sensing and
communication coverage. Usually, sensors can be
placed in an area of interest, either deterministically or
randomly. A considerable literature addressing various
deployment methods either for random or deterministic
deployment in WSNs has been developed. In general,
the deterministic nodes deployment can be considered
as static nodes placement with controlled deployment.
However, the random deployment can be classified into
two classes namely, the static nodes placement with
random deployment and the dynamic nodes placement
with random deployment [1].
In the first category of deployment, the static nodes
placement with controlled deployment [2, 3], sensors
are static and do not change their positions. Using this
method allows an optimal and guaranteed quality of
coverage where the deterministic sensors are placed in
positions chosen to achieve better and full monitored
area coverage. Static sensors deployment requires prior
knowledge of the deployment locations and the overall
geometry of the deployment area. However, positioning
the static sensor nodes at specific places necessitates
line of sight communication, and easy, direct and full
control of the monitored area. This fail hardly and not
always guaranteed on hazardous, constrained and large
monitored areas, due to the nature of geographic
environment and since the sensors cannot be placed in
- 328 -
ICEIC 2015
variations. Even though the proposed methods present
an important approach for the deployment of WSN in
the presence of irregularity, they may not be directly
applicable in outdoor 2D constrained applications
where the irregularity phenomenon is studied after
deploying sensors, which does not meet the
requirement of constrained applications.
A good sensor deployment method is necessary,
thus, to deploy real world WSN taking into account the
climate conditions, the nature of the monitored area,
and the obstacle irregularities in target area for 2D
constrained applications
3. Network Architecture
Assume given an area to be covered using WSNs, the
deployment scheme we propose assumes the dropping
of sensors from air using unmanned quadcopters [9].
The role of the quadcopter is to drop sensors from air
at specific locations and times. Figure 1 shows an
example of a quadcopter used to in [9].
4. Deployment Strategy
4.1. Deployment model
- 329 -
ICEIC 2015
The landing position of the dropped sensor is given
then by the following:
Pos Land (t Land ) (
Fx h
V0
g
Fz
m
2h F y h
,
,0)
g
Fz
g Fz
m
m
(5)
(1)
V (t ) (
0
t
t
F (u )
Fx (u )
Fz (u ) mg
du V0 , y
du,
du ) (2)
m
m
m
0
0
Pos F (t ) (
0 0
t u
Fy ( w)
Fx ( w)
dwdu V Ot ,
dwdu,
m
m
0 0
(3)
t u
Fz ( w)
1
dwdu gt )
m
2
0 0
PosF (t ) (
F
Fx
1 F
t V0 )t , y t , ( z g )t )
2m
2m
2 m
(4a)
(4b)
(7)
1 F
( z g )t h
2 m
(6)
2h
Fz
g
m
Fx h
V0 .
g
Fz
m
Fy h
2h
) (
) d
Fz
g
Fz
g
m
m
(8)
- 330 -
ICEIC 2015
Furthermore, to ensure a successful deployment of
WSN with full coverage and connectivity, we will
predict the landing position of the second dropping
sensor which requires precise prediction method.
This method aims to develop the mathematical
expressions that will consider the time separating two
dropping sensors in order to get a distance lower than a
given between two successive landing points.
Pos Land, 2 Pos Land,1
(9)
(10)
Fx , 2
2m
t Land, 2 V0 )t Land, 2 ,
(11)
2
Land, 2
t
,0)
2m
One can deduce, from equation (9), that:
( X Land, 2 X Land,1 ) 2 (YLand, 2 YLand,1 ) 2
(12)
Fx , 2
2m
2 (
(t Land,1
Fy , 2
2m
2
2
)
t Land
,1 )
V0
2m
(t Land,1
Fx ,1
(13)
Fy ,1
2
2
2
)
t Land
,1 )
V0
2m
1 F
( z g )t P( x, y)
2 m
(15)
5. Simulation
In this section, we perform some simulations
experiments to validate the hypothesis made (during
dropping) and the impact of the visibility distance d
and wind strength variations. We study the
performance of our system by discussing the variation
of the initial velocity V0 and the vertical wind FZ and
the variation of winds forces between two successive
drops and their impacts on the sensor landing positions.
In the simulation environment, it was assumed that
the sensor nodes were deployed in outdoor area from a
quadcopter from an altitude h equal to 70m, the mass
of the sensor is 100 g and g is the gravity which is
equal to 9.8 m/s. The first sensor is dropped from a
point A(0,0,h) at time t= o sec.
Three simulation experiments were conducted for that
reason. In each experiment, we choose different values
of the lateral and frontal wind to cope with the
variation of the wind speed and to use more efficient
wind speed for real conditions.
- 331 -
ICEIC 2015
visibility distance not exceeding an allowed value, the
initial velocity must be necessarily less than a threshold
value. Consequently, the estimation of the visibility
distance will be generally measured taking into account
the quadcopter initial velocity.
g ( Fz ) (
Fy h
Fx h
2mh
V0 .
) (
)
Fz mg
Fz mg
Fz mg
(16)
g ( Fz ) d 2
2h
Fz
g
m
(17)
following inequality:
Fz < -gm
(18)
Fy , 2
2m
2
t Land
,2
Fy ,1
2m
2
2
t Land
,1 )
(19)
- 332 -
ICEIC 2015
variations should not exceed a maximum value from
one drop to another so that the dropping position of the
second sensor exists and therefore, the sensor lands at a
distance at most d.
For a general case, high wind variations from one drop
to another involves that either estimated sensor landing
position will be very far away the visibility distance d
or the sensor dropping position could not exist.
6. Conclusion
Ensuring full coverage and connectivity of an area
with irregular conditions constitutes a challenging task
that we attempt to solve in this paper. In this paper, we
developed a deployment model for a WSN taking into
account realistic conditions of irregular wind forces on
the deployment strategy. We focused first on providing
a deployment model able to estimate the sensor landing
position and time. Then, we developed mathematical
expressions to estimate dropping times and sensors
landing positions using parameters such as the wind
speed intensity and direction, altitude and velocity of
the quadcopter, and area geography.
In future works, we will implement the proposed
deployment in realistic environment with irregular
vegetations and with the presence of obstacles based
special sensors.
References
[1] M. Younis and K. Akkaya, "Strategies and
techniques for node placement in wireless sensor
networks: A survey," in Elsevier Ad-Hoc Network
Journal, 6(2008), pp.621-655.
- 333 -
FO-3-3-3
1. Introduction
Temperature effect on the uniform FBGs are often
used in optical communication systems, especially in
sensor applications. One application is tunable
UDWDM, DWDM, WDM FBG filters for Passive
Optical Network Access [1]. The temperature can
influence the refractive index of material, temperature
increases with the increase in refractive index.
Compared to the thermo-optical effect, the thermalexpanding effect can be ignored [2]. In this work, we
assume only thermo-optic coefficient that influence
temperature effect on uniform FBGs.
dn dT
can be
dn
nT nR (T R)
(1)
dT
where T is the temperature in 0C, R is the room
temperature, nT and nR are the refractive index at T
and at room temperature, respectively.
3. Principle FBGs
It is important to know basic theory of FBGs to
understand how work. A grating is a device that
periodically modifies the phase or the intensity of a
light wave reflected on, or transmitted trough it[6]. The
propagating wave is reflected, if its wavelength equal
Bragg resonance wavelength D ,in the other case is
transmitted. The equation relating teh grating spatial
periodicity and the Bragg resonance wavelength
given by Figure 1:
- 334 -
ICEIC 2015
1 d
2 dz
(7)
1 d
describes the possible chirp in
2 dz
the grating. The detuning , that is always independent
on the variable z, is defined as :
The derivative
(8)
neff
(9)
s neff
(10)
(2)
where,
,
, , represent Bragg Wavelength,
effective index, and grating period. In a uniform Fiber
Bragg Gratings having a constant grating period,
forward and backward propagating fields are given by
coupled mode equation [8]:
where ,
Bragg 2neff
1
1
D 2 neff
Bragg
dA
j ' A ( z ) j B ( z )
dz
(3)
dB
j ' B ( z ) j * A ( z )
dz
(4)
A ( z) A( z )e( jd z 2)
(5)
B ( z ) B( z )e( jd z 2)
(6)
- 335 -
ICEIC 2015
1.4465
neff
1.4464
Effective Refractive Index
j sinh( B L)
cosh( B L) j sinh( B L)
B
B
Tk
j sinh( B L)
cosh( B L) j sinh( B L)
B
B
(11)
1.4463
1.4462
1.4461
1.446
1.4459
20
where,
40
60
80
Temperature, 0C
100
120
140
B 2 2
(12)
5. Simulation Result
Value
1.4459445
1.4460445
1.4461446
1.4462446
ncore
1.4474
1.4472
1.447
1.4468
1.4466
1.4464
1.4462
20
40
60
80
Temperature, 0C
100
120
140
- 336 -
Value
0.25 nm
0.50 nm
0.75 nm
ICEIC 2015
Table 2: BW with temperature
Wavelength Shift
BW
BW
BW
Value
0.125 nm
0.3 nm
0..5 nm
T = 430 C
T = 630 C
0.8
Normalized Power Reflection
1.25 1011 (T R)
T = 230 C
0.9
(13)
T = 830 C
0.7
And
0.6
T R
0.5
0.4
0.3
substitute equation
, we get :
(13)
in
T R 1.25 1011 (T R)
0.2
equation
(14)
0.1
0
1548
1549
1550
1551
1552
1553
1554
Wavelength, nm
1555
1556
1557
1558
0.9
0.8
Wavelength Shift, nm
0.7
0.6
= 0.0125*T - 0.2875
Data point
Linear fit
0.5
0.4
5. Conclussions
0.3
0.2
0.1
0
-0.1
20
30
40
50
60
Temperature,0C
70
80
90
(a)
References
0.9
0.8
Reflectivity (Normalized)
BW (nm)
Magnitude
0.7
0.6
0.5
0.4
0.3
0.2
0.1
0
20
30
40
50
60
Temperature,0C
70
80
(b)
Figure 6 (a) The wavelength shift on uniform FBGs versus
temperature , and (b) The maximum reflectivity and BW versus
temperature.
90
- 337 -
ICEIC 2015
[3] Douglas B.L & Bradley J.F , Temperaturedependent absolute refractive index measurements of
sntetic fused silica,NASA Goddard Space Flight
Center : Greenbelt, 2006
[4] D. Lee, K.H Kim, S.H Hwang, Min-Hee Lee, ElHang Lee.,Optimization of Thermo-optic parameters
for Temperature-Insensitive LPWG Refractometers,
ETRI Journal, Vol. 28, Number 6, December 2006
[5] G. Ghosh, Michiyuki Endo, T. Iwasaki,
Temperature Dependent Sellmeier Coefficients and
Chromatic Dispersion for Some Optical Fiber
Glasses, Journal of Ligthwave Technology, Vol. 12,
Number 8, August 1994
[6] Radek Heln, Education Program for Fiber Bragg
Gratings Simulation, Ph.D. Degree Programme(3),
FEEC BUT ,2007
[7] Jianfeng Zhao, An Object-oriented Simulation
Program for Fiber Bragg Gratings, Thesis Master of
Engineering of Electrical and Electronic Engineering,
University Johannesburg : Republic of South Africa,
2001
[8] Erdogan T, Fiber Grating Spectra, Journal of
Lightwave Technology, vol. 15, no. 8, 1997, pp. 1277
1294
- 338 -
FO-3-3-4
1. Introduction
One factor causing train accident at railway crossing
area is because of road user obeys the train sign and
tries to pass the crossing area although the gate has
been closed. The accurate information of train arrival
in crossing area is important to give warning to the
road users. This research proposed a method to provide
train arival information using Zigbee Networks. The
time arrival is displayed as the countdown timer at
railway crossing area. The information of train arival
on a certain train station furthermore can be integrated
using Wireless Sensor Networks (WSN) based system.
WSN system may allow many train stations to
communicate each other wirelessly through the internet.
Innovations in industrial, home and automation in
transportation represent smart environments. Data for
smart environments are obtained through WSN, where
thousands of sensors are deployed at different locations
operating in different modes [1]. A sensor network is
capable of sensing, processing and communicating
which helps the base station or command node to
observe and react according to the condition in a
particular environment including physical, battle field,
2. System Design
This research studies the feasibility of WSN
implementation for train monitoring system. This paper
presents the prototype design of train information
system in railway crossing area using Zigbee networks.
XBee PRO series 2 with output power 63mw are used
as the transceiver. XBee PRO series 2 is able to
transmit and receive data with range of about 1500 m
in outdoor in line of sight condition [4]. Two sensor
nodes R1 and R2 are placed at 100 m away entering the
crossing area and one coordinator node C is located in
the crossing area. R1 and R2 sensor nodes detect and
determine the train arrival, and calculate its velocity.
The velocity of train is then used by the Coordinator
node to calculate the time needed by train to arrive at
crossing area which is displayed as the countdown
timer. The illustration of system design is depicted on
Figure 1.
Crossing area X
Display
R2
Display
R1
100 m
s1
Coordinator
500 m
s2
- 339 -
ICEIC 2015
train. By considering the distance between R2 and
Coordinator is 500m, and train velocity is about 4070
km/h, the countdown timer for train arrival from R2 to
the crossing area is about 25s to 45s. The flowchart of
node sensor operation is depicted in Figure 2.
3. Experiment Result
In order to test the system design and the algorithm
built on each sensor node the design in Figure 2 is
simulated using train model with an appropriate
adjusted scale as shown in Figure 3. The distance
between R1 to R2 is set to be 50 cm, distance between
R2 to coordinator is 250 cm with the length of train is
about 60cm.
- 340 -
Exp.
v exact
(cm/s)
v
calculation
(cm/s)
v
error
(%)
v exact
(cm/s)
v
calculation
(cm/s)
v
error
(%)
7.08
7.289
2.862
26.62
29.586
10.024
6.75
6.821
1.045
21.46
21.459
0.004
8.22
8.292
0.867
20.62
22.222
7.210
7.95
8.489
6.349
25.1
24.155
3.914
8.37
7.837
6.801
21.63
25.381
14.778
10.8
11.416
5.392
23.97
26.882
10.832
10.79
11.261
4.185
23.75
27.778
14.500
11.02
11.390
3.244
23.97
26.882
10.832
11.02
10.730
2.706
25.1
23.923
4.918
10
11.02
11.136
1.040
22.54
26.882
16.151
11
10.29
9.960
3.312
23.97
23.810
0.674
12
9.65
10.121
4.658
23.54
25.641
8.194
13
8.25
8.636
4.465
28.33
26.455
7.087
14
8.4
8.547
1.720
20.62
20.833
1.024
15
8.24
9.058
9.030
28.33
25.907
9.354
16
8.37
7.752
7.973
25.34
27.174
6.749
17
8.24
8.306
0.790
25.1
25.510
1.608
18
8.24
7.862
4.813
25.1
24.876
0.902
ICEIC 2015
19
8.73
8.591
1.617
25.1
27.027
7.130
20
8.7
9.524
8.650
25.1
25.381
1.106
Avg:
9.0065
9.151
4.076
24.2645
25.388
6.850
4. Conclusion
35.74
35
0.74
9.01
0.01
36.17
37
0.83
11.3
12
0.7
30.47
30
0.47
12.05
12
0.05
31.11
31
0.11
9.93
10
0.07
29.92
30
0.08
9.53
12
2.47
23.22
23
0.22
9.96
10
0.04
22.76
23
0.24
10.43
11
0.57
22.31
23
0.69
10.36
10
0.36
22.64
23
0.36
9.93
10
0.07
10
22.51
23
0.49
10.36
11
0.64
11
24.18
24
0.18
10.42
10
0.42
12
25.66
26
0.34
10.35
11
0.65
13
30.31
30
0.31
9.01
0.01
14
29.75
30
0.25
12
12
15
29.78
30
0.22
9.37
0.37
16
29.95
30
0.05
9.36
10
0.64
17
30.28
30
0.28
9.34
10
0.66
18
30.24
30
0.24
9.29
10
0.71
19
28.68
29
0.32
9.35
10
0.65
20
29.14
29
0.14
9.33
10
0.67
Avg:
28.241
28.3
0.328
10.034
10.4
0.488
5. Acknowledgement
This paper is acknowledged for Directorate General
of Higher Education of Indonesia and LP2M of Al
Azhar University of Indonesia for supporting the
publication of this research.
6. References
[1] D. J. Cook and S. K. Das, Smart environments:
technologies, protocols and applications, New York:
John Wiley, pp. 13-15, 2004.
[2] K. Sohraby, D. Minoli, and T. Znati, Wireless sensor
networks: technology, protocols and applications, New
Jersey: John Wiley, pp.
[3] Edwin P. K. G., Baskaran K, and Elijah B. R., Research
Issues in Wireless Sensor Network Applications: A
Survey, International Journal of Information and
Electronics Engineering, Vol. 2, No. 5, September 2012.
[4] Meng-Shiuan Pan, Yu-Chee Tseng, ZigBee Wireless
Sensor Networks and Their Applications, Department
of Computer Science, National Chiao Tung University.
- 341 -
FO-3-3-5
1. Introduction
The growing demand for frequency spectrum usage
in mobile communication, satellite services, wireless
sensors,
and other
wireless
communication
applications is expected to become a great issue for
spectrum scarcity in the future. One of possible
approach to solve the dilemma for spectrum scarcity is
- 342 -
ICEIC 2015
One important property of the matched filter is its
capability to maximize the output SNR, given the
convolution y(t) and impulse response h(t). In a
geometric point of view, the cross product of the
convolution is maximized by correlating the received
signal with the impulse response (of the filter) that is
parallel with the signal. This simply means that the
output signal due to noise should be minimized by
choosing the filter coefficients that are orthogonal to
the noise.
(2)
3. Neyman-Pearson Criterion
According to Tertinek [27], statistical hypothesis
testing is the main idea behind a detection process in
any communication system. Considering the two cases
for hypothesis
and
, the following hypothesis
problem are formulated given that the probability
density function for each assumed hypothesis is known
[ ]
[ ]
[ ]
(6)
[ ]
[ ]
{ [ ] [
]}
[ ]
(3)
(4)
where x = [ [ ] [ ]
[
]] is the vector of the
received signal. Since it is assumed that the probability
density function under each hypothesis is Gaussian,
(5)
- 343 -
ICEIC 2015
]
[
[
and so,
[
]]
And thus the final threshold for the NeymanPearson detector becomes:
{ [ ]
(
The quantities
and
are the mean values under
the hypotheses
and
, respectively, while is the
standard deviation. Using the two equations (2.3.7) and
(2.3.8) above into equation 2.3.6, the equations
become,
{
[ ] [ ]
{
(
)
}
)
- 344 -
ICEIC 2015
4. Methodology
The Matched Filter architecture was implemented
using MATLAB Simulink where series of signal
processing simulations were done. To verify the
functionality of the Matched Filter modeled in
Simulink, the digital input signals modulated in BPSK,
QPSK, and 64-QAM were used. The 64-QAM was
chosen since it is the technique used in digital TV
band, while QPSK is the usual technique used in
cellular systems. BPSK was also chosen for testing
purposes. The signals were then transmitted via the
AWGN channel where the input signal for the matched
filter is being generated. The matched filter system
flow chart is shown in figure (2).
The hardware implementation of the matched filter
was also done using the FPGA Stratix IV from Altera.
The matched filter architecture was designed through
Verilog coding using the Quartus II Software version
11.1. To verify the functionality of the modules, the
codes were tested using a testbench code generated in
Modelsim-Altera Simulator of Quartus II. The
Modelsim Simulator provides the ideal input and
output waveforms of the matched filter architecture
where the signals can be easily verified and analyzed.
After the design is tested through Modelsim, it is then
loaded through the FPGA. The LED from the Stratix
IV module serves as an indicator whether a signal is
detected or not.
The MATLAB implementation of the matched
filter is composed of five processes. The first step
involved the generation of the input signal to be
modulated in the transmitter. The second step was the
designing of the three transmitters modulated using the
BPSK, QPSK, and 64-QAM technique. Third, the
AWGN was modeled in MATLAB, where the
modulated signals were being transmitted. The next
process was to design the matched filter in Simulink,
where the input signals were signals corrupted by noise
coming from the AWGN channel. And the last stage
was the detection process. To detect the presence or
absence of the signal, the threshold value was set
wherein the outputs coming from the matched filter
were compared serially. The power spectral density
were also plotted to verify the presence of the signal in
the frequency spectrum.
- 345 -
ICEIC 2015
16 dBW
Time of
detection
5.029 s
FPGA
20 dBW
19.51 s
PSD
Target
Signal
64QAM
64QAM
- 346 -
ICEIC 2015
6. Conclusion
Based on the results of MATLAB simulations, the
matched filter detector was able to convolve the stored
template signal and the detected noisy signal properly
by maximizing its signal-to-noise ratio. When the noise
is absent, the output of the matched filter is just pure
signal energy. This was verified by taking the FFT of
the signal, and the output in the frequency domain
revealed that the bands where most of the signal
powers were located were emphasized. In general, the
matched filter applies the greatest weighting to spectral
components that have the greatest signal-to-noise ratio.
The FPGA implementation of the matched filter
revealed that the detector can detect the presence of the
signal in 19.51 s which is an acceptable range (below
1ms for fast sensing and 25ms for fine sensing) as
stated in the IEEE 802.22 standard for Cognitive
Radio. As the FPGA technology continues to evolve,
the generation of parallel architectures and techniques
will be able to promote high level computations and
improved performance. FPGAs open the opportunities
for higher computation performance and thus are
potential devices to implement for signal processing.
References
[1] Wireless 2025: The Future of Mobile, Telephony Online,
http://telephonyonline.com/, April 2009.
[2] J. Mitola, Cognitive radio: Making software radio more
personal, IEEE Pers. Commun., vol. 6, no. 4, pp. 4852,
Aug. 1999.
[3] J. Mitola, Cognitive radio: An integrated agent
architecture for software defined radio,
[4] S. Haykin, Cognitive radio: Brain-empowered wireless
communications, IEEE J. Sel. Areas Commun., vol. 23, pp.
201220, Feb. 2005.
[5] FCC, Notice of proposed rule-making and order, ET
Docket 03-322, Dec. 2003.
- 347 -
ICEIC 2015
Oral Session
FO-3-4
Communication System and Wireless
Networking II
FO-3-4-1
I. I NTRODUCTION
In order to establish a reliable wireless communication link,
one needs to compensate for the effects of signal fading
and shadowing. An efcient way to address this issue is
to transmit signals through one or more relays [1]. This
can be accomplished via a wireless network consisting of
geographically separated nodes.
When nodes in the relay system are installed with multiple
antennas, we call such system multiple-input multiple-output
(MIMO) relay communication system. Recently, MIMO relay
communication systems have attracted much research interest
and provided signicant improvement in terms of both spectral
efciency and link reliability. In [3]-[6], the authors have
studied the optimal relay amplifying matrix design for the
source-relay-destination channel. In [3] and [4], the optimal
relay amplifying matrix maximizing the mutual information
(MI) between the source and destination nodes was derived
assuming that the source covariance matrix is an identity
matrix. In [5] and [6], the relay amplifying matrix was
designed to minimize the mean-squared error (MSE) of the
signal waveform estimation at the destination. In [7], the
author investigated the joint source and relay optimization for
MIMO relay networks using projected gradient (PG) approach.
However, in [2]-[7], the authors investigated the optimal relay
amplifying matrix design for two-hop MIMO relay networks
with a single relay node. In [8], some linear relaying strategies
are presented for multiple relays in MIMO relay networks by
making use of local CSI. In [9], the authors investigated the
optimal relay amplifying matrices for two-hop MIMO relay
networks with multiple parallel relay nodes with sum relay
power constraints at the output of the second hop channel.
i = 1, , K
(1)
- 349 -
ICEIC 2015
destination node as
xr,i = Fi yr,i ,
i = 1, , K
(2)
K
Hrd,i xr,i + vd
(3)
i=1
K
i=1
+v
(4)
MSE = tr E s s s s
H
H
H
= tr WHHI
Ns W HINs +W CW (6)
MSE = tr INs + H C H
(8)
III. M INIMAL MSE R ELAY D ESIGN
In this section, we address the relay amplifying matrices
optimization problem for systems with a linear receiver at the
destination node. In particular, we show that the optimal relay
matrices has a general beamforming structure. Base on (5) and
(8), the relay amplifying matrices optimization problem can be
formulated as
1
HC
1 H
min
(9)
tr INs + H
{Fi }
H
s.t.
tr Fi Hsr,iHH
sr,i+INr Fi Pr,i , i = 1, , K(10)
where (10) is the power constraint at the relay node, and Pr,i >
0 is the corresponding power budget availabe at the ith relay.
A. Optimal Relay Design Using Projected Gradient (PG)
Approach
Let us introduce the following singular value decompositions (SVD)
H
Hsr,i = Us,i s,i Vs,i
,
(11)
i = 1, , K
(12)
Fi = Vr,1 Vr,1
H
Yi Zi
(U
s,1 )
i = 1, , K
(13)
H
H
H
(Vr,1
) =INr Vr,1 Vr,1
, U
where Vr,1
s,1 (Us,1 ) =INr
H
Us,1 Us,1 , such that [Vr,1 , Vr,1 ] and [Us,1 , Us,1 ] are unitary
matrices. The matrices Ai , Xi , Yi , Zi are arbitrary matrices
with dimensions of R R, R (Nr R), (Nr R) R,
(Nr R) (Nr R), respectively. Substituting (13) back into
H
(9), we obtain that Hrd,i Fi Hsr,i = Ur,i r,i Ai s,i Vs,i
and
K
H H
H
H
H
Hrd,i Fi Fi Hrd,i = i=1 Ur,i r,i (Ai Ai +Xi Xi )r,i UH
r,i .
Thus we can rewrite equation (9) as
K
K
H
H H
H
MSE =tr
INs +
Vs,i s,i Ai r,i Ur,i
Ur,i r,i (Ai AH
i +
where C
noise covariance matrix given by
isHthe
equivalent
C=E v
= Hrd FFH HH
rd + INd . The weight matrix W
which minimizes (6) is the Wiener lter and can be written as
H
H + C)
1 H
W = (H
H
Hrd,i = Ur,i r,i Vr,i
(7)
- 350 -
i=1
H
H
Xi XH
i )r,i Ur,i
i=1
+ IN d
1
K
H
Ur,i r,i Ai s,i Vs,i
1
i=1
i = 1, ,K.
(14)
ICEIC 2015
problem
TABLE I
PROCEDURE OF APPLYING THE PROJECTED GRADIENT
ALGORITHM TO SOLVE THE PROBLEM (15) - (16)
min
i
A
s.t.
(0)
i=1
i=1
H
H
AH
i r,i Ur,i + INd
1
K
1
H
i=1
s.t. tr
Ai (2s,i
INr )AH
i
(16)
THEOREM 2: If f (Ai ) = tr IN + H
is
s
(19)
i [( + 1)IN + 2 ]1
i = A
A
s,i
r
where > 0 is the solution to the nonlinear equation
i [(+ 1)IN + 2 ]1(2 + IN )
tr A
s,i
s,i
r
r
2 1 H
[(+ 1)INr+ s,i ] Ai = Pr,i .
(20)
Pr,i ,
i = 1, , K.
(18)
(15)
i A
i )(A
i A
i )H
tr (A
H Pr,i .
i (2 + IN )A
tr A
s,i
i
r
Hrd F.
F
(21)
E[tr((Hrd xr )(Hrd xr )H )] = tr F
sr
r
H
H
.
(22)
tr(Hrd,i HH
rd,i )tr Fi Hsr,iHsr,i+INr Fi
Substituting (10) into (22) we have
K
K
H
HsrHH +IKN F
P
tr(Hrd,i HH
tr F
r,i
sr
rd,i ). (23)
r
K
i=1
i=1
HC
1 H
1
min
(24)
tr INs + H
F
H
H
Pr , i = 1, ,K(25)
HsrH +IKN F
s.t.
tr F
sr
r
H
where Pr Pr tr(Hrd HH
rd ). Let Hsr = Us s Vs denote
the singular value decomposition (SVD) of Hsr , where the
dimensions of Us , s , Vs are KNr KNr , KNr Ns , Ns
Ns , respectively. We assume that the main diagonal elements
of s is arranged in a decreasing order. Using Theorem 1 in
as the solution to the problem
[10], the optimal structure of F
(24)-(25) is given by
- 351 -
= Qf UH
F
s,1
(26)
ICEIC 2015
where Q is any Nd Ns semi-unitary matrix with QH Q =
INs , Us,1 contain the leftmost Nb columns of Us , and f is
an Ns Ns diagonal matrix. The proof of (26) is similar to
the proof of Theorem 1 in [10]. From (26), we see that the
has a beamforming structure. In fact, the optimal
optimal F
diagonalizes the source-relay-destination channel H
up to a
F
rotation matrix Q. Using (26), the relay optimization problem
(24)-(25) becomes
1 1
2 2
min tr INs + f s f + INs
(27)
f
s.t.
tr 2f 2s +INs Pr .
(28)
s.t.
Ns
i=1
Ns
a i x i + yi + 1
ai xi yi + ai xi + yi + 1
yi Pr
yi 0,
(30)
i = 1, , Ns (31)
i=1
i = 1, , K
VI. A PPENDIX
H
Base on (11) and (12), we have Hsr,i = Us,i s,i Vs,i
,
K
H
H
Hrd,i=Ur,i r,i Vr,i , Fi=Vr,i Ai Us,i , i=1 Hrd,i Fi Hsr,i =
K
K
H
H H
Ur,i r,i Ai s,i Vs,i
, and
i=1
i=1 Hrd,i Fi Fi Hrd,i =
K
H H
H
i=1 Ur,i r,i Ai Ai r,i Ur,i . Thus f (Ai ) can be written as
K
K
H
H H
H
f (Ai ) = tr INs+
Vs,i s,i Ai r,i Ur,i
Ur,i r,i Ai
i=1
(34)
=
i=1
K
1
H
H
AH
i r,i Ur,i+INd
(33)
i = i F i ,
F
V. C ONCLUSIONS
In this paper, we have derived the general structure of the
optimal relay amplifying matrices for parallel MIMO relay
communication systems using the projected gradient approach.
The proposed algorithm has less computational complexity
compared to the existing techniques. Simulation result shows
the effectiveness of the proposed algorithm.
1
H
i=1
(35)
K
H
H H
H
Let us dene ZH
i j=1,j=i Vs,j s,j Aj r,j Ur,j , and Yi
K
H H
H
j=1,j=i Ur,j r,j Aj Aj r,j Ur,j +INd . Then f (Ai ) can be
written as
H
H H
H
f (Ai ) = tr INs+(ZH
i +Vs,i s,i Ai r,i Ur,i )(Yi +Ur,i r,i
1
H
H 1
H
A i AH
U
)
(U
V
+Z
)
r,i
r,i
i
s,i
i
i
r,i r,i
s,i
IV. S IMULATIONS
(36)
1
- 352 -
H
H
H H
H
Ai s,i Vs,i
+ Zi )(ZH
i + Vs,i s,i Ai r,i Ur,i )
H
H 1
+(Yi + Ur,i r,i Ai AH
i r,i Ur,i ))
H
(Ur,i r,i Ai s,i Vs,i + Zi ) .
(37)
ICEIC 2015
H
Let us now dene Ei Ur,i r,i Ai s,i Vs,i
+ Zi , Ki
H H
H
Yi + Ur,i r,i Ai Ai r,i Ur,i , and Gi Ei EH
i + Ki . We
can rewrite (37) as
1
H 1
f (Ai )= tr INsEH
.(38)
i Gi Ei = tr INsEi Ei Gi
vr
1
f (Ai ) =
tr Ei EH
i Gi
Ai
Ai
H 1
=
tr G1
i Ei Ei Gi Gi
Ai
1
H
tr[EH
i Gi Ur,i r,i Ai s,i Vs,i ]
Ai
H 1
=
tr G1
i Ei Ei Gi ((Ur,i r,i Ai s,i
Ai
H
H
H H
H
Vs,i
+ Zi )(ZH
i + Vs,i s,i Ai r,i Ur,i )
H
+(Yi + Ur,i r,i Ai AiH H
r,i Ur,i )
1
T
H T
[EH
i Gi Ur,i r,i ] [s,i Vs,i ] .
H 1
MiG1
i Ei Ei Gi ,
Dening
we can rewrite (39) as
CiEH
i ,
and
x1
x2
.
.
.
y1
F2
y2
H rd
.
.
.
yNd
R elay
Source
(39)
.
.
.
H sr
x Ns
vd
D estin atio n
H
H
DiAH
i r,i Ur,i ,
H
f (Ai ) =
tr Mi (Ur,i r,i Ai s,i Vs,i
+ Zi )Ci
Ai
Ai
+Mi (Yi + Ur,i r,i Ai Di )]
1
T
H T
[EH
i Gi Ur,i r,i ] [s,i Vs,i ] .
(40)
10
Average BER
10
H
Here Ri Ur,i r,i , and Si s,i Vs,i
, we can rewrite (40)
as
f (Ai ) =
tr [Mi Ri AiSiCi+Mi Ri AiDi]
Ai
Ai
1
T
T
[EH
i Gi Ri ] [Si ] .
10
10
(41)
Finally, the gradient is given by
f (Ai ) = 2 [Mi Ri ]T [Si Ci ]T + [Mi Ri ]T [Di ]T
1
T
T
[EH
.
i Gi Ri ] [Si ]
10
NAF Algorithm
ZF Algorithm [8]
MMSE Algorithm [8]
Optimal Relay Algorithm
10
15
SNRs (dB)
20
25
(42)
R EFERENCES
[1] R. Pabst, B. H. Walke, D. C. Schultz, D. C. Herhold, H. Yanikomeroglu,
S. Mukherjee, H. Viswanathan, M. Lott, W. Zirwas, M. Dohler, H.
Aghvami, D. D. Falconer, and G. P. Fettweis, Relay-based deployment
concepts for wireless and mobile broadband radio, IEEE Commun.
Mag., vol. 42, pp. 80-89, Sep. 2004.
[2] B. Wang, J. Zhang, and A. Hst-Madsen, On the capacity of MIMO
relay channels, IEEE Trans. Inf. Theory, vol. 51, pp. 29-43, Jan. 2005.
[3] X. Tang and Y. Hua, Optimal design of non-regenerative MIMO
wireless relays, IEEE Trans. Wireless Commun., vol. 6, pp. 1398-1407,
Apr. 2007.
[4] O. Munoz-Medina, J. Vidal, and A. Agustn, Linear transceiver design
in nonregenerative relays with channel state information, IEEE Trans.
Signal Process., vol. 55, pp. 2593-2604, Jun. 2007.
[5] W. Guan and H. Luo, Joint MMSE transceiver design in nonregenerative MIMO relay systems, IEEE Commun. Lett., vol. 12, pp.
517-519, Jul. 2008.
[6] G. Li, Y. Wang, T. Wu, and J. Huang, Joint linear lter design in multiuser cooperative non-regenerative MIMO relay systems, EURASIP J.
Wireless Commun. Networking, vol. 2009, Article ID 670265.
[7] Y. Rong, Joint source and relay optimization for two-way linear
non-regenerative MIMO relay communications, IEEE Trans. Wireless
Commun., vol. 8, pp. 6068-6077, Dec. 2009.
[8] O. Oyman and A. J. Paulraj, Design and analysis of linear distributed
MIMO relaying algorithms, IEE Proc. Inst. Elect. Eng., Commun., pp.
565-572, Aug. 2006.
[9] A. S. Behbahani, R. Merched, and A. M. Eltawil, Optimizations of a
MIMO relay network, IEEE Trans. Signal Process., vol. 56, pp. 50625073, Oct. 2008.
[10] Y. Rong, X. Tang, and Y. Hua, A unied framework for optimizing linear non-regenerative multicarrier MIMO relay communication systems,
IEEE Trans. Signal Process., vol. 57, pp. 4837-4851, Dec. 2009.
[11] D. P. Bertsekas, Nonlinear Programming. 2nd. Edition, Athena Scientic, Belmont, Massachusetts, 1999.
[12] S. Boyd and L, Vandenberghe, Convex Optimizationh. Cambridge, U.K.: Cambridge University Press, 2004.
[13] K. B. Petersen and M. S. Petersen, The Matrix Cookbook. [Online].
Available: http://matrixcookbook.com.
- 353 -
30
FO-3-4-2
1. Introduction
The demand for high performance and a small size
has increased steadily. To meet this demand, substrate
integrated waveguide (SIW), which is low-loss,
compact in volume, low profile, and can make a simple
integration with other planar circuits, is being used in
an increasing number of applications. The SIW is
composed of two rows of conductive cylinders on a
printed circuit board (PCB). The eighth-mode SIW was
formed by bisecting the quarter-mode substrate
integrated waveguide (QMSIW) [1]. In this paper, an
electrically small circular polarization SIW antenna is
proposed. The antenna size is reduced by utilizing two
EMSIW cavities working in the TE10 mode.
3. Acknowledgement
4. References
- 354 -
FO-3-4-3
Abstract
Delay Tolerant Network (DTN) is a
technology which can be utilized to overcome the
difficulties of information exchanges in rural
area. Implementing DTN between two adjacent
and contiguous nodes is trivial. However, it is
very challenging if those two nodes are not
contiguous and in between only commuting
nodes are available as a communication option.
For example, there exist two nodes located in
separated islands with some ships commuting
between those islands. We are required to utilize
any available intermediate nodes (e.g. ships) to
forward the messages between two separated
nodes. In order to do that, we can employ the
Prophet Routing Protocol Algorithm to select
appropriate nodes for forwarding the messages.
In this paper, we implemented IBR-DTN with
Prophet as the component of information sharing
system in rural area. The IBR-DTN with Prophet
will use transitive properties and the history of
encounters between commuting nodes. From the
experiment, we concluded that Prophet
implemented in IBR-DTN nodes (includes the
commuting nodes) can successfully exchange
information between nodes. We measured that
the delivery ratio for a small number of files
using two commuting nodes is higher than using
one commuting node. On the contrary, using one
commuting node has a lower average delay.
Keywords: Rural, DTN, IBR-DTN, Prophet
Routing Protocol, Transitive node
1. Introduction
Rural is an area that is far away from the city
or the beach. That condition leads to the
difficulties of digital information exchanges,
- 355 -
ICEIC 2015
4. The Theory
Prophet
Prophet (Probabilistic Routing Protocol using
History of Encounters and Transivity) is one of
the routing protocols in DTN (Delay Tolerant
Network), where there are no fixed network.
Prophets characteristics is using the knowledge
of a meeting between nodes to optimize the
packet forwarding. Another characteristic is the
transitifity, whereby if the two nodes are rarely
met, it can take advantage of the nodes that are
often met with the node. Prophet has a metric or
vector called Delivery Predictability (DP). DP is
used on each node to determine wether to
forward the packet or not when encounter occur
within nodes.
2. Problem Statement
The research problem in this paper is based
the reality of the difficulties of information
sharing in the rural area, where connectivity is
basically limited and contiguous nodes are not
exist. Our research question are on how the
Prophet can be used to connect multiple moving
nodes and analyze the use of Prophet for
information exchanges (file) on network with
limited connectivity using multiple moving
nodes.
3. Related Work
Literature review in this study refers to the
previous study, entitled "Design of Information
Exchange System on Delay Tolerant Network in
Rural Area Using Raspberry Pi". The study
described the design of the network
infrastructure in rural areas that are low cost,
small size devices, energy saving, and able to
work on a limited connectivity. The
infrastructure is implemented using the IBRDTN that has bundle protocol.
Other related research entitled "Digital Data
Courier: Rural Digital Information Sharing
System" offers a digital information sharing
system without any internet connection. The
system is built on the concept of DTN. The
absence of a permanent Internet connection in
the rural area causes that the store and forward
mechanishm in DTN can not occur, so in this
study proposes a data courier mechanism. By
using a courier service data, the geographical
constraints are no longer a barrier to the spread
of information [3].
- 356 -
ICEIC 2015
Digital Information
Technological developments, especially in
communication technology make progress very
rapidly. With the development of Internet, the
information system required to be done via the
internet. Based on the presentation, the digital
information is information that is presented in the
form of electronic or digital. Unlike the physical
information that can be directly used or accessed
at any time without additional devices, digital
information requires support device to be
accessible.
(2-3)
where:
(,) = DP to node C which is stored in the
Rural Area
Rural is an area that is far away from the city
or the beach [1]. Rural geographical location
makes it hard to reach from the city, therefore it
have characteristic :
- Not affordable from technology developments,
both information technology and communication
technology
- Human resources is limited
- The economy in rural areas far below the urban
areas
- Geographical location are difficult to reach for
the development of rural areas
= Constanta
IBR-DTN
Delay Tolerant Network is a network that
offers messaging facility to a network that is not
always has an end-to-end connection
continuously. In recent years DTN become very
popular and widely developed. One result is the
development
of
IBR-DTN
DTN
as
implementation of DTN. IBR-DTN daemon is
one of the DTN bundle protocol that is used as
the standard protocol. In this study, we used IBRDTN as the implementation of DTN as compared
to DTN2, IBR-DTN is better in terms of memory
and storage on the disc [6].
Raspberry Pi
Raspberry is a mini computer that only the
size of an ATM card. Although the size of a
raspberry pi much smaller than desktop
computers in general, however, raspberry pi have
the same capabilities as a desktop computer [7].
- 357 -
ICEIC 2015
File delivery from rural 1 to
rural 2
IBR-DTN node 1
Rural 1
IBR-DTN node 2
Rural 2
wireless range
Test Environment
From the described design of the system and
implementation, the system tested to determine
whether the system is going according to the
expected function. Tests done on the system by
testing the transmission of files with limited
connectivity, and sending files using a prophet to
know the network performance.
adhoc
IBR-DTN node 1
Rural Area 1
IBR-DTN node 2
Rural Area 2
IBR-DTN node 1
IBR-DTN node 2
Rural Area 2
Rural Area 1
3
sender
No connectivity
Rural Area 1
4
Rural Area 2
adhoc
IBR-DTN node 1
IBR-DTN node 2
Rural Area 1
Rural Area 2
IBR-DTN node 2 moves
back to rural 2
receiver
- 358 -
ICEIC 2015
IBR-DTN node 1
IBR-DTN node 2
Rural Area 1
Rural Area 2
Rural Area 1
IBR-DTN node 2
Rural Area 1
IBR-DTN node 1
Rural Area 2
Rural Area 2
2 node
10
Prophet
2 node
300 s
20 m
1800 s
1 file per 510 s
10-100MB
- 359 -
ICEIC 2015
1
adhoc
IBR-DTN node 1
Rural Area 1
IBR-DTN node 2
IBR-DTN node 1
Rural Area 2
IBR-DTN node 2
Rural Area 2
Rural Area 1
2
Rural Area 1
IBR-DTN node 2
IBR-DTN node 1
Rural Area 2
Rural Area 1
Rural Area 1
4
IBR-DTN node 1
Rural Area 2
Rural Area 2
Rural Area 1
adhoc
IBR-DTN node 2
IBR-DTN node 1
Rural Area 1
IBR-DTN node2 moving
back to rural 2
IBR-DTN node 2
Rural Area 2
Rural Area 2
File
size
(MB)
10
20
30
40
50
60
70
80
90
100
Average Delay
(second)
1 node
2 node
386.98
712.64
388.20
1082.65
387.82
1296.89
392.43
1592.10
397.58
1483.37
415.18
1760.86
418.81
2226.50
417.05
2347.82
423.55
2741.04
443.68
2931.73
- 360 -
ICEIC 2015
Table 3. Delivery Ratio
Delivery Ratio
1 node
2 node
0. 89
0. 67
0.83
0.5
0.93
0.8
0.83
0.5
0.76
0.28
0.75
0.25
0. 56
0. 89
10
0.5
0. 22
ratio
No. of File
Generated
3500
3000
2500
2000
1500
1000
500
0
10
20
30
40
50
60
70
80
90
100
second
1.2
1
0.8
0.6
0.4
0.2
0
1
3 4 5 6 7 8 9 10
number of file
1 node
2 node
Conclusion
Enabling Prophet Routing modul in IBRDTN nodes increases the delivery ratio
information exchanges between DTN nodes.
However, as we found in the experiment, the
more commuting nodes are used, the higher the
average delay is.
REFERENCES
[1]. Indonesian
Department
of
Language
Development and Guidance (Kemdikbud),
Kamus Besar Bahasa Indonesia (KBBI), 2014.
Online at http://kbbi.web.id/
[2]. Evan Hardyanto. Perancangan Sistem
Berbagi Informasi di Pedesaan Berbasis Delay
Tolerant Network Menggunakan Raspberry Pi,
PTIIK, Brawijaya University, 2013
[3]. I Ketut Adi Suhendra. Kurir Data Digital :
Sistem Berbagi Informasi Digital Pedesaan,
PTIIK, Brawijaya University, 2013
[4]. Grasic, Samo dan Davies, Elwyn. The
Evolution of a DTN Routing Protocol
Prophetv2 Chants 11, Las Vegas, Nevada,
USA, 2011.
[5]. Venkataraman, Vinod dan Bhatt Acharya,
- 361 -
ICEIC 2015
- 362 -
FO-3-4-4
Abstract
Border surveillance is one of the major and critical
applications of wireless sensor networks (WSN). To
ensure continuous and reliable operation of the border
control system, deployed sensors should be able to
detect intrusion attempts even in the case where a
number of sensors nodes are faulty. In this paper, we
propose a fault tolerance framework for border
surveillance WSNs that encompasses techniques to
control, detect, predict, and repair faults. Performance
evaluation shows a significant improvement of the
network lifetime when these techniques are used.
Keywords: WSN, Border surveillance, fault tolerance.
1. Introduction
Wireless Sensor Network (WSN) technology is
becoming employed in many domains requiring the
monitoring of events that can occur in a given area. In
this case, the targeted applications will rely on data
reported by the sensor nodes to make appropriate
decisions. This requires that data can be collected with
high reliability and accuracy, an all time full coverage
of the monitored area, and that sensor nodes are in
satisfactory functioning state.
Particularly, in border surveillance application[1], a
WSN is built by deploying a number of sensor nodes
throughout the border line in order to detect peoples or
vehicles that try to illegally cross the border. When an
intruder is detected, the sensor nodes will transmit an
alarm to a control center to decide about the
appropriate actions to be taken. Therefore, to ensure
border control system reliability, sensors should be
able to detect events with high accuracy at any time
and in any point of the monitored area. This must be
provided even if some sensors are faulty, and is
2. Network architecture
In this section, we will describe the architecture of
the border surveillance wireless sensor network. We
first present the different types of nodes that compose
the topology of the network as well as their functions.
- 363 -
ICEIC 2015
Consequently, We consider here the thick-stripbased network topology that we proposed in [1]. This
network has a hierarchical structure composed of three
layers of devices endowed with different capabilities.
- 364 -
ICEIC 2015
- 365 -
ICEIC 2015
- 366 -
ICEIC 2015
- 367 -
ICEIC 2015
5. Performance evaluation
In this section, we assess the performances of the
proposed nodes replacement strategy in terms of
network lifetime and the number of replaced sensors.
We suppose that the border surveillance area of the
wireless sensor network is a thick strip incorporating
two lines of BSNs deployed along the border length.
We assume that the border line length is about 3km
and that 30 BSNs are randomly dropped in the two
regions limited by the two lines according to a uniform
distribution pattern. In this case, every second square
of BSNs is assumed to contain in its center a DRN to
which the BSNs report the gathered data. Thus, one
can see that the points of the two lines are fully
covered by these sensors.
In addition, to assess the network lifetime we
adopted another definition that is appropriate for
border surveillance applications, where the main target
of surveillance is not only to locate intruders
attempting to cross the borderline, but also to track
them. Consequently, the network life time will be
defined as the time of failure of the first set of sensors
allowing the crossing of an intruder without being
detected. This is reflected in our simulation model by
- 368 -
ICEIC 2015
- 369 -
ICEIC 2015
6. Conclusion
This paper proposed a fault tolerance framework
for border surveillance WSN that encompasses the
development of faults detection, prediction techniques,
and replacement strategies to reduce the impact of
failures. Simulation results show the effectiveness of
the proposed schemes in improving the network
lifetime and the detection efficiency.
References
[1 ] R. Bellazreg, N. Boudriga, and S. An, Border
Surveillance using sensor based thick-lines, in
Proceedings of the International Conference on
Information Networking (ICOIN 13), Bangkok,
Thailand, 2013.
[2 ] S. Berrahal, S. Rekhis, and N. Boudriga, "Unmanned
Aircraft Vehicle Assisted Border surveillance," in the
20th Asia-Pacific Conference on Communications
(APCC2014), Oct.. 1-3, 2014, Pattaya Thailand.
[3 ] R. Bellazreg, N. Boudriga, K. Trimeche, Sunshin An,
"Border surveillance: A dynamic deployment scheme
for WSN-based solutions," in proceedings of Wireless
and Mobile Networking Conference (WMNC), 2013 6th
Joint IFIP, pp.1,8, 23-25 April 2013
[4 ] K. Ni, N. Ramanathan, M.N.H. Chehade et al., Sensor
network data fault types, ACM Transactions on Sensor
Networks, vol. 5,no. 3, pp. 129, 2009.
[5 ] A. B. Sharma, L. Golubchik, and R. Govindan, Sensor
faults: detection methods and prevalence in real-world
datasets, ACM Transactions on Sensor Networks, vol.
6, no. 3, 2010.
[6 ] V. Baljak, K. Tei, and S. Honiden, Classification of
Faultsin Sensor Readings with Statistical Pattern
Recognition, in Proceedings of the 6th International
conference on Sensor Technologies and Applications
(SENSORCOMM 12), pp. 270276,Rome, Italy,
August 2012.
[7 ] A. Sharma, L. Golubchik, and R. Govindan, On the
prevalence of sensor faults in real-world deployments,
in Proceedings of the 4th Annual IEEE Communications
Society Conference on Sensor, Mesh and Ad Hoc
Communications and Networks (SECON 07),pp. 213
222, San Diego, Calif, USA, June 2007.
[8 ] D. Tulone and S. Andmadden, PAQ: time series
forecasting for approximate query answering, in
Proceedings of the European Conference on Wireless
Sensor Networks (EWSN 06), pp. 2137, Zurich,
Switzerland, February 2006.
[9 ] Z. Cheng, M. Perillo, and W. B. Heinzelman, General
network lifetime and cost models for evaluating sensor
- 370 -
FO-3-4-5
)DVWHU6SHFWUXP6HQVLQJLQ&RJQLWLYH5DGLRXVLQJ+DGRRS<$51
6ULNDQWK0XQMXOXUL*DULPHOOD5DPD0XUWK\
63&5&,QWHUQDWLRQDO,QVWLWXWHRI,QIRUPDWLRQ7HFKQRORJ\+\GHUDEDG
6ULNDQWKPXQMXOXUL#UHVHDUFKLLLWDFLQUDPPXUWK\#LLLWDFLQ
$EVWUDFW
5HVHDUFK LQ &RJQLWLYH 5DGLR WHFKQRORJ\ KDV EHHQ
YHU\ DFWLYH VLQFH IHZ \HDUV DV LW DWWHPSWV WR VROYH WKH
IUHTXHQF\ FULVLV SUREOHP LQ ZLUHOHVV FRPPXQLFDWLRQV
6SHFWUXP 6HQVLQJ LV WKH PRVW FUXFLDO SKDVH RI
&RJQLWLYH 5DGLR ZKLFK GHWHUPLQHV WKH VXFFHVV RI WKH
WHFKQRORJ\7RPDNHVSHFWUXPVHQVLQJPRUHDFFXUDWH
UHVHDUFKHUV FDPH XS ZLWK PDQ\ QHZ WHFKQLTXHV E\
LQFRUSRUDWLQJ RSWLPL]DWLRQ WKHRU\ DQG PDFKLQH
OHDUQLQJ WHFKQLTXHV LQWR FRUH FRPPXQLFDWLRQ
DOJRULWKPV +RZHYHU WKLV OHG WR WKH LQFUHDVH LQ WKH
RYHUDOOV\VWHPODWHQF\
7KLV SDSHU LV DQ DWWHPSW WR UHGXFH WKH V\VWHP
ODWHQF\ RI D &RJQLWLYH 5DGLR (QJLQH E\ HPSOR\LQJ
+DGRRS <$51 LQ WKH V\VWHP 7KLV GDWD DQDO\WLF WRROV
KHOSLQSDFLQJXSWKHWLPHWDNHQ IRUVSHFWUXPVHQVLQJ
DOJRULWKP E\ SDUDOOHO LPSOHPHQWDWLRQ RI PDQ\ VXE
WDVNVIROORZLQJ0DS5HGXFHIUDPHZRUN
&DVHIRU+DGRRSLQ6SHFWUXP6HQVLQJ
6SHFWUXPVHQVLQJ66LVWKHPRVWLPSRUWDQWVWHSRI
&5 7KH DLP RI WKH 66 LV WR DFFXUDWHO\ GHWHUPLQH WKH
XQXVHGVSHFWUXPLHWRGHWHUPLQHVSHFWUXPKROHV$Q
HIILFLHQW66DOJRULWKPIXUWKHUFRQVLVWVRIIROORZLQJWKH
IROORZLQJVWHSV
.H\ZRUGV%LJ'DWD$QDO\WLFV&RJQLWLYH5DGLR
6SHFWUXP6HQVLQJ+DGRRS<$511H[WJHQHUDWLRQ
:LUHOHVV&RPPXQLFDWLRQV
,QWURGXFWLRQ
)UHTXHQF\ VSHFWUXP RQFH DQ XQOLPLWHG QDWXUDO
UHVRXUFHLVWHQGLQJWREHFRPHDYHU\VFDUFHUHVRXUFHLQ
ZLUHOHVV FRPPXQLFDWLRQV 7KH WHUP 6SHFWUXP
'URXJKW FRLQHG E\ )HGHUDO &RPPXQLFDWLRQV
&RPPLVVLRQ )&& H[SODLQV WKH VLWXDWLRQ RI
DYDLODELOLW\ RI IUHTXHQF\ VSHFWUXP +RZHYHU
WHFKQRORJ\ DGYDQFHPHQWV PDGH LW SRVVLEOH WR FRPEDW
WKLV FKDOOHQJH LQ WKH IRUP RI 6RIWZDUH 'HILQHG 5DGLR
6'5 DQG &RJQLWLYH 5DGLR &5 5HVHDUFKHUV FDPH
XS ZLWK DQ LGHD RI &5 WR VROYH WKH VSHFWUXP VFDUFLW\
SUREOHP7KHIXQGDPHQWDOLGHDRI&5LVWRVFDQHQWLUH
VSHFWUXP DQG HVWLPDWH WKH XQXVHG VSHFWUXP DQG WKHQ
LQWHOOLJHQWO\ WUDQVPLW DQG UHFHLYH RQ WKRVH XQXVHG
EDQGV7KLVSURFHVVLVWHUPHGDV6SHFWUXP6HQVLQJ
,QWKLVSDSHUZHIRFXVRQWKHUROHRI%LJ'DWDWRROV
VXFK DV +DGRRS LQ WKH GHVLJQ RI ZLUHOHVV QHWZRUNV
7KLV ZRUN LV DQ H[WHQVLRQ RXU SUHYLRXV ZRUN>@ LQ
- 371 -
ICEIC 2015
[
$GDSWLYH))7DSSURDFK
)L[HG))7DSSURDFK
ZLWKKDGRRS
1XPEHURI))7RSHUDWLRQVRQHDFKQRGH
)LJ&RJQLWLYH5DGLR/LIH&\FOH
+RZHYHUWKHKDQGVKDNLQJODWHQF\GHSHQGVXSRQWKH
WLPH WDNHQ E\ UDGLR VHQVLQJ GHYLFH WR FRPSOHWH WKH
SURFHVVHV RI PDFKLQH OHDUQLQJ WHFKQLTXH IROORZHG E\
VXLWDEOH VSHFWUXP VHQVLQJ PHWKRG 7KHUH DUH PDQ\
SDUDOOHOL]DEOH DOJRULWKPV LQ 66 ,Q WKLV SDSHU
+DGRRS LV XVHG WR UHGXFH WKH 66 ODWHQF\ E\ SDUDOOHO
LPSOHPHQWDWLRQ RI ))7 DOJRULWKP XVLQJ 0DS5HGXFH
PRGHO>@
3HUFHQWDJHYDULDWLRQVLQWKHVSHFWUDORFFXSDQF\SUREDELOLWLHV
)LJ))7RSHUDWLRQVYVVSHFWUDORFFXSDQF\SUREDELOLWLHV
1XPEHURI&RPSOH[))7RSHUDWLRQV
6LPXODWLRQ5HVXOWV
&RQFOXVLRQ
:LUHOHVV DSSOLFDWLRQV SURGXFH WRQV RI GDWD HYHU\
VHFRQG ,Q DGGLWLRQ EDFNKDXO QHWZRUNV KDYH YHU\ OHVV
WLPH WR SURFHVV DQG UHVSRQG WR WKH GDWD +HQFH WKH
FRQIOXHQFH RI ZLUHOHVV QHWZRUNV ZLWK FORXG
WHFKQRORJLHV LV LQHYLWDEOH 7KLV SDSHU VXFFHVVIXOO\
GHPRQVWUDWHV WKDW WKH IXWXUH ZLUHOHVV DUFKLWHFWXUHV
ZRXOG FRPH SDFNHG DORQJ ZLWK %LJ 'DWD WRROV 2WKHU
1XPEHURIPDSSHUV
)LJ1XPEHU&RPSOH[))7RSHUDWLRQVYV0DSSHUV
5HIHUHQFHV
>@ 6ULNDQWK 0 DQG 5DPD 0XUWK\ * $ &RPSUHKHQVLYH
1H[W*HQHUDWLRQ :LUHOHVV $UFKLWHFWXUH 3URWRW\SH EDVHG RQ
WKH :LUHOHVV 5HVLOLHQW &ORXG &KDOOHQJHV ;5&,2SHQ
%DQJDORUH,QGLD
>@+DUDGD+0XUDNDPL+,VKL]X.)LOLQ66DLWR<
7UDQ + 1 .DWR 6 $ VRIWZDUH GHILQHG FRJQLWLYH UDGLR
V\VWHP FRJQLWLYH ZLUHOHVV FORXG */2%(&20
,(((1HZ<RUN86$
>@ 6ULNDQWK 0 5DPD 0XUWK\ * 7RZDUGV IDVWHU VSHFWUXP
VHQVLQJ WHFKQLTXHV LQ FRJQLWLYH UDGLR DUFKLWHFWXUHV ,&,&7
.HUDOD,QGLD
>@KWWSDU[LYRUJSGISGI
>@ 6XPLW . 5DPD 0XUWK\ * (IILFLHQW 6SHFWUXP
6HQVLQJ0RQLWRULQJ 0HWKRGV DQG 7HVWEHG 'HYHORSPHQW IRU
FRJQLWLYHUDGLREDVHG:616'5:QQ&RPP,OOLQRLV86$
- 372 -
ICEIC 2015
Oral Session
FO-3-5
Special Symposium on Semiconductor
and Device III
FO-3-5-1
Abstract
We present a design for electrically injected photonic
crystal laser with oxide current guiding layers. Selective
lateral oxidation of AlGa(In)As layers forms current block
layers and prevents leakage current in undesired region.
Effective electrical injection with high Q factor photonic
crystal laser can be achieved without high temperature
annealing and active region regrowth.
1. Introduction
With recent developments in photonic crystals and
greatly enhanced solid-light interactions, photonics is
rapidly expanding into many new applications. Recently,
photonic crystal lasers have shown great improvement in
performance, but they need high temperature (> 650 C)
annealing to diffuse the ion-implanted dopants that form
lateral pn junctions for current injection [12]. In addition,
it is not possible to avoid active region regrowth due to
current leakage. Alternatively, photonic crystal lasers with
vertical current injection have been investigated [3].
However, the laser efficiency is limited because an
additional post structure degrades Q factor of the cavity.
Lateral oxidation of high Al composition layer is one
of the popular methods for current confinement of
VCSELs. High Al (> 90%) composition AlGaAs can be
oxidized at about 400 C and this oxidized layer can
function as a current blocking layer [4]. Even a low Al
composition material In0.52Al0.48As, lattice matched to InP,
has been reported to be available for lateral oxidation at up
to several microns at 500 C [5], which provides an
enough depth for photonic crystal cavities and much
3. Simulation results
Oxidized layer has much lower refractive index
compared to unoxidized region so that we can expect thick
- 374 -
ICEIC 2015
oxide layers will generate greater perturbation in refractive
index and decrease cavity Q factor as a result. On the other
hand, oxidation rate of the layer is highly dependent on
thickness of the layer, and thin layer is more difficult to
achieve deep lateral oxidation [4]. As a result, thickness of
the layer should be compromised for high Q factor and
process feasibility.
Q factor
10
10
10
0.05
0.1
0.15
4. Conclusion
We presented an optimized design for electrically
injected photonic crystal laser with oxidized current
guiding layers. By implementing epitaxial layers to be
oxidized, we could obtain effective current confinement
inside the laser cavity without high temperature doping
activation or active region regrowth. Simulated Q factor >
30,000 is achieved with thick oxidation layers for deep
lateral oxidation.
Acknowledgements
This work was supported by the Center for Integrated
Smart Sensors funded by the Korean Ministry of Education,
Science and Technology as Global Frontier Project (CISS2012M3A6A6054186).
References
- 375 -
FO-3-5-2
Sang Wan Kim1, Seongjae Cho2, Jang Hyun Kim3, Byung-Gook Park3, Woo Young Choi4
Dept. of Electrical Eng. and Computer Sci., University of California, Berkeley, CA 94720, USA
2
Dept. of Electronic Eng., Gachon University, Seongnam-si, Gyeonggi-do 461-701, Korea
3
Dept. of Electrical and Computer Eng. and Inter-university Semiconductor Research Center
(ISRC), Seoul National University, Seoul 151-742, Korea
4
Dept. of Electronic Eng., Sogang University, Seoul 121-742, Korea
E-mail: wchoi@sogang.ac.kr
Abstract
Sub-gate
Main-gate
1. Introduction
Si or SiGe
BOX
H
D
TSOI
- 376 -
ICEIC 2015
-3
-3
10
Vg-sub = -0.4 V
-6
Vd = 0.5 V
10
Wfn-sub = 5.17 eV
-9
-12
All Si
All Si0.7Ge0.3
Savg = 4 mV/dec
-15
10
0.0
0.2
0.4
0.6
Vd = 0.5 V
Ion boosting
10
Wfn-sub = 5.17 eV
-9
10
Wfn-main = 4.05 eV
Vturn-on scaling
10
All Si
All Si0.7Ge0.3
Si0.7Ge0.3 at Fin
-15
10
0.0
0.2
0.4
0.6
0.8
1.0
3. Conclusion
Wfn-main = 4.05 eV
10
-6
Vg-sub = -0.4 V
Savg = 20 mV/dec
10
10
0.8
1.0
In this work, electrical characteristics of VEHBTFET have been investigated and optimized with
design variables of channel materials and their
locations. The simulation results revealed that
introduction of a smaller-Eg channel material
(Si0.7Ge0.3) locally formed in the vertical fin was
substantially effective in obtaining smaller S, higher
Ion/Ioff, and lower Vturn-on.
Acknowledgments
This work was supported in part by NRF funded by
MISP under Grant NRF-2012R1A2A2A01006159
(Mid-Career Research Program), in part by the KEIT
funded by the MOTIE under Grant 10039174 (IT
R&D Program), and in part by the MOTIE/KSRC
under Grant 10044842 (Future Semiconductor Device
Technology Development Program).
SiGe
Si
Main-gate
Sub-gate
References
Hoff-set
D
- 377 -
FO-3-5-3
and
Worawit Somha
Abstract
This paper proposes a practical solution to the
ringing error problem with Lucy-Richardson (L-R)
deconvolution used for inversely analyzing the Random
Telegraph Noise (RTN) effects on overall SRAM
margin modulation. The proposed technique
successfully circumvents the ringing error thanks to
reducing the phase difference between the feedbackgain and deconvoluted object in iteration cycles, which
contributes to avoid any positive feedbacks, resulting
in no error amplification. This effectiveness has been
demonstrated for the first time with applying it to a
real analysis for the effects of the RTN on the overall
SRAM margin variations, while exploiting a faster
convergence benefit of L-R algorithm.
Keywords: Lucy-Richardson deconvolution, Ringing
1. Introduction
Ever increased spatiotemoral margin variations (MV)
effects on SRAM fail probability cannot be inversely
analyzed any more by the ordinary Gaussian-based
deconvolution analyses [1-2]. This leads to a rapid
increase in pressure to develop a new deconvolution
analysis tool (see Fig. 1).
Lucy-Richardson (L-R) algorithm [3] is one of the
most widely used one for recovering a latent image
from the blurred image.
The authors already demonstrated the ringing issues of
the L-R deconvolution (L-R-dcnv) of the RTN
distribution (g) with the SRAM overall MV (h) and
concluded that this cannot be used for SRAM analyses
[2]. This is because it causes the ringing and cannot
meet the prediction accuracy requirements of the
probability density distribution (pdf) in the tail region
(rare-event, i.e., pdf < 10-12) [2].
To the best of our knowledge, there have been very
little qualified published solutions to the ringing error
problems with the L-R-dcnv for the real SRAM MV
analyses [2].
- 378 -
ICEIC 2015
2) smoothing of p or q with least mean square
(LMS). (See Fig. 4 and Fig. 5)
3) shifting q with the tuning the position of the
center of PSF. PSF is used in iteration cycles of the
expression (7). (See Fig. 6)
Since q is the convolution result of p with PSF, the
phase of q can be controlled by the center position of
PSF as shown in Fig. 6.
g(t+1)= g(t) x
References
conventional
g(t)
Lucy-Rechardson
f^ ---- (1)
f^ = flipped f
h = g f ------------------- (2)
h(t) = g(t) f ------------------- (3)
h
= h(t) ------- (4)
p = g(t)
h
f
Table-2 Proposed 6-modifications and its expressions for modified
parts (A-C) of Lucy-Rechardson deconvolution (5-8)
A-1
A Padding zero
to
LMS
B (least mean
square)
Phase tuning
C b/w p and q
by PSF
A-2
B-1
B-2
C-1
C-2
p = h(t)/ h
q = p f^
p = h(t)/ h
q = p f^
shift p to right
shift p to left
h f^ -- (5)
h(t)
h
h(t) f^ -- (6)
h
PSF ---- (7)
h(t)
q = p f^ = p PSF ---- (8)
g(t+1)= g(t) x
Fig.1 Forward (convolution) and inverse (deconvolution) problem
of RDF(f) and RTN(g) variation effects on overall VLSI (SRAM)
margin variations (h=fg)
- 379 -
ICEIC 2015
- 380 -
ICEIC 2015
(t)
(t)
Table-3 Summary of error reductions by the proposed one (C-2) for RTN deconvoluted distribution g(t) and cdf of h = g f .
(t)
Main reason for error reduction is elimination of ringing of g .
How much the proposed one (C-2) can reduce the error?
Relative error at pdf=10-12
Error of deconv RTN
g(t)
Conventional L-R
100%
10-10@10-12
- 381 -
1/1010
1/1010
< 10-8 %
< 10-20@10-12
FO-3-5-4
1. Introduction
The performance of planar MOSFETs with channel
lengths under 32 nm has limitations due to short
channel effects (SCE). To overcome SCE, The tri-gate
FinFETs, which is one of the MuGFET (multi-gate
FET) has been adopted for mass production. FinFETs
has suffered from the high parasitic resistance and
capacitance for its 3 dimensional shape. Several studies
show that the contact resistivity can be very low
(0.2~0.5 um2) in short channel devices [1][2].
Tekleab [3] discussed various types of contact
boundary conditions that can be successfully applied to
FinFETs. However, in their work, the contact
resistivity value is larger than 3.3 um2, and our
TCAD simulation shows that their model has 22.19%
maximum error if the contact resistivity is less than
0.30 m2. Chang-Woo Sohn [4] also discussed the
extrinsic resistance of FinFETs, and they adopted the
concept of effective contact resistivity which is difficult
to be determined.
- 382 -
ICEIC 2015
11.62[ nm ]
q n n p p dx
11.62[ nm ] xac
11.62[ nm ] xac
1
Rspr
K
(a)
(b)
(c)
Fig. 4 Description of Rcon analysis. (a) shows
distributed resistance along the silicon interface, (b)
shows closed cut plane of S/D region in the channel
direction at low contact resistivity, (c) shows opened
cut plane at conventional contact resistivity.
q n n p p dx
( 1)
(2)
13.50[ nm ]
1
GLsd
Rd
1
)
Lsd R G
(3)
(a)
(b)
(c)
Fig. 3 Description of Rsh analysis. (a) S/D region
with top contact, (b) S/D region without top contact,
(c) simplified side sheet resistance.
- 383 -
ICEIC 2015
G Weff R
,R
R
coth( Lsd R G )
G
sd
S0 B Rdq
(4)
, S0 H fin W finWeff
4. Model Validation
4.1 Sheet Resistance Validation
We verify our Rsh model by changing Hfin and Lsd.
Fig. 5 shows Rsh validation with various Hfin. As
FinFETs below 22nm process nodes uses H/W ratio
larger than 3, the range Hfin30 nm is an infeasible
range. The maximum error occurred at the feasible
range is 3.33%. Fig. 6 shows Rsh validation with
various Lsw. In this case, all Lsw range is feasible. The
average error is 5.57%.
4.2 Contact Resistance Validation
We verify our Rcon model by changing Weff and Rd,
but keeping same H/W ratio. Fig. 7 and 8 show the
results. As effective width becomes smaller, the contact
resistance becomes larger because of the small contact
- 384 -
ICEIC 2015
5. Conclusion
We propose improved contact and sheet resistance
model for S/D resistance of FinFETs. When the contact
resistivity is very low, the sheet resistance, which has
been mostly ignored in planar MOSFETs, has a
considerable contribution to S/D resistance. We also
show the previous TLM model can no longer be
accurate in this regime, and propose an improved
model. In the future, modeling of spreading (Rspr) and
accumulation resistance (Rac) will be investigated. The
modeling method in this paper is also applicable to
other multi-gate transistors like nanowires.
Acknowledgement
This work was supported by the IT R&D program
of MKE/KEIT [10039174, Technology development of
22nm level foundry devices and PDK] and IDEC.
References
[1] Jieying Luo, et al., Compact Model for Carbon
Nanotube Field-Effect Transistors Including Nonidealities
and Calibrated With Experimental Data Down to 9-nm Gate
Length, IEEE TED, vol. 60, no. 6, pp. 1834-1843, June
2013.
[2] Aaron D. Franklin and Zhihong Chen, Length scaling of
carbon nanotube transistors, Nature Nanotechnol., vol. 5,
no. 12, pp. 858-862, November 2010.
[3] Daniel Tekleab, et al., Modeling and Analysis of
Parasitic Resistance in Double-Gate FinFETs, IEEE TED,
vol. 56 no. 10, October 2009.
[4] Chang-Woo Sohn, et al., Analytical Model of S/D Series
Resistance in Trigate FinFETs with Polygonal Epitaxy,
IEEE TED, vol. 60, no. 4, April 2013.
[5] Sentaurus 3D, Synopsys Inc., Mountain View, CA, 2014.
[6] Ng, K.K and Lynch, W.T., Analysis of the gate-voltagedependent series resistance of MOSFETs, IEEE TED, vol.
33, no. 7, pp. 965-972, July 1986.
[7] Yuan Taur and Tak H. Ning, Fundamentals of Modern
VLSI Devices
[8] P. M. Hall, Resistance calculations for thin film
patterns, Thin solid Films, vol. 1, no. 4, pp. 277-295,
January 1968.
[9] Raphael 3D, Synopsys Inc., Mountain View, CA, 2014.
- 385 -
FO-3-5-5
(a)
(b)
(c)
(d)
1. Introduction
In the most recent technology roadmap, the on-chip
optical interconnect is being regarded as the
replacement for electrical interconnect owing to its
low-power consumption, high-speed data transmission,
and low latency [13]. Here, modulator is an essential
active optical component that alters phase, amplitude,
and frequency of the optical signals, which has been
adopted from optical telecommunication system down
to on-chip optical interconnect for next-generation
very-large-scale integration (VLSI) system realized by
the convergence of electronics and photonics [45]. In
this work, a surface-normal modulator diode has been
fabricated and characterized. Ge/SiGe quantum wells
were constructed as the base material for an optical
modulator with higher compatibility to Si processing
and stronger quantum confinement effects.
2. Device fabrication
- 386 -
ICEIC 2015
-3
x 10
0V
-1V
-2V
-3V
-4V
-5V
Photocurrent (a.u.)
5
4
3
2
1
0
1400
1450
1500
Wavelength (nm)
4. Conclusion
In this work, a Ge/SiGe quantum-well-based
surface-normal modulator diode has been fabricated
and characterized. The Ge/SiGe quantum wells were
constructed by an RPCVD which is an epitaxy tool
more suitable to batch process for massive production
and the rest of device fabrication was carried out by Sicompatible process integration. The fabricated
Ge/SiGe modulator demonstrated clear excitons peaks
and shifts under various bias conditions at room
temperature successfully. The Ge/SiGe quantum-well
modulator would be an essential active component for
optical interconnect in the electronic-photonic
integrated circuits (EPICs) toward the next-generation
VLSI systems.
Acknowledgments
1550
References
[1] International Technology Roadmap for Semiconductors
(ITRS) 2013 Edition. On-line available at http://www.itrs.net.
[2] J. W. Goodman, F. I. Leonberger, S.-Y. Kung, and R. A.
Athale, Optical Interconnections for VLSI Systems, Proc.
IEEE, vol. 72, no. 7, pp. 850866, Jul. 1984.
[3] D. A. B. Miller, Rationale and Challenge for Optical
Interconnects to Electronic Chips, Proc. IEEE, vol. 88, no.
6, pp. 723749, Jun. 2000.
[4] M. Gilmore, Fibre Optic Cabling: Theory, design and
installation practice, Butterworth-Heinemann Ltd. (Oxford,
UK), 1991.
[5] D. A. B. Miller, Optics for low-energy communication
inside digital processors: quantum detectors, sources, and
modulators as efficient impedance converters, Optics Lett.,
vol. 14, no. 2, pp. 146148, Jan. 1989.
[6] Y.-H. Kuo, Y. K. Lee, Y. Ge, S. Ren, J. E. Roth, T. I.
Kamins, D. A. B. Miller, and J. S. Harris, Strong quantumconfined Stark effect in germanium quantum-well structures
on silicon, Nature, vol. 437, no. 7063, pp. 13341336, Oct.
2005.
- 387 -
ICEIC 2015
Poster Session
PS-1
Special Symposium on Semiconductor
and Device
P1-01
#"!$!
! "
#
#
&%
$
#
#
#&
$
&)##
)'(" #
#
&#
*+
,& )
&&##&&$) &'
%"&
#"$
(,-563(03)4+
#)378(
#)378(
8&
&
7 $
&
&
& $
# #
&
# & ' $
#
,
&7+
0+
$
$%#)
$%#)&#
)'(
7&
# &
9<627= & &
&
#
&
,&
$%#)
&734?
>'@34-'(
0&
#
&
$
#&&
$ 9 <627=' 9 9
<627=
#
&
#&
&
#
!
$
'
& &
!7 !0 !A
' (
&
#
#
&
&
&
' & &
#
&
& #& $
#&
!
,#
&
,
&
'(
0
&7'B34-
@'534-$%#)
'
'"(*)
&
&&$
" &#
#
&
&2
,& 0'134-
,& 1'534-' $
& &
$
&
.
&
#
#
#
' (
&
, .
&
#
&
$
$#
&
$768'9
#
.
:
&.!:7
&
$$
.
&
;/
&
, &
6'75
#&& #
&
#
)&
&
##'8
$
&7A1C77A
#
&)
&
&
0' &
&
&
$
&
&
&&
& &
A
1
&
& 6'>; 734-
@'534- &
& B66!4- A'D34-
&#
)' &&&&
&
- 389 -
ICEIC 2015
#
" &#
0'134-
1'534-%
'
76
77
A66;
%#)
7'B3E@'73
7'B3E@'03
7'B3E@'A3
7'B3E@'13
>66;
#
&
D>08
7'6@8
7'078
7'A78
%#)
7'B3E@'B3
7'B3E@'>3
7'B3E@'@3
7'B3E@'53
#
&
D5>8
7'6@8
7'008
7'AA8
' &
)-)),
)
&
)
&
.
1/#0
>B
!
6'7A
!
>B
:!
6'75
!
.
1/%0
.
1/'0
2
1
&
,
)
5'619
*>'@8$
7'0;+
09
A'79
AEB'>34-
0'A19
*7'A8$
7'5;+
7E@'534-
AE7634-
7E7'@34-
1
)
& &# & &
.) /&
# #
#
"&# :
"&#
$
*" +$.) !
&)
$
F#
*" 067A"78780676771+
A66;
%#)
73E>'13
#
&
D118
>66;
%#)
73E>'@3
#
&
D>68
+",
8
$
"
&#
& .
&'
&
,
&
6'75! #
)'
C
#
&
&0'A19*7'A8$
- 390 -
P1-02
Abstract
(From DC adeptor)
This paper presents a Wide Output Range Step-Up DCDC Converter with Maximum 92.5% Power Efficiency in
0.18 CMOS technology. The proposed Step-Up
converter operates with 500 kHz switching frequency.
Post-simulation result show that the output voltage is 14V
~ 30V at 12V input when output current is 500mA and the
maximum efficiency is 92.5%.
Keywords: Step-Up DC-DC converter, Wide Range,
PWM, Bootstrap.
VDD
Current
Gen.
VB
Boot
strap
VS+5V
VS
VS+5V
VS
VS
HS_L
VEN
0V
Enable
5V
Clock
Generator
DRIVER
HS_R
StU_OUT
VSS
0V
C
LS
Feedback
Circuits
PWM
Load
GND
LDNMOS
FB
1. Introduction
In recent years, the trends of the portable product are
downsizing, light weight and power management system.
The need of DC-DC converter has been extended to
various fields as advanced technology applied to
application. So, the high efficiency at wide input range and
load current of DC-DC converter is needed. The inductor
type of PWM mode from DC-DC converter has very high
converting efficiency. So, it is compact for the need of
battery cycle life such as portable system and electronic
device.[1]
The proposed Step-Up DC-DC Converter operates in
PWM mode. The input voltage is 12V with wide output
range of 14 V ~ 30 V. The load current is 500mA and postsimulation result shows maximum efficiency of 92.5%.
VB
M2
Gate Driver
M3
Gate_Logic
VIN
Delay
Cell
AND2
VIND
VOUT
VINB
Delay
Cell
AND2
VINBD
VIN
M1
M4
VINB
VS
- 391 -
ICEIC 2015
VOUT vs Efficiency
95
Efficirency [%]
90
92.5% @ TT/5V/27C
85
SS/5V/125
80
TT/5V/25
FF/5V/-40
75
70
14
16
19
20
22
25
28
30
VOUT
4. Conclusion
The proposed Step-Up DC-DC Converter was designed
with 0.18 CMOS process. The switching frequency is
500 kHz, load current 500mA, wide output range of 14 V ~
30 V at 12 V input, and 89.5% ~ 92.5% efficiency has
been achieved.
The proposed wide output range Step-Up DC-DC
Converter could be applied to power management IC to
increase power supply efficiency.
Table 1: Performance Summary of Proposed Work
VGS_LS_
mos
5V
VGS_HS_
mos
5V
Parameter
Supply voltage
Output voltage
Switching
Frequency
Output Current
Maximum
Efficiency
(Post-Simulation)
Process
VB
VS/VB
VS
VG_HS_R
mos
30V
StU OUT
(a)
This work
12 V
14 V ~ 30 V
500 kHz
500 mA
92.5%
0.18 m CMOS
Acknowledgment
This research was supported by the MSIP (Ministry of
Science, ICT and Future Planning), Korea, under the
ITRC(Information Technology Research Center) support
program (NIPA-2014-(H0301-14-1008)) supervised by the
NIPA(National IT Industry Promotion Agency)
References
(b)
Fig. 4 Post-simulation result of proposed Step-Up DC-DC
Converter (a) Top-simulation (b) Bootstrap simulation
Fig. 5 is the efficiency result of PVT variation which is
the corner analysis. When it is in Typical NMOS and
PMOS (TT), the efficiency is from 89.5% to 92.5%. The
result shows stable efficiency overall voltage from 14 V to
30 V with maximum efficiency of 92.5%.
- 392 -
P1-03
Abstract
We investigated the effect of metal oxide
nanoparticle (NPs) in PEDOT:PSS (poly(3,4
ethylenedioxy-thiophence),
Poly(styrene-sulfonate))
layer for the light harvestation in P3HT:PCBM
organic solar cells. Due to the difference in the energy
band structure of the two nanoparticles, the WO3 NPs
acted as a hole blocking layer, whereas MoO3 NPs
helped in the hole transfer. The solar cell with WO3
NPs at 1.5 wt% concentration, showed a power
conversion efficiency of 4.22% under AM 1.5G
illumination and the device blended with 2 wt% of
MoO3 NPs showed a PCE of 4.40%.
Keywords: Metal oxide nanoparticle, organic solar
cells
1. Introduction
The organic solar cells (OSCs) have an infinite
potential of becoming a renewable energy source
because of the advantages like low-cost, simple
fabrication, light-weight, and flexibility [1-3]. The
scattering of light due to the surface plasmon resonance
effect is the most sought-after technique to enhance the
short circuit current density (Jsc) of organic solar cells
[1-3]. The addition of light scattering materials
revamps the light intensity in the device, resulting in an
increased light absorption.
In this study, the artificial buffer layer mixed with
metal oxide NPs (WO3, MoO3) was used to enhance
the scattering effect and to provide mechanical
endurance to the device without increasing the
thickness.
The
electrochemical
impedance
spectroscopy (EIS) was used to study the interface
charge transport process and resistance.
- 393 -
ICEIC 2015
Fig. 1 (b) shows the energy band diagram of different
device. J-V characteristics were measured with J-V
curve tracer (Eko MP-160) and solar simulator (YssE40, Yamashita Denso) under AM 1.5G (100
mW/cm2) irradiation intensity. The Resistance was
measured using EIS. Entire fabrication and
measurement processes were conducted in ambient air.
Control
36.7
675
WO3
33.5
351
MoO3
30.4
254
4. Conclusion
Fig. 2. J-V characteristics of inverted organic solar
cells using PEDOT:PSS buffer layer with WO3 or
MoO3 NPs
Table 1. Summary of organic solar cells
performance without NPs, with WO3 and MoO3
NPs in PEDOT:PSS layer.
Control
WO3
MoO3
Jsc [mA/cm2]
12.80
14.61
15.00
Voc [V]
0.56
0.56
0.57
F.F
0.48
0.51
0.51
PCE [%]
3.46
4.22
4.40
References
[1] M. G Kang, T Xu, H. J Park, H. X Luo, L. J Guo:
Adv. Mater. 22 (2010) 4378.
[2] A. P Kulkarni, K. M Noone, K Munechika, S. R
Guyer, D. S Ginger: Nano Lett. 10 (2010) 1501.
[3] E. K. Park, M. Choi, J. H. Jeun, K. T. Lim, J. M.
Kim, Y. S. Kim: Microelectronic Engineering 111
(2013) 166.
[4] Y. Zhang, L Li, S Yuan, G Li, W Zhang:
Electrochim. Acta. 109 (2013) 221.
- 394 -
P1-04
!
$ ! " #&%! #&% !
"'"*)(! , +
/,/--. 0
&"
%
%
%
#"$
%
!" " " *
*
#$(
,+-). !#$(
" !)
" "" %
! /
" #$( ) #%
! " ! *
0!! * !
)1 !!!%
!
)
2"! 3 "*"%
! " !
" "
!
! * ) 4% " " *
" )."
!"!
"!"
!"
)
- 395 -
ICEIC 2015
"&"
%
"
-./*21.0./*2#.3
)!
2" *"6" A)
*
,+- B") B C= (
2(2&2* ( 2 ' 2" ! D
4 " <"
E 41
0 )
:88F)+F:+F))
"'"
%
"
-./*2,4.0./*2#.3
- 396 -
P1-05
1. Introduction
Recently, there has been a growing interest in gas
sensors to detect harmful gases causing lung diseases
such as asthma [1]. A promising FET type gas sensor
based on Si MOSFET having a lateral floating gate
(FG) has been proposed to achieve low cost, low power,
high reliability, and small size [2]. The gas sensor uses
a metal oxide sensing layer which has a wide range of
working temperature (150C < T < 500C) [3].
However, Si MOSFET type gas sensor has a maximum
working temperature of 250 C because the junction
leakage in Si MOSFET degrades sensing property at a
temperature higher than 250 C [4]. Thus, it becomes
increasingly important to develop a FET type gas
sensor based on wide band gap materials to work at a
high temperature [5]. In this work, we propose an
AlGaN/GaN MISFET gas sensor having a horizontal
FG and show gas sensing characteristics of the device
by using SnOx and NO2 as a sensing layer and a target
gas, respectively.
2. Device Fabrication
Fig. 1 (a) and (b) show the top and 2D cross
sectional views of the fabricated gas sensor, respectively. The device reads out work-function (WF) change in
the sensing layer butted to the control gate (CG), when
the device is exposed to a target gas. Key fabrication
process steps of the device are explained as follows. An
AlGaN/GaN hetero-structure is grown by metal organic
chemical vapor deposition (MOCVD) on a sapphire
4. Conclusion
We have proposed an AlGaN/GaN MISFET gas
sensor having a horizontal floating gate which is able to
work at high temperature. In this work, a SnOx sensing
layer was adopted to sense NO2. Sensing current of the
- 397 -
ICEIC 2015
gas sensor exposed to NO2 decreases due to increasing
WF of the sensing layer. Measured response and
recovery times of the gas sensor when exposed to N2
and 50 ppm NO2 alternately, are about 35 s and 60 s,
respectively.
(b)
5. Acknowledgement
This work was supported by by the Brain Korea 21
Plus Project in 2014 and the National Research
Foundation of Korea (NRF) grant funded by the Korea
government (MSIP) (No. 2008-0062617)
Al2O3
(Gate Insulator)
References
ID (A)
-5
10
-6
Temp. = 25 C
10
-7
VDS = 0.5 V
10
-8
10
-9
10
-10
10
-11
10
-12
-14
-6
10
N2
NO2 50 ppm
-7
10
Temp. : 300 C
VDS : 0.5 V
-8
10
-22
-20
-18
-14
-12
VGS (V)
4.5
NO2:ON
N2: OFF
Temp. : 300 C
NO2 : 50 ppm
3.5
ID (A)
Contact
-16
3.0
2.5
2.0
1.0
Sensing layer
-6
-5
Metal2
-8
10
NO2:OFF
1.5
Metal1
-10
Source/Drain
Active
-12
VGS (V)
4.0
Floating
Gate
Undoped GaN
Sapphire
ID (A)
AlGaN
N2: ON
12
15
18
21
24
27
Time (min)
- 398 -
P1-06
injection
1. Introduction
injection
2. Circuit design
2.1. Injection Locked Ring Oscillator
The designed SI-PLL employs an injection-locked
ring oscillator. A 4-stage ring oscillator is used as the
VCO, and a mosfet is located between differential
XOR
Pulse
- 399 -
ICEIC 2015
CLK180
CLK0
Inj.Pulse
CLK180
CLK0
Inj.Pulse
Injection
Replica
VCO
Ref.Clk
125MHz
PD/CP
Injection
Clk_out
1GHz
VCO
Vctrl
(b) w/ injection
4. Conclusion
3. Simulation results
The SI-PLL is designed in a 65 nm CMOS process.
Fig. 4 shows the layout picture of the SI-PLL,
composed of VCOs, CP, PFD, divider and LF. Fig. 5
indicates locking process with injection pulse. In the
beginning of injection, the pulse may be located in any
phase of replica VCO clock, thereby pulling or
pushing the clock. After all, clock edge is forced to
locate middle point of the injection pulse and VCO is
locked with a reference clock.
Fig. 6 shows simulation results of effect with
reference clock injection. The simulation is achieved
with H-SPICE containing flicker and thermal noise
from 100kHz to 10GHz. The RMS jitter with injection
is 2.27ps in comparison with conventional PLL of
3.45ps. Therefore, jitter performance is improved by
35%.
Rep. VCO
References
[1] A. Musa et al., A compact, low-power and lowjitter dual-loop injection locked PLL using all-digital
PVT calibration, IEEE J. Solid-State Circuits, vol. 49,
no. 1, pp. 5060, Jan. 2014.
[2] B.M. Helal, C.-M. Hsu, K. Johnson, M.H. Perrott,
A Low Noise Programmable Clock Multiplier based
on a Pulse Injection-Locked Oscillator with a HighlyDigital Tuning Loop, IEEE RFIC Symposium, pp.
423-426, June, 2008.
[3] J. Lee and H. Wang, Study of subharmonically
injection-locked PLLs, IEEE J. Solid-State Circuits,
vol. 44, pp. 15391553, May 2009.
CP
VCO
PFD
LF
Divider
- 400 -
P1-07
!
"#
$
"
!
%
#$& '(
, #)*+' %
&
-./0
%
%
%
%
1 +
% %
$& )*+
% %
% # +' % $& )*+
%
% #2+'1 +
% %
% (
3
2+
+ % ( 3
% %
"
1
+
% 42
516%)
&
% %&%
1
&
#% !$ !!" #
#" +
#
"%(')& ",#
#
*+
!!" # #" +
#
" %-')& /"#
.
"
#
#" ++#
"%1)&0
(')
2 #" +
#
" + $ . "# 3(
"
#+ .
+ # + "#
#
#
!"*
$ "+
+
+ "#$
! #
+
"
#+0 )"!
" # + ++ # ## #
#" +
#
" + "!
"
"
#"+#
+
+
+ " #" +
#
% &
+
!"%(& "#$045
6# #" +
#
" ",#
#
7 -1)+"
# "!
"
#" +
#
"
. + # "
+ "#$0 #+" ",#
#
!
" #" +
#
" + 7 - 1)+ +
"
+0 ) "
+ #
+ /"! .$ #
8" # "+#+
.# !
" #" +
#
"
# 90:
"
++0
"','#-;
!#"
+3(!"/"++-7)
/"#
+0 )) (( +# !
" # #$
+
!+#
! "+
#/$0
)"
+#" +
#
"
++
(0
.+!
" 3(!"0)
!
#"
+!"+/ .$
4
< % &
$+
)
#
#
! 7 8 - !
"#8
- 401 -
ICEIC 2015
+#0 )
#
+
! 99 9=0= >, "
+"0 (0 "+ #+ # "#$
"+
! # "
+ !" # #
/ #
!"+
# # -')
" # (')0 # +
++ ## !"+ / # +
"" #
+#
02+
.+ (0;#"
+
!"+.##" "#$"!
"
#
#
"'-'
"
#
"
!#3(!"
,'
) 3( !"
# # "
+ #" +
#
" " # 90:
"
++ #
"
/ # !+.#$
! #
"
+ ",#
#
*0 (0 ? +
+ #
"
#
"
! # # 3(
!" !#"0 )
" +,
! # 3(
!" + 90; 90 0 # "+ 0@ 2
!"
0: 7 +$ /
#0 ) +"
!"*
$ "+
+
! # 3( !" + +
(0=0)
!#3(!"+=00(0@0
+
+#+"-; #
#
+
!99
9=0= >, " 0 $
# # "
+
",#
#
*=0:
!-;"
/ #
+
/
/" #
+ " # !" +
"'/'+"!"*
$"+
+
!#3(!"
#
#"
+#" +
#
"0
"'0'+"-;
!#3(!"
# #"
+
#" +
#
"0
$
%-"!
"
"$
!)3(2!"A
$
)-"
+)" +
#
"
-'
2 ",#
#
+ 7 - 1)+
"
++ + "
+ #
"
/
#$
"
!#" +
#
"0)"
+
#
+#
/"
!##" +
#
"
+#
,"
.$
. 7 -1)-')
7 -1)(')##"
"
"" #"#
0)
"
+3(!"
/=0: :0= -;
"
/ #+"+
#/$0)#
+
.
$ #
#/ 8"+ 2
"
#+0 )
"
+ #
* + +
$ . !
!
" 2
"
#+ "
#
/"+
"
/"+ %'3+&
( "
/"+ .
+ # "
/+ "#$
"!
"
++
8
+"!
"
0
!A
#
%9;9@:=999&0
)" +
#
"
#
$
B7 -
(')
7 -
-')
-"
+
)" +
#
"
< %&
=0?
=0
=0
(%&
@0:
@0:
@0C
-;%&
D0;
?0?
90
"" #
+#
0=
0@
"% &
$/
#%7&
0@
90; 90
0:
45 '00 0E2
#/!.
.
2#-!
". #)7"
/"+F
+1.1+
+
1/
0=:
00;=@@G;=CD
'
0990
45 -003
10H 0 #E2
/
.
"(A)
+!"%(A)2&
"
#
!"#
+ #
$
##
F
+1.1+
+
1/
0=
00C=G
:9
/099;0
- 402 -
P1-08
P5
P4
P2
P3
P1
P0
BIAS
OUT
1. Introduction
TVWS transmitter can transmit the signal for a wide
frequency range (470-698MHz). Since the TVWS band
is broadcasting frequency, the interferer of adjacent
channel is high. Therefore, when the receiver receives
the signal with quality, the transmitter requires the high
performance of the DA which can transmit the signal
with the unwanted signal blocking. The figure 1 shows
the DA block diagram and simulation environment.
The proposed DA is composed of the Pre Amp and
Main Driver. When the DA verifies with simulation,
Pad, Bonding wire model, Matching Network, and
antenna 50 should be considered. The Chebyshev Pi
LC Low Pass filter is adopted for Matching Network
and reject the harmonic components.
0.2736
IN
750pH
Driver Out
DA_CONT<0>
OUT
IN
PRE
Driver
Main
Driver
Bonding wire
Modeling
50
DA_CONT<1>
DA
Power
Cell_0
DA_OUT
PAD
PRE_Amp_Bias
PRE_PD
IN
PRE
DA
DA
Power
Cell_1
DA_CONT<2>
DA
Power
Cell_2
DA_CONT<3>
AlwaysON
DA
Power
Cell_3
DA
Power
Cell_def
Main Driver In
DA In
2. Architecture
3. Experiment Results
The figure 4 shows the proposed DA layout. The
layout size is 946um x 688um
- 403 -
ICEIC 2015
Pre amp
( dBm )
14
12
10
8
6
4
2
0
-2
-4
-6
Main amp
4. Conclusion
This paper proposed the DA has filtering
characteristic and linearity for wide range (470~698
MHz). Also, this DA offers the similar to the power
and s22 value overall the TVWS range with Cap. bank
control. The table 1 shows the performance summary
of the proposed DA
.
Table 1. Performance Summary
-10dB
Parameter
Technology
Power
Supply Voltag
Current Consumption
Die Area
-20dB
460M
715M
Frequency (Hz)
Total Current
Total Current
(b)
Figure 6. Linearity simulation of DA with power
control <3:0> (a) Total current (b) Power
0dB
POWER
POWER
value
0.18m CMOS
12dBm
3.3V
41mA
946um x 688um
Acknowledgements
This research was supported by Global PH.D
Fellowship Program through the National Research
Foundation of Korea(NRF) funded by the Ministry of
Education (grant number 2013H1A2A1034225)
References
[1] Desheng Ma, Fa Foster Dai, A 7.27GHz Q-Enhanced
Low Noise Amplifier RFIC With 70 dB Image Refection
Ratio,
IEEE
MICROWAVE
AND
WIRELESS
COMPONENTS LETTERS, VOL. 20, NO. 8, AUGUST
2010
[2] Razavi, 1999, Design of Analog CMOS Integrated
Circuits.MC Graw Hill.
(a)
- 404 -
P1-09
$
(%8 # ) ! #
*%* %"
"#
%)%!!
#!
!% !% &9#
% /#' 3 ! /# !
)#* %"
"#
% % &&& *#!*
:,:; "#0'
( !2 ) !
%"
"# #
!%
( !%!
% !% * ! %
% ) * ! #!* * ! &&& #
!*:,:;"#0'* ! ! <= "
!%
!
"!( !!!
4> *# "!% ! ! %2#
!
<, /
% ! ! % !
?
) !$!2 &' : "
!
! !
"!#$#
"
!% #! !% !% &'
!%( %!# ! )%#
% *% *! !*
! !% !% ! )%! ) !%
!
%
) ( *%* ! "!! ! *% !
! %!# !
#!
!% !% &' ! !* !
!% !% ( ! )%" ! * "!
$
& '
%
@A) "
! %! 9#
% /#
*%%"
! " *!
"6<7B !"!!
*!
! ) % !%" % ! !
% ! ! ( % %)% ! "
**( ! %(!%% !
(%%% ! #
- 405 -
ICEIC 2015
%% !3! !%!!!!" " !9#
% /# :0"/+ ! )%
(! ,,=/ !% )! ,50/
=="/+
()
()
()
()
()
()
(!% * &' & $
0' % %!)
! ( &&& /9 J ,0 /' ! )%!
!
* ! ( !
* ! # !! %)
%% !
& $
"' !"*%)" ! & $
0'
3A&/9!9#
%/#%
$
09#
%/#) ! # !!
%)
%% ! J := K@+K" ! *%
** )!
&/AA',=/%)%!!!
(#-,#"/+
! 0,, 1 )% !% % #!#
%
4
5
@ ) 9%"
"#
% )%! #!
!% !% &9#
% /#' *%* %
7
6:7I/ (%@/%
!99% 2
% I
O@ !"%* !
!
!
#!!% !%O
?
&
5
?)<**:0E#:<,5,,P
657 1" 1"
#Q 1
O9%"
"#
% !
! !% !% !
%%34+3O ?
&,@
5
&/
&<&
**:EPG:E;5,,;
607#9I
9"
%
R#
ROA)*
*% * %
!
(#! !
!
!% !%
!
#%"
"
%
!%
! O )& ?& @& ** 5<0=,=#
:S5<0=,=#0A5,,E
6<7 !
%
A)B %9
/% C#5,:0,0
*
! /
@B@%5,:0
- 406 -
P1-10
The Fast Switching LED Driver Using Current Peaking and Carrier Sweep
Out Techniques for High Speed Visual Light Communication System
Chang Kyu Lee#*, Seong Jin Oh*, Kang Yoon Lee*
#
Samsung Electronics CO., Ltd., Giheung, Korea
*
Sungkyunkwan University, Suwon, Korea
ck1024.lee@samsung.com
Abstract
In this paper, a fast response current-pulse
switching technique for a Light-Emitting Diode (LED)
driver, not only for illumination but also for Visible
Light Communication (VLC), is proposed. It uses
current peaking technique to reduce the optical rise
time, done by adding a capacitance in parallel to the
current limiting resistor, and an inductor is used to
discharge the LED with a reverse current IR during the
turn-off phase. Also, for the input stage, inverter
instead of NMOS is used to reduce the power
dissipation and carriers sweep out. The proposed
circuit is designed in CMOS 0.35- process and
experiments show the proposed circuit provides the
switching delay time from 3.81 ns to 0.42 ns of the
conventional LED driver at 100 MHz frequency.
Keywords: LED Driver, visible light communication,
pulse-current, carrier sweep out, CMOS, inverter.
V
LED
Rs
Carriers
Cp
1. Introduction
Recently, the Light Emitting Diode (LED) has
gradually substituted for incandescent and fluorescent
light. It has great attention as a most promising
candidate for the next generation lighting source due to
its less environmental concern, longer life time, and
excellent efficiency.
Moreover, the LED which is a semiconductor
device, can be turned on and off much quicker than
other lighting devices. This high speed switching can
make the LED suitable for the communication system.
It is possible to encode data in the light by varying the
rate at which LEDs flicker on and off to give different
strings of 1s and 0s. Modulation is very fast that human
eye can not notice. In order to increase data
transmission rate in communication, driving current
- 407 -
VDD
13
ICEIC 2015
VDD
RSweep Out Cpeak
RL
This Work
Conventional
RL
LED
Gate Driver
Vout [V]
LED
Gate Driver
PMOS
HV-NMOS
HV-NMOS
(a)
11
12
(b)
10
250n
30n
50n
Time [ns]
tr
3.31 ns
0.20 ns
tf
0.50 ns
0.22 ns
4. Conclusion
This paper evaluated to achieve the fast switching
LED driver using the state-of-the-art techniques for
high speed VLC system. Current peaking and carrier
sweep out skills achieve the current-pulse rising and
falling times of 0.20 ns and 0.22 ns, respectively by
0.35- CMOS technology process parameter. This
study is expected to be extended in the area of these
works.
70n
Peaking
References
ILED [mA]
-10
-30
Acknowledgment
10
-90
ILED [mA]
-50
10
Conventional
270n
Time [ns]
3. Experimental results
Proposed
260n
30n
Sweep Out
50n
Time [ns]
70n
- 408 -
P1-11
+Vout
VDD
Vin
-Vout
M3
+Vout
M1
Vin
-Vout
M2
M3
M1
M2
(a)
(b)
Fig. 1. (a) Conventional divide-by-2 ILFD (b) Direct ILFD
divide-by-2
2. Circuit Design
1. Introduction
Recently, there have been many studies in the field
of 60GHz bandwidth circuit devices such as wireless
communication applications. As the high-frequency
capabilities of CMOS improve through scaling, CMOS
become a viable alternative for mm-wave applications
[1]. The Phase Locked Loop is an important block for
mm-wave band transceivers, so low power design is
very important and challenging. The PLL of 60GHz
needs to frequency divider chain with very high
division ratio in order to comparing a high frequency of
VCO output with a low frequency of reference clock.
So many frequency dividers are needed by chain which
is the most power consuming part among the PLL
blocks. There are several kinds of frequency dividers
such as Current Mode Logic (CML) frequency divider,
Miller frequency divider and an injection locked
frequency divider (ILFD). CML and Miller dividers
- 409 -
ICEIC 2015
designated ILFD also has re-injection asymmetric
transistor M7 which is modeled by the feedback amp
for increasing current.
3. Experimental results
The proposed ILFD is simulated in a 65nm CMOS
process technology. The divider-by-4 test scheme of
proposed ILFD and the transient waveform result is
shown in Fig. 3. The output spectrum at a 60 GHz
input signal frequency is shown in Fig. 4. The injection
locking range reveals the minimum and maximum
operation frequencies to be 51GHz and 62.8GHz at the
input sensitivity 0dBm and power dissipation is 2.3mW
at the supply voltage 1V. Additional simulation result
is that the proposed dual injection ILFD compares with
single injection ILFD which is tail injection frequency
divider with re-injection(without M6 transistor of the
proposed ILFD) to fine the best performance model.
Vinj
[4]
0.2m
52.7~55.9
5.7
0
10.1 mW
[5]
0.13m
64~70
8.96
-3.5
6 mW
This work
65nm
51~62.8
18.9
0
2.3 mW
4. Conclusion
This paper has attempted to introduce wide locking
range 60GHz frequency divider and its verification.
The most appropriate for high frequency divider among
several kinds of divider is the ILFD. However the
ILFD has narrow locking frequency range. Therefore
dual injection scheme and using re-injection NMOS
transistor for increasing current are proposed and
compared with single injection ILFD. This simple
scheme is simulated by 65nm CMOS technology. As a
result the proposed ILFD has 11.8GHz locking range at
input sensitivity 0dBm and power dissipation is only
2.3mW at supply voltage 1V.
Acknowledgement
This work was supported by the National Research
Foundation of Korea(NRF) grant funded by the Korean
government(MSIP)(2014R1A5A1011478). This work
was supported by IDEC (IPC, EDA Tool, MPW)
Vinj
References
Vout
- 410 -
P1-12
Abstract
This paper describes fast locked duty cycle
corrector (DCC) using 2-Step Operation (Coarse-Fine
Operation). The architecture of the proposed DCC
uses a non-feedback type (Coarse Op.) and a feedback
type (Fine Op.). It can provide both fast correction
time and high accuracy. The simulated correction
accuracy of DCC is 0.5% for 10% external input
duty error in 10 clock cycles. The proposed DCC has
been operated from 0.6Ghz to 1Ghz of clock frequency
range in a 0.18um CMOS technology.
CLK
Buffer
CLK
TDC
I_CLK
Duty-Cycle
Adjuster
Ctrl[2:0]
CtrlB[2:0]
FSM
(Up/Down)
1. Introduction
O_CLK
OUT
Comparator
Phase
Spliter
Charge
Pump
1-Step(Coarse) : Non-Feedback
2-Step(Fine) : Feedback
- Duty Cycle Adjuster (Interpolation)
CLK
CLK
Buffer
I_CLK
Duty-Cycle
Adjuster
O_CLK
OUT
TDC
Ctrl[2:0]
CtrlB[2:0]
FSM
(Up/Down)
Comparator
Charge
Pump
Phase
Spliter
- 411 -
ICEIC 2015
the number of control bit. The number of control bit is
proportional to duty correction range of DCC.
Because of the prior coarse step operation, the
proposed DCCs number of control bit can be
minimized by fine steps input duty error range
reduction. The proposed DCC uses 3 control bits. The
period of control bit updating and comparators
sampling is 2-divided clock. Therefore, the maximum
correction time of proposed DCC is 9-10 cycle of clock.
60%
CLK_IN
0.00n
1.45n
1.45n
6.41n
8.09n
9.79n
11.44n
13.11n
14.79n
3.04n
4.74n
6.41n
8.09n
9.79n
11.44n
13.11n
14.79n
48.8%
Closed_Out
0.00n
4.74n
48.6%
Open_Out
0.00n
3.04n
1.45n
3.04n
50.1%
4.74n
49.7%
6.41n
8.09n
9.79n
11.44n
13.11n
14.79n
6.41n
8.09n
9.79n
11.44n
13.11n
14.79n
0.00n
CLKB_2X
Vbiasp2
OUT
Comp.
CLK_2X
IN
INB
COMP_OUT
1.45n
3.04n
4.74n
(b)
Fig.6 Simulated waveform of 2-Step DCC at 800Mhz with (a) 40%
input duty and (b) 60% input duty
OUTB
CLKB_2X
Vbiasn
IN
40%
40%
60%
60%
40%
INB
Integration
CLK_2X
Equalization
Equalization
Integration
OUT
4. Conclusion
OUTB
COMP_OUT
3. Experimental results
The proposed 2-step DCC circuit was designed using
a 0.18um CMOS technology. From the simulation
results, this DCC can operate from 0.6Ghz to 1.0Ghz.
By the coarse step of non-feedback DCC, the duty
cycle is corrected to be 2% duty error for 10%
external input duty error. By the fine step of feedback
DCC, the duty cycle is corrected to be 0.5% duty
error shown in Fig.7. The correction time of DCC is in
9-10 cycle of clock shown in Fig.6.
40%
CLK_IN
0.00n
1.50n
3.05n
1.50n
3.05n
7.81n
9.42n
11.04n
12.63n
14.28n
4.57n
6.25n
7.81n
9.42n
11.04n
12.63n
14.28n
51.5%
Closed_Out
0.00n
6.25n
51.7%
Open_Out
0.00n
4.57n
1.50n
3.05n
4.57n
50.7%
50.0%
6.25n
7.81n
9.42n
11.04n
12.63n
14.28n
6.25n
7.81n
9.42n
11.04n
12.63n
14.28n
1.50n
3.05n
4.57n
(a)
Acknowledgement
This work was supported by the National Research
Foundation of Korea(NRF) grant funded by the Korean
government(MSIP)(2014R1A5A1011478).
References
[1] Y. J. Min et al., A 0.31-1Ghz Fast-Corrected Duty-cycle
Corrector With Successive Approximation Register for DDR
DRAM Applications, VLSI Systems, IEEE Transactions on
Volume: 20 , Issue: 8, Aug. 2012.
[2] S.-K. Kao, and S.-I. Liu, All-Digital Fast-Locked
Synchronous Duty-Cycle Corrector, Circuits and Systems
II: Express Briefs, IEEE Transactions on Volume: 53 , Issue:
12 , Dec. 2006.
[3] C. S. Jeong et al., Digital delay locked loop with openloop digital duty cycle corrector for 1.2Gb/s/pin double data
rate SDRAM, European Solid-State Circuits Conference.
pp. 21-23, Sep. 2004
[4] Y. S. et al., A Register Controlled Delay locked Loop
using a TDC and a new Fine Delay Line scheme, in Proc.
IEEE ISCAS, Sep. 2006
- 412 -
P1-13
Abstract
In this paper, we reported on a 4W power amplifier
MMIC for Ku-band satellite communication
applications. The Ku-band monolithic power amplifier
was fabricated using D-mode power pseudomorphic
high electron mobility transistor (PHEMT) process.
The linear gain is 30 dB and the saturated output
power is over 36.1 dBm in the frequency range of
13.74 GHz to 14.5 GHz. The good performance of
power added efficiency is achieved as over 30%
compared to conventional results.
Keywords: Power amp, PHEMT, MMIC, Ku-band
1. Introduction
High speed data transfer and internet access by
terrestrial wave are restricted in terms of service
providing and infra build up cost. This restriction can
be overcome, and wide band internet and broadcasting
services can be realized by using satellite
communication systems. Communication systems
operating in the Ku band are becoming more prevalent
as the demand grows for high-speed data transfer and
Internet access. One important component of these
communication systems is the power amplifier.
Because the power amplifier in communication systems
demands the performances of high linearity, high
reliability, high output power and high power added
efficiency in communication systems, its cost and
added value are very high. In recent years, power
amplifiers MMICs based on GaAs with improved
performance are developed. Several power amplifier
MMICs in Ku-band with 1 or 2W output power have
been demonstrated [15].
In this paper, we presented the high performance and
compact monolithic power amplifier chip in the
frequency range of 13.75 GHz ~ 14.5 for block up
converter (BUC) of VSAT outdoor unit (ODU)
applications. In order to increase the small-signal gain
and to extend the output power of the amplifiers by
- 413 -
ICEIC 2015
between MMIC and RO4003 substrate during assembly
of test jig for Ku-band. A single layer capacitor (SLC)
of 100 pF is mounted at the DC bias point as a bypass
capacitor for stable circuit.
This MMIC exhibits gain of 30 dB, return loss
below -8 dB, saturated output power of 36.1 dBm and
the power added efficiency of 30 % as following figure
2, 3 and 4. The measurement results are satisfied with
the design requirements of BUC companies. Figure 5
and table 1 show 4W power amplifier MMIC and
comparing with specifications of conventional products
Acknowledgement
This work was supported by the leading industry of
IT Convergence and equipments of the Chungcheong
Leading Industry Office of the Korean Ministry of
Trade, Industry and Energy.
References
3. Conclusion
We successfully demonstrated a 4W power amplifier
MMIC for Ku-band applications. This MMIC is
fabricated using the 0.25 um GaAs PHEMT MMIC full
process. From the measurement, the gain, the saturated
- 414 -
P1-14
2. Experimental
1. Introduction
Oxide Thin Film Transistors have been an attractive
research area for the flat panel displays such as active
matrix liquid crystal displays and organic light emitting
diode displays [1]. The stability of oxide TFTs is of
significant importance because of long-term reliability
concern. The effects of bias thermal stress (BTS) on
the degradation of oxide TFTs is the most common
issue. In n-channel MOSFETs, a positive bias
temperature instability (PBTI) has been found to be an
important reliability problem and is widely investigated.
The PBTI degradation in MOSFETS is mainly
attributed to the generation of interface trap states and
fixed oxide charges, and can be thermally and
electrically activated [2-4].
In this paper, the instability and mechanism of nchannel oxide TFTs under bias stress were studied. By
measuring and analyzing the transfer and output
characteristics before and after bias stress under stress
temperatures, the effects of PBTI on oxide TFTs were
studied.
- 415 -
ICEIC 2015
4. Conclusion
We investigated the positive bias temperature
instability in IGZO TFTs. The transfer curves shifted
towards the positive direction after the stress. The
VTH is caused by the trapping of charges in traps
located at the interface and dielectric layers. Also, the
VTH instability shows a strong dependence on stress
bias time and temperatures.
References
[1] J. Y. Kwon, K. S. Son, J. S. Jung, and J. M. Kim, "Botto
m-Gate IGZO TFT Array for High-Resolution AM OLED
Display "IEEE Electron Device Lett. 29, pp. 1309, 2008.
[2] I. T. Cho, J. M. Lee, J. H. Lee, and H. I. Kwon, "Charge
trapping and detrapping characteristics in amorphous InGa
ZnO TFTs under static and dynamic stresses", Semicond. Sci.
Technol. 24, pp. 015013, 2009.
[3] K. Nomura, T. Kamiya, H. Hirono, and H. Hosono,
"Origins of threshold voltage shifts in room-temperature
deposited and annealed a-IGZO TFTs", Appl. Phys. Lett. 95,
pp. 013502, 2009.
- 416 -
P1-15
2. Circuit architecture
A general quenching characteristics of a Geiger
mode APD is shown in Fig. 2. The characterization of
Geiger-mode avalanche photodiodes operated in gated
mode requires fast rising-edge, well-defined over-bias
pulses, and effective avalanche quenching. [3].
APD
voltage
1. Introduction
High Bias
Active
Reset
Passive
Quenching
Low Bias
Active
Quenching
Hold-off
time
- 417 -
ICEIC 2015
VDD=3.3
Read-out, Counter
Vbias1
Pixel
Vbias2
OUT
487 m
APD
Vop
Vbias4
Vbias3
3. Simulation Result
Fig.4 shows simulation results of (1) the waveform
after the level shifter, (2) voltage of quenching bias
circuit, and (3) APD bias. It can be seen that leaving
the signal from hold-off state stop the breakdown
reaction of APD instantaneous. In addition, it is
possible to see that the voltage changes from 3.3V to
1.8V for digital processing, using a level shifter.
APD
voltage
Quenching
bias circuit out
Level
Shifter out
4. Conclusion
In this paper, it is a system with a counter for
processing the signal from the APD in 16 pixel. The
results were verified by simulation, when the 0.5ns or
more, both signals of adjacent APD has been
recognized correctly in the two signals counter. It was
designed by controlling the voltage of the inverter Gate
of Edge Detection, as adjustable enough.
Fig. 5 shows the layout of 44 pixel with APD. This
pixel size is 67m 70m (Quenching bias circuit only
67m 20m). The APD layout area is 50 50 m2.
436 m
Acknowledgment
This work was supported by the National Research
Foundation of Korea (NRF) grant funded by Ministry
of Education (NO.NRF-2013R1A1A2011943). This
work was supported by the Industrial Core Technology
Development Program (10049095, Development of
Fusion Power Management Platforms and Solutions for
Smart Connected Devices) funded By the Ministry of
Trade, industry & Energy. This research was supported
by the MSIP (Ministry of Science, ICT and Future
Planning), Korea, under the ITRC(Information
Technology Research Center) support program (NIPA2014-H0301-14-1007)
supervised
by
the
NIPA(National IT Industry Promotion Agency). This
work was supported by R&D Program of the Ministry
of Knowledge Economy. [K10041135, Development of
Multi-Functional Power Management IC for Smart
Mobile Devices]. This work was supported by IDEC
(IPC, EDA Tool, MPW).
References
[1] G. Andrea, Ivan Rech, and Massimo Ghioni, Progress in
Quenching Circuits for Single Photon Avalanche Diodes,
IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL.
57, NO. 6, pp. 38153826, Dec.2010.
[2] F. Zappa, A. Lotito, and S. Tisa, Photon-counting chip
for avalanche detectors, IEEE Photon. Technol. Lett., vol.
17, no. 1, pp. 184186, Jan.2005.
[3] S. J. Dimler, J. S. Ng, G. J. Rees and J. P. R. David,
Capacitive Quenching Measurement Circuit for GeigerMode Avalanche Photodiodes, SELECTED TOPICS IN
QUANTUM ELECTRONICS, 2007, IEEE, pp. 919-925,
July/August 2007.
- 418 -
P1-16
#!"$"
!#
"
$&%
%##
"
%%
')*(
#
!+
% &
-
!
, .
% % %
'0)/(
$& )*
%%
1
"% '233(
& .%
&
)* $& %% )*
# 4 5!+
67 5!+ ,&
77 %8+9;
:9;
'
&
'
$'()'*$
' # $
$
$+ *'("
$
# $
+ #%
$ "
$ '
$ ! ' $( , #%
'
$# '$$ ' $$
'
'
'(
,
'#"-
#+
'
''$()
' '
' /.
'
$
#
$( )
' '
$
'$
' ( ' $
' /.' + $$' '
/.(
'
'$''
$$
$'' # '
% + /. ' #%
#
'( 0
'
#%
( $ '
+' /")21 '
'
#
/.,31
(
)$*$-,$)++
"( 4 ' ' + #
(" + "
!
/.'
$
/.,31
# " '
-# /.# ! $''
#( & ' $' +
+
$ '
!( , $ $
'$
%' % $
'$
+'
' /.'( )
' $+
$$'''
$(
(
)
& /.
'
'
(& $ '
#%
# ''
'
$ #
$ '
- 419 -
ICEIC 2015
##
$$*
'(& '
'$#+46+
'
#
'
$ /.(
/.0210+$
& '$'%
$ '
'( & ' ! # ' '$
%
'
+ '
$
''$
$*(
' '%' $ '#%
$/.''
(& '
+'
34-
& '
' ' '
"( 8(
'
' # #%
$( 9 *' '
'
+' #
: *' ' #%
( & ' ' ' '
$'$+
'
+'("(8
+ ' ' $ #%
# ' $
$
# ( "' #%
'
' +
'
+'( ,#
#%
'
+#
$*
(
& '#'
;(4< =7.3$''
4(< / '$$ * +' $' ;(46
5
$
#%
#4(<?+>'
@(; ?+>'( & $ '
' ' 4;; >0- 4 70-
##'
$
'$
'AB(<C(
5
&
# '$
' '
' $$( & ' '
$
$ ' $$' $
'$
$ '-( )
' $
'$
#'+A;D$
$(,
'-'+6;D $
$(
#$-
& ' ' '$$ + 2
'
"
# E 2"
#
+ 7
'
# 1
2.(2" 5;484,4,5;44FA8( & '
''$$+ )
'&
+
)$/21",6 #!
"$
''$$+ )
'&
$
! 4;;AF;F6 G$
#
"'
!7
!#'
3
'#
3
'H#
7
'#
&
'I1
(& '' ''$$
+ 73)! 7
' # 3
)&
"
!
E
)& )
#
&
'
'$$$2)!,
5;4A 0;8;4 4A 4;;B '$' + 2)!,
2
)& )
' !
,
( & '
' '$$ + I ! # 7
' #
E
1
( JE4;;A4486 $
#
7 "
! 7
) # 3
7+ 'K( & ' ' ' '$$ +
)1)!1,&7!C(
,
J4K 3
3
( 3
7
! G, A ?+>' 7.3
L'
4><
&
%H@A/ *B?3/2/3@$?$)@*) @$
!+' /.(8<2.(B
5;;8(
J5K
'+3
G4(6 F(B?+'$A
!,73
&
' C"%
H @@) $*?B 3)$*/B /.(1F@ 2.(<
,'5;48(
- 420 -
P1-17
burm@sogang.ac.kr
Abstract
A success approximation register analog-to-digital
converter (SAR ADC) is designed for low power
temperature sensor applications. To meet the
requirements of temperature sensors requiring a high
resolution and a low power consumption, but not a high
sampling rate, SAR ADC structure is utilized. To
enhance the resolution of SAR ADC, we proposed a
common-mode reset switch. The digital and analog
block of the ADC was fabricated in a 0.18m CMOS
process. The results of simulation shows SNDR of
69.41dB (ENOB 11.23bit) and the power consumption
of 53 W.
1. Introduction
SAR ADCs (success approximation register
analog-to-digital converters) advantage is low power
consumption. Nowadays, a lot of applications need low
power operation and high accuracy. Especially,
temperature sensor does not need high speed operation,
because the temperature slowly changes, but it needs
high resolution operation. Instead of low power
consumption and low operation speed, we need high
SNDR (ENOB). And we achieve this purpose by
correction the input common mode level of the amplifier
at comparator. The mismatch of VCM level at positive
input and negative of amplifier lowers the ENOB of
SAR operation. So it needed to make right common
mode level and it is possible by add the switch circuit
between positive side CAP array and negative.
- 421 -
ICEIC 2015
Property
Proposed Structure
SNDR
69.4118dB
ENOB
11.3643bit
POWER
53W
CONSUMPTION
Table 1. Simulation result
5. Conclusion
4. Simulation Result
Figure 4. Shows the simulation result with differential
input swing range 1.2Vpeak-to-peak. Output signal has
69.4118dB and ENOB is calculated by SNDR, is
11.3643dB.
6. Acknowledgment
This work was supported by the National Research
Foundation of Korea (NRF) grant funded by Ministry of
Education (NO.NRF-2013R1A1A2011943). This work
was supported by the Industrial Core Technology
Development Program (10049095, Development of
Fusion Power Management Platforms and Solutions for
Smart Connected Devices) funded By the Ministry of
Trade, industry & Energy. This research was supported
by the MSIP (Ministry of Science, ICT and Future
Planning), Korea, under the ITRC(Information
Technology Research Center) support program (NIPA2014-H0301-14-1007)
supervised
by
the
NIPA(National IT Industry Promotion Agency). This
work was supported by R&D Program of the Ministry
of Knowledge Economy. [K10041135, Development of
Multi-Functional Power Management IC for Smart
Mobile Devices] This work was supported by IDEC
(IPC, EDA Tool, MPW).
References
- 422 -
P1-18
! !
! #
"
!
!#
"
!
%'
&!
$& () *' ''
#
+,
)./ -#
%'
& () '
#
&
&1
'''. 0'
'#%'*
!
&
! 23# )./ (
#
&
,& 4+,5 6
&
&
!&&*'87&69:
&8&6
;:%'
'&())./#
*#
"
72
#+!
%& !$
!
!!"
"
!
'"
! (
#"!
!$
"
" !
!
% ! % !$
& '!
(
"!
"$
"&
) *+ ""
( ,
!
!$
"!
.-/&
' !$
!$ -1
! 20
!$ $
$ % " 34 !
%
!$
""
!
"$ " & 5$
6 % & "!
1 ,
!$ (
"$
!"! % !$
& "!
7
,
!$
% !$
&"!
8,
!$
!
!&
"!
2
!$" "
&
' #
!!
(!
*'9
&-+
" %
" " ! " !
,
,
&$
9
&-!$
)(! ' #
! !
(! (
- 423 -
ICEIC 2015
)(!2'
(
-.-.
)(! *' -. /
!
' -. #0
(1
!! "
"
!& 5$
!$! !$
!"$
!$%
,!)
!"&"!$!) "
( !
!
(
! %!$
&5$
!$
!
%!$
!"$5$
" %
!$ "$
;"!
& 4
$
!
"
!$ !!
" %!$
%
.1/&
9
7* + $ !$
!
%
& 4
$
!
"
!$ !!
!" %
!$
%
&9 "" !"!
!
!
$
$ !!
"
!$ !"
!"!&
2'
(
3(
5$34 !!
(
$
9
8&5$
!
""
!
! !$! ! !$ <
:
!&
5$9
2
$ !$995 %34&
' (
5 -$ !$! %
!$4
$
% "34%
!$
&34"
"
!
!!"
"
!
!
!
6 % >8&=1 <#
>?&?? 9#& -1)
! 203
$ 21&>@<#2=&=@9#&5$ %
!$
!$
%! %-=0%3&
,
5$
!
!$ <!
#"$
9 !
% A *<#9+ ! %
!
% B"!
*<&<#9)10-7#--10--@87+& 5$
-.-.
)(!'-.))+
'-.))+
+$! !(!&
5"$
# !
#!
<#*34+
<#*+
% !
9
0&--C
-1
!
203
>8&=1
21&>@
=808>0*D+
-=0%3
!
!$'!
5"$
( ! *-008@0@2 E( ! %
9
!!% !
%
! "!(
"F+%
!$
!
%
5
!
GB
&5$
"$ !
!$
<'*<!
'5 '!
!
"
+ 5$
!
#G %!$
!
%A B"
&.A-008--72( ! %
!
)9"!
! ' % !
(
"/ & 5$
!
'B
*'B5 H+&
3!
.-/ ' $
! & 180)%3 1&-)
,
!$ " )$ "
"
" &
)!!
"
!'BBB %10--8=&@I10?7)10>7&
.1/&J#K#&-&2)D-0)
!-8&7)
3
)! )
!" (!&
)!!
"
!'BBB %-@@@78&2I2@@)=0=&
- 424 -
P1-19
1. Introduction
Even though supply voltage (VDD) has been
successfully reduced with continual miniaturization of
complementary metaloxidesemiconductor (CMOS)
devices, it is no longer sustained for sub-45-nm due to
short-channel effects (SCEs) and subthreshold swing
(S) limitation. Recently, inter-band tunnel field-effect
transistors (TFETs) have been extensively investigated
because it can achieve sub-kT/q S at room temperature
and enable further VDD reduction for low-power logic
applications [1]. However, the previous works on
TFETs has mainly focused on device level direct
current (DC) transfer characteristics and not on circuit
level alternating current (AC) switching performances.
In this paper, we analyze the abnormal large-signal
switching behaviors such as long fall/rise delay of
output voltage (Vout) in the transient response of a
TFET inverter. Furthermore, the relationship between
fall/rise delay and its drive current is rigorously
investigated.
2. Simulation results
The device structure and physical parameters of
TFET used in this simulation study are shown in Fig.1.
All the simulated devices have the planar structure
with Si body thickness of 20 nm, physical gate
length/active width of 100 nm, HfO2 gate dielectric
3. Conclusions
We investigate the effects of tunneling current on
fall/rise delay of TFET inverter. By increasing Kane
parameter A strongly related with tunneling rate, it is
found that improvement reduction of fall/rise delay is
mainly attributed to higher occurrence probability of
Miller capacitance as tunneling current gets increased.
- 425 -
ICEIC 2015
Acknowledgement
Inverter characteristics
A_(1e14)
A_(1e17)
1.0
0.9
A_(1e15)
A_(1e18)
A_(1e16)
Gate_Bias
0.8
0.7
0.6
0.5
0.4
0.3
0.2
0.1
0.0
10
20
30
40
50
Time [ns]
10
Frequency : 1kHZ
1.1
References
Capacitance [F]
1.1
-16
10
0.5
0.9
0.8
0.7
0.6
0.5
0.4
Output falling
Input rising
0.3
0.2
0.1
0.0
T im e [ns]
-17
10
In verter ch aracteristics
1.0
1.0
1.5
2.0
I -V Characterisitcs @ n/pTFET
D
G
-1
10
-2
10
A_(1e14)
A_(1e15)
A_(1e16)
-3
10
A_(1e17)
A_(1e18)
-4
10
-5
10
-6
10
-7
10
-8
10
-9
10
-10
10
-11
10
-12
10
-13
10
-14
10
-15
10
-16
10
-0.7 -0.6 -0.5 -0.4 -0.3 -0.2 -0.1 0.0 0.1 0.2 0.3 0.4 0.5 0.6 0.7
- 426 -
P1-20
Department of Electrical and Computer Engineering, Pusan National University, Busan, Korea
E-mail address: msyi@pusan.ac.kr
Abstract
In this work, SU-8 passivated IZO thin-film
transistor(TFT) made by solution processes is
investigated for enhancing stability of indium zinc
oxide(IZO) TFT. A very viscous negative
photoresist SU-8, which has high mechanical and
chemical stability, was deposited by spin coating
and pattered on the top of TFT by photo lithography.
To investigate the reason of enhanced electrical
characteristics especially in positive bias stress(PBS)
by SU-8 passivation layer, the TFT device was
analyzed by X-ray photoelectron spectroscopy(XPS)
and Fourier transform infrared spectroscopy(FTIR).
The TFTs with SU-8 passivation layer show good
electrical characteristics, such as FE=6.43cm2/Vs,
VTH=7.1V, ION/OFF=106,
SS=0.88V/dec, and
especially 3.6V of VTH under PBS for 3600s. On
the other hand, without SU-8 passivation, VTH
under PBS for 3600s was 7.7V. XPS/FTIR analyses
results showed that SU-8 passivation layer prevents
significant oxygen desorption/adsorption process.
This feature makes the effectiveness of SU-8
passivation layer for PBS.
Keywords: Passivation, Solution, Oxide-TFT, SU-8
- 427 -
ICEIC 2015
Fig.5. ID-VG Transfer curves of (a) 1st stage, (b) 5th stage IZO TFT as a
function of PBS time
References
[1] D.-H. LEE, Y J Chang, G. S. Herman and C. H.
Chang, A General Route to Printable High-Mobility
Transparent Amorphous Oxide Semiconductors, Adv.
Mater. 19, 843 847(2007)
Fig. 3. FTIR absorption spectra of SU-8 films on top of IZOs. The peak
at 915cm-1 used to evaluate the degree of polymerization of the SU-8
passivation film
- 428 -
P1-21
Iref
Iref
Iout
Iout
M8
M9
M5
M6
M7
1. Introduction
The current mirror is a decisive element in analog
integrated circuits, since its accuracy limits the overall
performance of them. A cascode current mirror can
improve the accuracy by raising its output impedance,
but output voltage headroom must be sacrificed as each
cascaded transistors need overdrive voltages to operate
properly, so they are trade-off. A current mirror with
regulated active feedback improves output impedance
and accuracy without additional cascaded transistors,
so there would not be extra headroom [1]. However, its
headroom is still large. In this paper, we propose a
small headroom current mirror circuit by modifying the
regulated cascode current mirror.
M1
M2
(a)
M3
M4
M1
M2
(b)
- 429 -
(4)
(5)
ICEIC 2015
The eq. (2) can be rewritten as,
(6)
(7)
As mentioned above, the regulated active feedback
current mirror has quite large output impedance and
accuracy, yet it has limited range of output voltage
swing. Its output voltage headroom can be calculated
by following.
(8)
This level is quit high because of
whose level is
about 0.7V, so we cascaded an NMOS transistor above
M1 and biased the gate voltage with
to
ensure the drain voltage of M1 as
, then we altered
op-amp whose input transistors are PMOS which could
have lower gate voltage than NMOS.
Op-amp part
Vp
M11
M10
Iref
M7
M8
Iout
M9
M6
M3
Vb
M4
M5
M1
M2
3. Simulation results
The simulation was based upon 0.35
BiCMOS
process with 3.3 supply voltage using HSpice tool on
the Linux background. Fig. 3 shows the I-V
characteristics of the simulation result. The dotted line
is the result of the regulated active feedback current
mirror and the solid line is the result of the proposed
circuit. It is clear that proposed circuit has smaller
output voltage headroom than the regulated active
feedback current mirror.
4. Conclusion
From the simulation result, it is turned out that the
proposed circuit can copy currents less sensitive to the
output voltage, and it can also copy wider range of
input currents with ensured accuracy. The main
advantage of proposed circuit compared with the
regulated active feedback current mirror is that it has
wider output voltage swing range in about 0.7V.
Therefore it can provide more voltage margins on the
output node and it is suitable in the low voltage
circumstances.
Reference
[1] A. Zeki and H. Kuntman, Accurate and high output
impedance current mirror suitable for CMOS current output
stages, Electronics Letters, Vol. 33, pp. 1042-1043, 1997.
- 430 -
P1-22
1. Introduction
Graphene transfer has been achieved using a polymer
supporting layer to prevent folding and tearing of
graphene during the transfer process. The most
commonly used polymer material is polymethyl
methacrylate (PMMA) [1]. The polymer supporting
layer is removed after the polymer-graphene layer is
transferred to target substrate. However, polymer
residues remain on a graphene surface. These residues
make graphene far from its intrinsic property and have
negative effects to applications [2].
In this paper, we report a new polymer-free transfer
method that use copper catalyst metal as a supporting
layer. The usage of catalyst metal as a supporting layer
enables avoiding a graphene from the contact with
polymer material and there become free of polymer
residue on a graphene surface after transfer process. In
addition, GFETs were demonstrated. Then, a transfer
curve and negative bias-stress-induced instability were
measured.
2. Experimental
The proposed transfer process had been achieved by
the process shown in Fig. 1(a). After the delamination
of graphene-copper layer without polymer supporting
layer, copper layer was weakly etched using ammonium
- 431 -
ICEIC 2015
stress time. However, the slope of the transfer curves
were more or less constant during the applied bias
stresses.
In order to quantify the time-dependent Dirac voltage
shift, we used the following stretched-exponential
model:
= _0 (1 ( ) )
where _0 is the Dirac voltage shift at infinite
time, is the characteristics time constant, and is
the stretched-exponential exponent ( 0 < 1 ),
indicating the width of the involved trap distribution.
Fig. 3(b) shows that the Dirac voltage shift against
negative gate bias stress time is well fitted by the
stretched-exponential model. The obtained and
values are 165 s and 0.73, respectively, different with
other reports due to the lack of polymer residues in our
graphene. It is well-known that the residues increase
trap site density at the interface between graphene and
gate dielectric [6-7].
4. Conclusion
In summary, we propose a new polymer-free transfer
method without polymer residues on a graphene surface.
We fabricated GFETs using this method and measured
the transfer curve and negative bias-stress-induced
instability. We used stretched-exponential model and
extracted (165 s) and (0.73) values which are
different with previous reports. This difference comes
from a lack of polymer residues which act as trap sites
at the interface between graphene and gate dielectric.
Acknowledgements
We acknowledge the financial supports from Global
Frontier Research Center for Advanced Soft Electronics
(2011-0031640), and the Nano-Material Technology
Development Program (2012M3A7B4049807).
References
[1] X. Li, et al. "Transfer of large-area graphene films for highperformance transparent conductive electrodes." Nano
letters 9.12 (2009): 4359-4363.
[2] A. Pirkle,et al. "The effect of chemical residues on the
physical and electrical properties of chemical vapor deposited
graphene transferred to SiO2." Applied Physics Letters 99.12
(2011): 122108.
[3] H.W. Lin, et al. "A Direct and Polymer-Free Method for
Transferring Graphene Grown by Chemical Vapor Deposition
to Any Substrate." ACS nano8.2 (2014): 1784-1791.
[4] W. J. Liu, et al. "Positive Bias-Induced Instability in
Graphene Field Effect Transistors." Electron Device Letters,
IEEE 33.3 (2012): 339-341.
[5] Z. Liu, et al. "Large-scale graphene transistors with
enhanced performance and reliability based on interface
engineering
by
phenylsilane
self-assembled
monolayers." Nano letters 11.2 (2010): 523-528.
[6] W.C. Shin, et al. "Seeding atomic layer deposition of highk dielectric on graphene with ultrathin poly (4-vinylphenol)
layer
for
enhanced
device
performance
and
reliability." Applied Physics Letters 101.3 (2012): 033507.
[7] I.-J. Park, et al. "Bias-Stress-Induced Instabilities in PType Thin-Film Transistors." Electron Device Letters,
IEEE 34.5 (2013): 647-649.
- 432 -
P1-23
(&
'
&
'
*+*+
(&
!"#
$%
'
*+
-%*+7 &'(
*+
&8(
*+##1
- %*+
$
7 $
# "
)-
"
!
$ #
/
1
-""9
)-#-
%*+
$
# &8( 0
$- $# "
##
$
$
#
- 433 -
ICEIC 2015
#"0
0/.-
$
#!
,
0$ #"
# $
!
- %*+
$
## 1
, ", - %*+ 0
1
! , #
4 $
/ : #-
"
!
$#$&;(
- 2
$
.- )- $ "
##1 0
## .-
#$ <AB > 0
! !
'8 > 0
"
##
1 " 2%5 E?: 2'@ E?:
-
##
# " , ## "
!!
E 4,
*E4+
! #
$
$ #$ $
9
22
0!",
#""
##
0 ;8
, - '
$
#
#" "
## 1
0
##1
#
"<8;2>=?:
<@2A>=?:
<%BC@>=?:
%B ?:
%BB ?:
% ?: "" "1,
#! ,
22
((- 1
2
2!
2"
2
2
2
2!
2"
2
"
*
!
/
0
#%--.'(. '
"
*
!
-'4
"
##1
*
+%)%
&$)(% $'
*
*
,-)%
*
"
#$ %
-20
$
)- .- # .- # #$
DAB>
- 434 -
P1-24
1. Introduction
Conventional proximity-based motion gesture sensor
(MGS) systems use multiple IR LEDs and a photodiode
[1]. The detection rate of this configuration is proportional
to the distance among each LED. The configuration
suggested by authors in [2] consists of a single LED and
multiple photodiodes to reduce power dissipation and form
factor (boundary of sensing system) at least to half and to
1/10, respectively. Since the detection rate can be reduced
due to photodiodes located closely on a single chip, this
configuration requires a tall optical block in between two
photodiodes. The taller the optical block, the higher the
detection rate is. However, the thickness of recent mobile
devices becomes thinner that leads to limiting the height of
the optical block and the detection rate. So we propose an
MGS system using a single LED and multiple photodiodes
for thin mobile devices
2. Basic principle
Fig. 1 shows field-of-view (FOV) and gray zone () of
two photodiodes in an MGS system using a single LED
with optical block, where FOV is the total view angle that
a reflected light can be reach onto each photodiode and
the total view angle overlapped by two photodiodes.
Motion gesture sensor which has small timing margin
cannot detect fast moving motion. So the detection rate of
- 435 -
ICEIC 2015
NAL()
NEL
TIA
Amp
Digital
Average
Filter
PDL
NAR()
IR LED
NER
TIA
Amp
ADC
Digital
Processor
ADC
PDR
3. Proposed architecture
Fig. 2 shows the overall structure of the proposed
proximity-based MGS system, which consists of a single
IR LED, two photodiodes, trans-impedance amplifier
(TIA) for converting and amplifying tens of pA current
from photodiodes, amplifiers (Amp), analog to digital
converters (ADCs), a digital average filter, and a digital
processor to determine each gesture. A TIA can obtain
large gain by flowing current through specific impedance
load. During amplifying by a TIA, electrical noise added
in conjunction with ambient light noise from photodiode
decides total SNR. The gain of an Amp is controlled
depending on the amplitude of input signal. Even though
the Amp stage makes additional electronic noise, the
amplitude of the electrical noise by each Amp is much
smaller than that by each TIA so its neglected. Signal
digitalized by ADC is fed to a digital average filter to
increase SNR. Noise becomes dominant in determining
timing margin as ideal time delay gets smaller. In other
words, noise performance gets more important as detection
rate gets lower.
4. Test results
In order to test timing margin according to the height of
an optical block and SNR, two types of optical blocks
(h=0.5mm and h=0.2mm) were fabricated by using 3D
printing. For same testing environment, two test boards
were located in parallel. The width of an object was set to
50mm, and the distance between photodiodes and the
object to 120mm. When the object swept from left to right,
we measured timing margin. In Fig. 5, timing margin
obtained without filter is 38.1ms and almost zero for the
height of 0.5mm and 0.2mm, respectively. However, with
a digital average filter whose order is 8, the timing margin
at the configuration of h=0.2mm is increased to 24.0ms.
As a result, when optical performance is decreased due to
using a shorter optical block, we can increase timing
margin by adding a digital average filter.
5. Conclusion
Small form factor and low power consumption are the
main advantages of a proximity-based motion gesture
sensor using a single LED with multiple photodiodes
compared to a sensor using multiple LEDs with a
photodiode. However, the configuration with a single LED
may not be suitable for thin mobile devices since the
500mV/div
20ms/div
h =500um
w/o filter
a
V
h =200um
w/o filter
b
V
h =200um
w/ filter
c
t
Acknowledgments
This research was supported by the MSIP (Ministry of
Science, ICT & Future Planning), Korea, under ICT/SW
Creative research program supervised by the NIPA
(National ICT Industry Promotion Agency) (NIPA-2014H0502-14-3029). This research was also supported by
Basic Science Research Program through the National
Research Foundation of Korea (NRF) funded by the
Ministry of Science, ICT & Future Planning (NRF2014R1A1A1003771)
References
[1] Cheng, H., Chen, A.M., Razdan, A., and Buller, E.:
Contacless Gesture Recognition System Using Proximity
Sensor, IEEE ICCE, Las Vegas, USA, 2011, pp. 149-150
[2] Kong, K.H., Kim, Y.S., Kim, J.E., Baek, K.H., and
Kim, S.: A Proximity-Based Motion Gesture Sensing
Technique for Small-Form Factor Mobile Applications,
submitted to IEEE Trans. Consumer Electron at 15th Oct.
2012
[3] Leach, W.M., and Jr.: Fundamentals of low-noise
analog circuit design, Proceedings of the IEEE, 1994, 82,
(10), pp. 1515-1538
[4] Kundert, K.: Power supply noise reduction.
http://www.designers-guide.org, accessed 1st November
2012
- 436 -
P1-25
Abstract
Random dopant fluctuation has been constantly
noticed in double gate metal-oxide-semiconductor field
effect transistor. It causes several problems in the
device such as threshold voltage shift and drive current
variation. In this paper, a regression model is
proposed to provide sensitivity of drive current. For
the regression model, the numerical model is built and
compared the results with a commercial TCAD
simulated results for the verification.
1. Introduction
Over the past decades, technology for shrinking the
device has been steadily developed. However, with
improving the performance, several problems have
taken place. The one of example about the problems is
random dopant fluctuation (RDF). It brings threshold
voltage shift and variation of drive current (IDS)
representatively [1]. Since double gate (DG) metaloxide-semiconductor (MOSFET) cannot also avoid the
phenomenon, by using impedance field method,
previous researches has focused threshold voltage shift
caused by RDF [2].
On the other hand, in this paper, we propose other
way to analyze RDF through a regression model with
respect to randomly doped body doping concentration
(NA). Unlike previous researches, regression model can
directly shows relationship between IDS and doping
profile in DG MOSFET. For the regression model, a
numerical model is realized using Poissons equation
and continuity equation. All of the results are verified
by comparing a commercial numerical device simulator.
J 0
(2)
where V is the potential, q is the elementary charge, is
the dielectric constant, n and p are the electron and
hole density, ND+ and NA- are the ionized donor and
acceptor concentration, J is the current density.
To build the regression model for RDF in DG
MOSFET, the device is virtually divided with the same
area. Each section from S1 to S9 has different NA, which
is generated by Latin hypercube sampling. Based on
NA=5 1018cm-3, total 100 doping profiles randomly
- 437 -
ICEIC 2015
generated and simulated using the numerical model.
From the results, the regression model can be defined
as a linear IDS function according to NA of each section:
I DS a0 ai Ni
(3)
i 1
4. Conclusion
In this study, we proposed to analyze the effects of
RDF in DG MOSFET using regression model. In order
to support the regression model, although the virtually
divided nine sections cannot exactly explain the
random dopants, it can allow us to know how the
position of RDF can impact on the variability of DG
MOSFET performance through the coefficients of the
model.
Acknowledgement
References
[1] X. Liang and Y. Taur, "A 2-D analytical solution for
SCEs in DG MOSFETs," Electron Devices, IEEE
Transactions on, vol. 51, pp. 1385-1391, 2004.
[2] A. Wettstein, O. Penzin, E. Lyumkis, and W. Fichtner,
"Random dopant fluctuation modelling with the impedance
field method," in Proceedings of International Conference
on Simulation of Semiconductor Devices and Processes,
2003, pp. 91-94.
[3] ATLAS Users Manual, Silvaco International, Santa Clara,
CA (2008).
[4] E. N. Cho, Y. H. Shin, and I. Yun, "An analytical
avalanche breakdown model for double gate MOSFET,"
Microelectronics Reliability, (in press), 2014
- 438 -
P1-26
1. Introduction
The most important design consideration of a power
MOSFET as a switching device is the minimizing
MOSFETs switching losses and conduction losses [1].
Recently, there have been significant efforts to reduce
the Qgd of trench MOSFETs. One of these efforts, splitgate technology was introduced to get a reasonable
trade-off between the on-state resistance and the
switching losses (Qgd). The other technique such as the
RESURF Stepped Oxide (RSO) has been introduced
into trench MOSFETs to achieve the lowest possible
specific on-state resistance [2]. However, the RSO
MOSFET has relatively large switching losses when
compared with lateral DMOS devices due to the higher
gate-to-drain (Miller) capacitance (Cgd). The Split-Gate
technique is by far the best one in producing a low Qgd
[3]. In this point, the combination of RSO structure and
split-gate technology is a reasonable combination to
reduce total power loss [4].
2. Simulation results
Fig. 1 shows the cross-sectional view of
conventional (a) NRSO and (b) SNRSO MOSFET. The
poly electrode in SNRSO structure is separated into
two regions, control gate and split-gate, by internal
oxide. Control gate is related to device on-off while
lower-side split-gate is shorted with the source
electrode to reducing gate-drain overlap charge (Qgd).
Thus SNRSO structure has less switching loss than
NRSO [6]. In SNRSO structure, however, the onresistance can be increased a little since the electron
accumulation cannot be induced at the interface
between the oxide and n-drift region next to the lowerside split-gate [1]. In fig. 2, the static characteristics of
SNRSO and NRSO are compared. There is no change
in VTH and breakdown voltage except for Ron.sp
increased 15% due to scant electron accumulation. The
optimization of device parameters for the best static
performance is shown in Fig. 3. The device was
optimized at 1x1015cm-3 of drift concentration and
4.4um of cell-pitch. FOM (BV2/Ron.sp) of SNRSO and
NRSO
structure
were
601V2/ohm.mm2
and
2
2
764V /ohm.mm respectively, Ron.sp of these structures
were 17.3m.mm2 and 22m.mm2 with the same
breakdown voltage of 115V. It means NRSO structure
shows better static performance than SNRSO.
- 439 -
ICEIC 2015
At last, we perform dynamic AC simulation for
switch-ing characteristics analysis. The reduction of
Qgd is essential for improving switching characteristics
and it can be achieved by reducing gate-drain overlap
area. In this point, SNRSO structure is able to achieve
lower Qgd about 1-order than NRSO due to its splitgate, shorted source, isolating control gate from drain
[4]. The total gate charge simulation results shown in
Fig. 4 indicate that Qgd in SNRSO and NRSO structure
were 5.6nC/mm2 and 48nC/mm2 respectively. This
result show about 8 times difference in Qgd. In Fig. 5,
we compared parasitic capacitances of SNRSO and
NRSO structure. The parallel connection of inter-oxide
and thick oxide in SNRSO structure make Cgs
decreased with increasing inter-oxide thickness [6].
Additionally, SNRSO has 5 times lower Cgd than
NRSO structure (Fig. 5(b)). We proposed the split-gate
version of NRSO MOSFET to reducing switching loss
for 100V class application and con-firmed 6.5 times
improvement in FOM (Ron.sp*Qgd) of SNRSO (122.2
mnC) compared to that of NRSO (830.4 mnC).
3. Conclusions
In this paper, the split-gate version of NRSO structure
with easier process control and improved electrical
properties is proposed. Although SNRSO structure
shows a little bit worsen static performance compared
to NRSO case, it shows significant improvement in
dynamic characteristics with 6.5 times lower
FOM(Ron.sp*Qgd). It lead us to conclude that the
combination of RSO and split-gate technology is the
optimum solution to get a reduction of total power loss
in 100V class application. After this work, the
development of fabrication process for SNRSO
structure will be the next topic to be investigated.
Acknowledgements
This research was supported by the MSIP (Ministry of
Science, ICT and Future Planning), Korea, under the ITRC
(Information Technology Research Center) support program
(NI-PA-2014-H0301-14-1007) supervised by the NIPA
(National IT Industry Promotion Agency)
References
[1] Raghavendra S. Saxena, and M. Jagadesh Kumar, Polysilicon Spacer Gate Technique to Reduce Gate Charge of a
Trench Power MOSFET, IEEE Trans. on Electron Devices,
Vol.59, pp.738-744, March 2012.
[2] C.F. Tong, P.A. Mawby, and J.A. Covington "'Field
Balanced SG-RSO structure showing tremendous potential
for low voltage Trench MOSFETs", EPE 2009, pp.1-4.
- 440 -
P1-27
Abstract
2. Simulation Results
We design the synaptic device with the siliconnitride charge trapping layer. The short- and longterm memories are mimicked by the floating-body
effect and hot carrier injection respectively. The longterm memory is formed by the hot carrier injection into
the nitride layer after the repetitive input pulses. The
response of a synaptic device to pulsed input is also
studied.
Keywords: neuromorphic systems, synaptic device,
charge trapping
1. Introduction
As the scaling of modern VLSI devices progresses,
it becomes serious that the large power consumption of
the conventional Von Neumann system is inevitable
due to the structural limitations of that. As an
alternative to the conventional system, the researches
about a neuromorphic system which is based on a
biological neural network have been conducted [1].
Above all, a synaptic device is an essential component
because the modulation of synaptic weights between
neurons enables a biological system to learn and have
various functions with high efficiency in power
consumption [2].
In our previous research, a novel silicon-based
synaptic device was proposed [3]. It mimics the
transition from short-term to long-term memory like a
biological system using excess generated holes in the
floating body and charge injection to the floating gate.
However, advantages of the charge trapping layer
compared to the floating gate have been widely known.
Therefore, we design the long-term memory
component as nitride layer in this study for simple
process steps and low process cost. For an accurate
estimation for electrical behaviors, a commercial
ATLASTM TCAD 2D device simulator (ver. Atlas
5.18.3.R) is used.
- 441 -
ICEIC 2015
References
Acknowledgement
This work was supported by the Center for
Integrated Smart Sensors funded by the Ministry of
Science, ICT & Future Planning as Global Frontier
Project (CISS-2012M3A6A6054186).
VG1
Gate 1
impact
ionization
Source
excess
holes
Nitride
3. Conclusion
2.4x10-13
2.2x10-13
2.0x10-13
1.8x10-13
0.00
10
# of input pulses
Drain
hot hole
injection
Oxide
Gate 2
VG2
Fig. 4 Trapped hole concentration in the insulator layer
after (a) the first input pulse and (b) the sixth pulse.
Charge of trapped holes per width [C/Pm]
2.4x10-13
2.2x10-13
2.0x10-13
1.8x10-13
0.00
10
# of input pulses
- 442 -
P1-28
1. Introduction
Among many new non-volatile memories, the phasechange memory (PCM), which has been actively
researched to replace the standard NAND Flash memory, is
one of the prospective new memories. The principle of
program/erase (P/E) operation of PCM is mainly expressed
by switching the phase of GeSbTe (GST) material to
amorphous or crystalline state. Each state has different
resistance value due to the characteristics of crystal and
amorphous state and it can make us to distinguish the state
of PCM device.
However, it is difficult to control switching the exactly
same bulk region in GST material at each P/E operation
because the bulk region is defined by using only Joule
heating with current flow. It is so ambiguous to define the
switching bulk region. In addition, in each case, the trap
and defect densities of GST material are also changed
according to amorphizing of crystallizing dimension [1].
These problems in PCM switching operation eventually
make the variation of Vth and Roff, which is the standard to
evaluate the reliability and endurance characteristics of
PCM device.
In this paper, the effects of trap density in GST
materials on the characteristics of PCM device using
Silvaco TCAD modeling and simulation are analyzed.
- 443 -
ICEIC 2015
5. Conclusion
In summary, we studied the effects of the type and
density of trap in GST at both crystal and amorphous states.
In crystal state, the read current was proportional to the
density of vacancies. In amorphous state, the acceptor-like
trap had the same relationship between the read current and
the density of acceptor-like trap with vacancies of crystal
state. On the other hand, the donor-like trap had the
opposite relation in comparison with acceptor-like trap. In
addition, it was found that amorphous state was more
sensitive to read current of PCM device than crystal state.
Acknowledgement
This research was financially supported by SK Hynix. Also,
this work was supported by Institute of BioMed-IT,
Energy-IT and Smart-IT Technology (BEST), a Brain
Korea 21 plus program, Yonsei University.
References
- 444 -
P1-29
Abstract
In this paper, the extraction of electrical channel
dimensions for dielectric constant of amorphous
InGaZnO (a-IGZO) thin-film transistor (TFT) is
proposed. The width and length of the channel mask
varying with the fixed source/drain electrodes and
overlap lengths are used to extract accurate electrical
dimensions. The validity of extracted electrical
dimensions is shown by normalized current-voltage
characteristics with the variation of a channel mask
length.
Keywords: InGaZnO, Thin-Film Transistor, Electrical
Geometry, Capacitance Method
1. Introduction
Amorphous InGaZnO (a-IGZO) thin-film transistor
(TFT) is one of the promising candidates for future
application of active matrix crystal display (AMLCD),
organic light emitting diode (OLED), and flexible
display [1]. To reduce modeling noise of device
performance and degradation characteristics, the
extraction of an accurate electrical dimension in the
test structures, such as insulator, channel thickness,
effective channel width (Weff) and length (Leff), is very
crucial. However, the target geometry or electrical
dimension of fabricated device is very difficult to be
verified. In this paper, metallurgical-geometry
dependent electrical dimensions of the inverted
staggered structure of a-IGZO TFT are extracted based
on the capacitance-method.
- 445 -
ICEIC 2015
electrical
dimensions
for
4. Conclusion
The capacitance method based electrical dimension
extraction technique was proposed for invertedstaggered structure a-IGZO TFT. The electrical
dimensions from the metallurgical width and length
dependent measured 1 MHz C-V characteristics with
the fixed overlap, insulator, and channel thicknesses
were considered. Based on the method, the thicknesses
of the insulator and channel layers were extracted and
the instability of TFTs varying the geometry variation
could be analyzed.
(a)
Acknowledgement
This research was financially supported by the LG
Display. Also, this work was supported by Institute of
BioMed-IT, Energy-IT and Smart-IT Technology
(BEST), a Brain Korea 21 plus program, Yonsei
University.
References
(b)
Fig. 1. (a) Schematic of inverted-staggered a-IGZO
TFT test structure. (Here, the geometry parameters for
the extraction of electrical dimensions are defined.) (b)
- 446 -
P1-30
Abstract
1200
1000
800
600
400
200
0
1. Introduction
- 447 -
Normal Operation
ICEIC 2015
RA
Special refresh
CA
1.2
1
0.8
3. Experimental Result
Fig. 4 shows the measured set current as a function of
read cycling disturbance with proposed refresh control.
1200
0.6
0.4
0.2
0
hidden refresh
this work
4. Conclusion
A new refresh control for ReRAM is proposed to
increase refresh period which results in reduced power
consumption by 90 %. The proposed refresh control is a
critical skill in ReRAM because the degraded cell caused
by cycling disturbance can be effectively recovered
without sacrificing system performance. And also, the
refresh power consumption can be minimized with the
proposed refresh control.
Acknowledgement
This work is supported by Korean National Research
Program [Contract No. : MKE-10039191].
1000
800
600
400
200
0
References
[1] I. G. Baek et al., Multi-layer cross-point binary oxide
resistive memory (OxRRAM) for post-NAND storage
application, in IEDM Tech. Dig., pp. 750-753, 2005.
[2] S.-Y. Kim et al., Power-Efficient Fast Write and Hidden
Refresh of ReRAM Using an ADC-Based Sense Amplifier,
IEEE Trans. Circuits Syst. II, vol.60, no. 11, pp. 776-780, 2013.
[3] D. Shim et al., A Process-Variation-Tolerant On-Chip
CMOS Thermometer for Auto Temperature Compensated SelfRefresh of Low-Power Mobile DRAM, IEEE J. Solid-State
Circuits, vol.48, no.10, pp. 2550-2557, October 2013.
- 448 -
P1-31
Department of Electrical Engineering, Korea Advanced Institute of Science and Technology (KAIST),
291 Daehak-ro, Yuseong-gu, Daejeon 305-701, Korea
Phone: +82-42-350-7427, E-mail: sungyool.choi@kaist.ac.kr
1. Introduction
Molybdenum disulfide, a two dimensional layered
semiconducting material, has attracted a considerable
attention due to its outstanding electrical and optical
properties [1]. Especially, finite band gap property offers
reasonable Ion/Ioff ratio (~106) for low power field effect
transistors (FETs). However, large hysteretic behaviors in
the transfer curve, presumably due to water (H2O) molecules,
are observed in MoS2 devices when measured in the air
environment [2]. Therefore, hysteresis should be essentially
removed for high performance and operational stability.
Herein, we report hysteresis-free performance of the
MoS2 device measured in the air ambient condition. Using
Al2O3 passivation layer and high vacuum annealing (HVA,
10-6 torr) at 300 C, unwanted hysteresis is removed and
electron mobility is improved. Additionally, 1/f noise, which
hinders the performance enhancement of MoS2 practical
applications, is also characterized in the hysteresis-free MoS2
device.
2. Experimental
Bulk molybdenum disulfides (SPI Supplies, USA) were
mechanically exfoliated, and transferred onto 90 nm-thick
SiO2-grown p+ silicon (Si) substrate. After transferring MoS2
layer, H2 annealing was performed for removing the scotch
tape residue for 2 hours at 400 C. The channel length was
defined with photo lithography. For ohmic contact between
MoS2 and source/drain (S/D) electrode, a 15 nm-thick
titanium (Ti) layer followed by a 55 nm-thick gold (Au)
layer was deposited using the thermal evaporator. S/D
electrodes were formed through lift-off process. After HVA
for removing water molecules in MoS2 layer, 40 nm-thick
- 449 -
SID
,A
ICEIC 2015
Mobiltiy (cm2/Vs)
20
15
Acknowledgements
We acknowledge the financial supports from Global
Frontier Research Center for Advanced Soft Electronics
(2011-00.1640), Nano-Material Technology Development
Program (2012M3A7B4049807), and LG Display Co., Ltd.
40
2.5
2.0
1.5
1.0
0.5
-5
10
(b)
10
0
-10
0.0
-6
10
-7
10
VG=0~21 V
-8
10
-9
10
-10
10
-3 -2 -1
-0.5
-1.0
-1.5
-0.6
VG=0~21 V
-0.4
-0.2 0.0
0.2
0.4
Drain Voltage, VD (V)
0.6
-20
HVA
HVA + Passivation
HVA
+ HVA
+ Passivation
-18
10
HVA+Passivation+HVA
-19
VG = -10 V ~ 20 V
-20
10
10
-21
10
-22
10
-23
10
slope ~ 1/f1
-24
10
10
Noise Amplitude, A
(a)
-5
10
Noise Amplitude
2
-6
10
-7
10
Frequency, f (Hz)
10
10
-10
-5
10
15
Slope,
4. Conclusion
-5
Mobility
VT
10
-6
VD = 0.5 V
10
-7
10
-8
10
-9
10
-10
10
-11
10
-12
10
-13
HVA+Passivation+HVA
10
HVA+Passivation
-14
10
HVA
-15
10
-40 -30 -20 -10 0 10 20 30
20
10
I D2 1
f
SID A
(a)
30
0
20
References
[1] B. Radisavljevic, A. Radenovic, J. Brivio, V. Giacometti and A. Kis,
Single-layer MoS2 transistors Nature Nanotechnology, 6, 147, March
2011
[2] Dattatray J. Late, Bin Liu, H. S. S. Ramakrishna Matte, Vinayak P.
Dravid, and C. N. R. Rao, Hysteresis in Single-Layer MoS2 Field
Effect Transistors, ACS nano,, 6, 5635, May 2012
[3]Fang Chen, Jilin Xia, David K. Ferry, and Nongjian Tao, Dielectric
Screening Enhanced Performance in Graphene FET, Nano Letters, 9,
2571, April, 2009
[4]Hao Qiu, Lija Pan, Zongni Yao, Junjie Li, Yi Shi et al., Electrical
characterization of back-gated bi-layer MoS2 field-effect transistors and
the effect of ambient on their performances, Applied Physics Letters,
100, 123104, March 2012
[5]Woanseo Park, Juhun Park, Jingon Jang, Hyungwoo Lee, Hyunhak
Jeong, Kyungjune Cho, Seunghun Hong and Takhee Lee, Oxygen
environmental and passivation effects on molybdenum disulfide field
effect transistors, Nanotechnology, 24, 095202, February 2013
[6]Vinod K. Sangwan, Heather N. Arnold, Deep Jariwala, Tobin J.
Marks, Lincoln J. Lauhon, and Mark C. Hersam, Low-Frequency
Electronic Noise in Single-Layer MoS2 transistors, Nano Letters, 13,
4351, August 2013
[7]J. Renteria, R. Samnakay, S. L. Rumyantsev, C. Jiang, P. Goli, M. S.
Shur, and A. A. Balandin, Low-frequency 1/f noise in MoS2
transistors: Relative contributions of the channel and contacts, Applied
Physics Letters, 104, 153104, April 2014
[8]Xuejun Xie, Debina Sarkar, Wei Liu, Jiahao Kang, Ognian Marinov,
M. Jamal Deen, and Kaustav Banerjee, Low-Frequency Noise in
Bilayer MoS2 Transistor, ACS Nano, 8, 5633, April 2014
[9]Guanhyu Xu, Carlos M. Torres, Jr., Yuegang Zhang, Fei Liu, Emil B.
Song, Minsheng Wang, Yu Zhou, Caifu Zeng, and Kang L. Wang,
Effect of Spatial Charge Inhomogeneity on 1/f Noise Behavior in
Graphene, Nano Letters, 10, 3312, August 2010
[10]Guanxiong Li, Sergey Rumyantsev, Michael S. Shur, and
Alexander A. Balandin Origin of 1/f noise in graphene multi layer:
Surface vs. volume, Applied Physics Letter, 102, 093111, March 2013
[11]Hyuk-Jun Kwon, Hongki Kang, Jeawon Jang, Sunkook Kim, and
Costas P. Grigoropoulos, Analysis of flicker noise in two-dimensional
multilayer MoS2 transistors, Applied Physics Letters, 104, 083110,
February 2014
- 450 -
P1-32
2. Proposed Structure
Figure 1 shows the structures of both the conventional
U-MOS and the proposed SU-MOS. The body diode is
composed of a PN diode and a paralleled Schottky
body diode.
1. Introduction
Power MOSFETs have been commonly used in high
frequency applications such as switching regulators,
switching converters and motor drivers. There has been
an increase in demand for high frequency operations,
and there is a need for even faster switching and lower
reverse recovery loss to maximize the efficacy of the
high frequency application. However, the reverse
recovery of a MOSFET is determined by its minority
carrier lifetime. The methods to reduce carrier lifetime
include electron irradiation and the random usage of
the recombination center. These methods improve the
reverse recovery characteristic, but unfortunately also
cause conduction loss which reduces the lifetime of
both the minority and majority carrier. Therefore, a
more effective lossless technique to improve carrier
lifetime is required. The proposed power MOSFET
was found to obtain a reduction in reverse recovery
loss without loss of carrier lifetime. The proposed
method was able to do so by replacing the body (PN)
(a)
(b)
Figure 1 Cross-section of the (a) SU-MOS (b) UMOS
- 451 -
2.4 m
2.5 m
2 m
1.051016cm-3
11020cm-3
0.32 m
21017cm-3
0.68 m
1.25 m
0.05 m
4.9 eV
-
2.4 m
2.5 m
2 m
1.051016cm-3
11020cm-3
0.32 m
21017cm-3
0.68 m
1.25 m
0.05 m
4.9 eV
0.3 m
ICEIC 2015
The 2-D numerical simulation parameters of the SUMOS and U-MOS are shown in Table 1.
8.916
6.720
5.668
4.641
Reverse
Recovery Time
trr [ns]
1.991
1.818
1.764
1.740
(a)
(b)
Figure 2 (a) Output and (b) breakdown
characteristics of SU-MOS and U-MOS
U-MOS
WS = 0.3m
WS = 0.6m
WS = 1.0m
Energy
loss
ERR [J]
0.2634
0.1793
0.1437
0.1174
Leakage
current
IL [A]
2.03210-5
3.81110-5
1.09810-4
1.82210-4
FOM
[nJA0.5 ]-1
841.887
903.063
663.474
645.774
4. Conclusion
In this paper, both the 50V SU-MOS and the U-MOS
are analyzed. The simulation results show that the SUMOS achieved a greater improvement in the reverse
recovery characteristics. IRR and trr also decreased to
24.6% and 8.68%, respectively when WS was set at
0.3m. The FOM also improved to achieve 7.26% in
the SU-MOS.
5. Acknowledgements
(b)
(a)
Figure 3 (a) Reverse recovery test circuit and
waveforms of the SU-MOS and U-MOS. (b)
Waveforms of the drain current and the source
voltage of the SU-MOS and U-MOS
Figure 3(a) displays a circuit schematic to analyze the
reverse recovery characteristic for varying WS [2]. The
peak reverse current and reverse recovery time
decrease as WS increases. The minority carriers
recombination time is reduced due to the increasing WS
[1]. The results of the reverse recovery characteristics
are shown in Table 2.
References.
[1] B.J. Baliga, Fundamentals of Power Semiconductor
Devices. 1st ed., New York: Springer, 2008.
[2] Synopsys, Inc., Two-dimensional Process and Device
Simulation of Trench-Gate NMOS Power Device Using
TCAD Sentaurus, synopsys Inc., Mountain View, CA, USA,
2013
- 452 -
P1-33
1. Introduction
Schottky barrier diode (SBD) is widely used in lowvoltage switching power applications. However the low
breakdown voltage limits the performance of the SBDs.
To overcome this problem, new structures such as the
trench MOS barrier Schottky (TMBS) have been
proposed [1].
A TMBS induces charge coupling between the
electrode in the trench and the dopant charge in the
drift region. The charge coupling allows a high doping
concentration in the drift region by creating a wide
depletion in the mesa region. As such, the TMBS can
simultaneously achieve a high breakdown voltage and a
low on-state voltage drop [2].
However, the TMBS structure includes a trench
region which leads to field crowding in the corner of
the trench region. Though the TMBS has a higher
breakdown voltage than conventional SBDs, this field
crowding limits the breakdown voltage of the TMBS
[3]. In this paper, we propose a structure which not
only reduces the corner electric field but also improves
forward and reverse characteristics.
2. Proposed Structure
Figure 1 is a diagram of the structure for both the
proposed TMBS and the conventional TMBS. In this
- 453 -
ICEIC 2015
breakdown voltage at 51015cm-3 while the proposed
TMBS peaks at 11016cm-3.
FOM
(MW/cm2)
355.457
1014.95
4. Conclusion
Acknowledgements
This research was supported by the MSIP (Ministry
of Science, ICT and Future Planning), Korea, under the
ITRC (Information Technology Research Center)
support
program
(NIPA-2014-H0301-14-1007)
supervised by the NIPA (National IT Industry
Promotion Agency) and was supported by IDEC(IC
Design Education Center).
Reference
Figure 4. Forward I-V characteristics
Figure 3 shows the field distribution along the mesa
center at a reverse bias of 600V. In this figure, the
electric field peak value is observed at the side of the
trench corner (7um). The proposed TMBS shows a
lower peak value than the conventional TMBS in this
location because the high dielectric constant of the
HfO2, is larger than that of the 4H-SiC and SiO2, which
leads to a decreased electric field around the trench
- 454 -
P1-34
1. Introduction
Schottky barrier diode (SBD) is widely used in lowvoltage switching power applications. However the low
breakdown voltage limits the performance of the SBDs.
To overcome this problem, new structures such as the
trench MOS barrier Schottky (TMBS) have been
proposed [1].
A TMBS induces charge coupling between the
electrode in the trench and the dopant charge in the
drift region. The charge coupling allows a high doping
concentration in the drift region by creating a wide
depletion in the mesa region. As such, the TMBS can
simultaneously achieve a high breakdown voltage and a
low on-state voltage drop [2].
However, the TMBS structure includes a trench
region which leads to field crowding in the corner of
the trench region. Though the TMBS has a higher
breakdown voltage than conventional SBDs, this field
crowding limits the breakdown voltage of the TMBS
[3]. In this paper, we propose a structure which not
only reduces the corner electric field but also improves
forward and reverse characteristics.
2. Proposed Structure
Figure 1 is a diagram of the structure for both the
proposed TMBS and the conventional TMBS. In this
- 455 -
ICEIC 2015
breakdown voltage at 51015cm-3 while the proposed
TMBS peaks at 11016cm-3.
FOM
(MW/cm2)
355.457
1014.95
4. Conclusion
Acknowledgements
This research was supported by the MSIP (Ministry
of Science, ICT and Future Planning), Korea, under the
ITRC (Information Technology Research Center)
support
program
(NIPA-2014-H0301-14-1007)
supervised by the NIPA (National IT Industry
Promotion Agency) and was supported by IDEC(IC
Design Education Center).
Reference
Figure 4. Forward I-V characteristics
Figure 3 shows the field distribution along the mesa
center at a reverse bias of 600V. In this figure, the
electric field peak value is observed at the side of the
trench corner (7um). The proposed TMBS shows a
lower peak value than the conventional TMBS in this
location because the high dielectric constant of the
HfO2, is larger than that of the 4H-SiC and SiO2, which
leads to a decreased electric field around the trench
- 456 -
P1-35
!
#$"%& '
#()*-).%
!
,(-+.#$!!/.#$!!
01
$ %
01 /
!
!
"#
$"
"%&
*
'()+
,-
./
$
%
$
&01'
%
%
$
!
0
$
&01'
%
2 2$
2
$
3
$
$
$
$
%
%2
4+5! 1
%
01
%
!
6
01
%
/
/
$ $%
/
4754(5!8
%
%
9$
%
&0:' %
&0;'
$ %
!
/
%
%
3 $
$
2
$
/
$
&;8<'
%
$
$ /
! 8
%
/
!
1
/
/
01
%
/
$;8< &
;=<= '!8
01
%
2
>
$
$
;
?@8!
!
8
2
01
%
/
:! +! 8
%
2$
&
' %
$
$ /
%
$
%
&01'!1
2$
17')0
1+ 17 !?
$
3$%
')0
$%
22
$$ $
)
01
17')0 1+ 17 1
/
6
$
01!8
01
%
+ 1+ 17 22 !
22
')0
17
')0
1+ 17
1+
17
)
'
)
1
17 ')0
1+ 17 1
" #$
% !
!
!
!!&
=
;
01
%
/ /
$
$ %
/ :! 7! 8
$
&+AB' %
$
&6+ 67'
$
/
&)'%
$
&CA,'
;
&<='!;
<= 2>
%
*A-!
?
$
%
2$
$
$/
$$ ')0
%
( ) /
/
3 ) ')0 17 & 1+ 17 ' &+'
8
%
+3 )
%
3 )
3+ C 2
$
%
$
/
( * A ( - 4B5! 8
1 &+ C ' 3 )
$$
$
) %
2
17 ')0
&7'
) 1 ')0
1
1
1+ 17 1
1 /
$
$
$
/ ,
;
-
01
%
/ /
$
D0
+ 1+ 17 22
2
>
! 8
2>
$
$$
01
%
;
<=/ $
!
- 457 -
ICEIC 2015
22
')0
( '*
(*
(,
)
(+ ( 7
(C
1+
(B
((
$
%
! 8
; 01
%
D!70
(0&0)& 'I0*& ''!
; 01
%
$
2
$
2
/
01
%
/
D!)J
%
D!70
7!,0 $%
0H(!D0!
(-
1
()
17
22
''*
')0
4+
4,
4*
4-
4B
" -.
&
-
/
47
4C
4(
(!(
('
)
*+
"
%
'()
&
!!!
=
?@8
01
%
/ /
$
$ %
/ :! (! 8
$
&E+AEB' %
$
&6+ 67'
/
&E)'%
$
&ECAE,'
&'! 8
2>
2 %
0?1<!
?
$
%
$
; 01
%
$$
$
!
1
4)
1+
17
" *
%
#+,
&
!!!
*) %
8
01
%
/ :! 7 (
$
/
=
$
8 ; D!()
! 8
%$
%
2 22 (!D 1+ +DD 17 )D
( '* &/ F 5' &7DD F 7DD'
''* + 6 ! 8
2
$
1 /
2 +DD
01
%
! 8
?@8
G=G =G= /
E7G(-DB
E7G(-DC
%
!
:! B
/
01
%
/ :! 7 (
6H7D!8
/
$
9$
&7'!8
/
;
?@8
%
4(501
%
%
!
8
%
01
%
$
$
&00 '/
0 $%
0
G
%
;?@801
%
/
/
$
/
! 8
01
%
%
/
$
D!70
/
$!1
$$
/
/
>
;0
1
%
$
2
D!()
3;
2
!
, $
!!
,
!%
4
%022-331 !%
%
% ))5)
6
#'
,
1
78
9
4+5<!
$ !=
%K
/%
;
$
F 9$
$9$ $
01L18 !$ !16
!=
%
!
)7
!-!+*7+M+*(+
!7DD)!
475 ! <>
?! %
!
G!
!N O
+!70 D!+,$ ; 01
%
P
757'1)0'+5!%
!B*
!+,!
!7D++!
4(5 ! <>
?! %
! !
G!
=
<!
Q
>! 8
/0
/=
/
; 6
6
0
$
%
L777 '+!
1*%
!CD
!-!7(((M7(B7
!!7D+(!+R
4B5 !! ! 2
S
2 ; $
%
S777
5%
!(7
!+B!+7B)
+7BC@$+--C!
- 458 -
P1-36
Abstract
Pad Pitch(p)
Signal to
shielding signal
space(s)
The longest
signal
length(lref)
Shielding signal
width(w)
Bent signal
Bent vertical
height(h)
Bent horizontal
length(l)
1. Introduction
In VLSI circuit design, Signal matching techniques are a
major factor in performance optimization [1]. In particular,
clock skew matching is one of the most important reasons
for signal matching techniques [1]. In the past DRAM has
handled this, some pin skew compensation is available from
the internal circuit. However, clock speeds have recently
greatly increased (i.e. LPDDR4, GDDR5), so it has become
difficult to match the signal skew with internal circuits.
Therefore, it is necessary to perform accurate calibration
with PAD.
For calibration from the PAD, each of the PADs signals
should match. Furthermore, each PAD signal match method
necessitates a manual layout technique. The manual layout
technique tends to be a random case, so it includes time loss
and inaccuracies. Therefore, to reduce the manual operation,
some papers use automatic techniques [2, 3]. However, the
automatic techniques are only suitable for matching internal
signals.
Therefore, it is a difficult to make a specific form for
calibration from PAD. In this paper, to solve this problem,
we propose a layout technique that has been specialized for
calibration from PAD by using simple rules. Using simple
rules, we propose an algorithm for automation by the skill
language.
First, the input TTL signal starts from the same position
for each PAD module, and the first units to receive this
signal are placed in the same column. Second, we determine
the longest signal by using a reference signal. Third, the
signals to be matched should be bent to the same area. At
this time, all signals must have the same width, so it is
possible to control only the length. Finally, make the
shielding around the bent signal.
=
2
2
2
(1)
2. Layout design
2.1. Overall flow
The overall sequence of the proposed Input TTL signal
matching technique has the following order.
- 459 -
( )
,
2
= {
1 + ,
2
=1
2
(2)
ICEIC 2015
REF
N=1
N=2
N=N
REF
N=1
N=2
N=N
OVERLAP
(a)
(b)
N=1
N=2
N=3
N=4
N=5
(a)
(b)
Fig. 5. (a) Each clock skew for N = 5 to 9, and (b)
Maximum clock skew for N = 9
Table 1: Summary for N = 5, 7, and 9
N=5
N=7
N=9
Skew [ps]
2.200
3.175
7.219
l [m]
248.75
348.75
448.75
4. Conclusion
In this paper, a TTL signal matching layout technique has
been suggested. The proposed layout technique has several
advantages; it has little skew and simple rules, so it can be
implemented in automated systems, and designers can
estimate routing spaces accurately. If we further consider
vertical metal, we can implement TTL signal with very little
skew. As a result, it is expected to improve high-speed
device performance.
References
Fig. 3. Final form of Nmax = 5 bent signal
The process described above can be converted to the
algorithm, as shown in Fig. 4. If we use a cadence skill
language by using this algorithm, we can implement the
automatic tool.
Start
Enter a variable
- p, w, s, N
Calculate l, h
Grouping the
Signals order that
can be overlapped
each other
(1,2,4...2k-1, k=1 to
, incresed by 1)
# of group
element = N
Make Shielding
lines
NO
YES
END
- 460 -
P1-37
A BGA Ball Minimization Technique for the SoC Package Design Process
Baekseok Ko, Joowon Kim*, Jaemin Rwoo*, Chulsoon Hwang*, Jeong Cho, Soo-Won Kim
Korea University, *Samsung Electronics co. Ltd
E-mail: baekseok-ko@korea.ac.kr
Abstract
1. Introduction
In power delivery network (PDN) design, the factors
involved in the organization of chip-package PCB should
be considered complex design elements to reduce the selfimpedance of the PDN. Each hardware module can lead to
over-design, which eventually increases the cost of the
network. Most efforts and countermeasures concentrate on
the layout figure and capacitor placement due to the
significant influence of power integrity (PI) performance
[1]-[4]. However, it is also important to find and remove
over-designed factors in overall system. The package
power ball interconnection has a bridging role between the
semiconductor and the system, and it is designed with a
wide margin to ensure sufficient coverage according to the
system operation, the layout of package, PCB. Therefore, it
needs to ensure that the number of balls is appropriate.
This paper presents a method for deciding and
optimizing the number of power-ground balls in a ball grid
array (BGA) package. After the ball reduction, the secured
area allows us to place multi-layer ceramic capacitors
(MLCCs) on the bottom of the package to help minimize
the impedance. This case study uses the VDD and CPU
power nets of the digital TV application processor (AP) to
validate the proposed technique.
(a)
(b)
Fig. 2. Package physical form of DTV AP: (a) the bottom side of power
interconnection; (b) profile of chip-package-PCB connection.
- 461 -
ICEIC 2015
the power and the ground pins are tied to one united plane
in the dummy PCB layer. These clustered balls meet with
the VRM short termination in the equivalent electrical
length.
(a)
(b)
Fig. 5. Measurement results: (a) bottom view of the fabricated sample;
(b) CPU power noise measurement results according to the MLCCs
movement after ball reduction.
4. Conclusion
References
(a)
(b)
Fig. 4. Calculated loop inductance and loop resistance as eliminating
power-ground balls: (a) the rigorous selection mark; (b) the VDD block.
[2] M. S. Tanaka, et al, Early Stage Chip/Package/Board Codesign Techniques for System-on-Chip, in Proc. EPEPS, pp.
21-24, 2011.
Initia
l#
Inflection
(Loop L)
Inflection
(Loop R)
# of min.
balls
CPU
30
15 (10a)
21 (15a)
21
30%
VDD
34
16 (10a)
16 (10a)
16
52.9%
Reduce
d ratio
- 462 -
P1-38
Non-skewed
inverter
Skewed
inverter
VRXP
+
- DDA
+ Block
-
VRXN
WP
V1
V2
V3
SQLCHOUT
WN
WP=10xWn
VREF+
VREF-
Internal VREF
Controller
1. Introduction
In a serial link supporting Serial-ATA and USB, a
differential signal with a specific pattern before
receiving or transmitting data can be transmitted or
received to reset a transceiver or manage the power of
a data transmission system [1-2]. A squelch circuit
detects when this differential signal amplitude is more
than the pre-determined voltage level and then informs
whether the signal is data or noise to the system.
Therefore, more accurate detection is needed to prevent
a malfunction of the system due to wrong inform. In
addition to this, since the circuit should be always
turned on to monitor the transmission signal, power
consumption should be minimized. In this paper, the
squelch detection circuit detectable the squelch state
more correctly is proposed using a skewed inverter and
an internal VREF controller.
2. Circuit description
Figure 1 shows the circuit diagram of the proposed
squelch detection circuit which is composed of a
differential difference amplifier (DDA) block, a
skewed inverter, a RC filter, and an internal VREF
controller. The DDA block compares the differential
input amplitude (|VRXP-VRXN|) with the voltage
VRXP
VRXN
VREF+
VREF150mV
V1
V2
V3
SQLCHOUT
- 463 -
ICEIC 2015
Positive Differential Difference Amplifier (DDA)
VRXP
VRXN VREF+
VREF-
V1
+
Negative DDA
+
-
VRXP
VRXN
VRXP
VREF+
VREF-
Squelch state
VRXN
150mV
SQLCHOUT
VRXP
VRXN
4. Conclusions
A squelch detection circuit capable of detecting
squelch state regardless of the polarity of the
differential input using two DDAs and the skewed
inverter is presented. Since the detectable voltage level
can be tuned by the internal VREF controller, the
proposed circuit is expected to be applied to various
serial link standards.
5. Acknowledgement
This work is sponsored by IT R&D program
MKE/KEIT. [No.10035202, Large Scale hyper-MLC
SSD Technology Development]. The CAD tools were
provided by IC Design Education Center (IDEC),
KAIST, Korea.
References
- 464 -
P1-39
A 6-bit Current-Steering DAC for High Speed Current Mode SAR ADC
Wen-Liang Ma, Jae-Koo Park, Se-Jin Baik, Sang-Yun Kim, Jong-Min Baek and Kee-Won Kwon
Sungkyunkwan University, Suwon, Korea
keewkwon@skku.edu
Abstract
This paper presents a 6-bit fully binary weighted
current-steering digitalto-analog converter (DAC) with
data-independent current source cell for fast and reliable
ReRAM read application. An appropriate size of
capacitor placed at the common source node of the
differential switches improves the settling time by about
44% by mitigating the glitch induced by skew of the two
complementary switches. The proposed DAC is realized in
350 nm CMOS process, occupying 0.047mm2 with 640 W
energy consumption in full-scale current of 5~25 A.
Keywords: Digital/analog converter (DAC), SAR ADC,
glitch energy, current-steering DAC, current source cell
1. Introduction
Resistive random access memory (ReRAM) is a
promising candidate for the next generation non-volatile
memories due to its fast write speed, low write energy,
logic process compatibility, and compact cell area.
However, the resistance variance of ReRAM cells limits
the read margin and consequent high performance yield.
To adaptively track the optimum reference level for fast
and reliable read in cross-point cell array, a 4-bit currentmode flash ADC-based sense amplifier is adopted to
detect the spatial distribution and temporal drift of
resistance of the distributed reference cells [1]. The power
consumption and chip area increase exponentially with
the resolution in flash ADC although the high resolution
is inevitable to reduce bit error rate for multiple-level-cell.
This paper proposes a data-independent currentsteering high-resolution DAC for high speed current mode
SAR ADC in order to get fast, accurate and reliable read
process.
- 465 -
Vb (V)
ICEIC 2015
492 m
166 m
Figure 2: (a) Conventional CML-type, (b) differentialquad switching, and (c) the proposed current sources
3. Experimental Result
A prototype of 6-bit current-steering DAC with
proposed current source cell was fabricated in the 350 nm
CMOS process. Fig. 4 shows the data-independent
performance of the proposed DAC at the common source
node of the differential switches. After capacitor Cb is
added, the glitch about 100 mV high is almost removed
from node b. Consequently 44% reduction in settling
time is achieved at optimal capacitance of 15 f F. The
gradual increase of the settling time with larger capacitor
is attributed to the increase of RC time constant. The
layout of whole SAR ADC is illustrated in Fig. 5. The
area of DAC and SAR ADC is 0.047 mm2 and 0.37 mm2,
respectively. The performance is summarized in Table 1.
The settling time is 200 ps in wide range of full-scale
current, 5~25 A.
4. Conclusion
A 6-bit fully binary weighted current-steering DAC
with data-independent DAC current source cell scheme is
presented for fast and reliable ReRAM read application.
About 100 mV glitch is removed and about 44% settling
time improvement is obtained by using this current cell.
Acknowledgement
This work is supported in part by Korean National
Research Program [Contract No. : MKE-10039191], and
CAD tools and chip fabrication are supported by IDEC.
350 nm
3.3 V
6 bit
200ps @ Cb=15 f F
Energy Consumption
DAC Area
0.047 mm2
References
[1] S.Y. Kim, J.M. Baek, D.J. Seo, J.K. Park, J.H. Chun, and
K.W. Kwon, Power-Efficient Fast Write and Hidden Refresh of
ReRAM Using an ADC-Based Sense Amplifier, in IEEE Trans.
Circuits Syst.II: Express Briefs, vol.60, pp.776780, Nov. 2013.
[2] G. I. Radulov, P. J. Quinn, and A. H. M. van Roermund, A
28-nm CMOS 1 V 3.5 GS/s 6-bit DAC With Signal-Independent
Delta-I Noise DfT Scheme, in Very Large Scale Integration
(VLSI) Systems, IEEE Transactions on, Issue: 99, Jan. 2014.
[3] B. Schafferer and R. Adams, A 3 V CMOS 400 mW 14 b
1.4 GS/s DAC for multi-carrier applications, in IEEE Int.
Solid-State Circuits Conf. (ISSCC) Dig. Tech. Papers, vol. 47,
pp. 360361, Feb. 2004.
- 466 -
ICEIC 2015
Poster Session
PS-2
Special Symposium on Semiconductor
and Device, System and Control
P2-01
!
#
"
$
%
'&&(
!"
%#&%$$
)$
*
)
+
(
0
)
0
,./-
0
*
$
))
+
&
$
$
0
)
)
+
*
)$
$
$
0
)
$
)
0
&"
*
$)
$
& #
)
+
65&631
*
442
$0
))
2&25 78$&
# "!$
"!#%!#&%
#%%#
'
%
%#&%$$
60 "%$ % $
% %
- "%!
"!#&%#%%# )% / #
0
- $
!%/%)% #
)*"#%$$%%
% "!#&% #%% / %
7%!)%!)$#%!$$0$
%
- #"!#&%#%%)$ #$%!"#"$
/80.210"!#&%#%%
-$
%#
/ % $!% !%0 # %
- )%
%
%! !
$ "#$% % # % #%%
!% % "!$
%$0
- *
$%%$ )% %) #%! "!#&% $%%$
)#$())%#$"%%%)*!0
) #%! "!#&% $%%$ / 9!!
:% / %
; # 3 "!#&
$0
<%%% )% %
)* %
- 468 -
ICEIC 2015
)*
' $
# *! "%! "!#&% #%%#
/$ %
- )% % / #
%! !
$
"#"$!&/%26
%0
!%#
"% "!#&% #%%# / #!& /
$ %
- )% "!$
%$0 ' ## %
#%#%%!% %"!#&%#%%#$
)!! $ % % ; % $!) )*
#%#$%$ %"!$
$%#%#$%!&0D$
#$!% !%#$
!! #%%# !% C B
$
/%0 ; E@0E2 H $ /$#* # %
+,
+/,
'
!%
%#&
+
,-
,-.
/
$)#()$$""#%/%I%!$#
6% #+I6, ##% /
% #*#
%+I6=DDD==
5@@,
0
.1300%%$0D0$-"%$%%#$2=+==>,0
.1 0 0 7
<0 0 (D( 0
#$$
0 I$() ;0 -"%$ ;:"#$$ = E
+=,
.218:J0-"%0
0D
0<>>
+==@,
.51 D#$%$ #!$ ;0 #($ 3 '/$ J0 0
J"!$ 3#
!7 $! *) %%#$
E>C+==>,
- 469 -
P2-02
Abstract
2. TRR with SPR mirror (SPR-TRR)
In this paper, extinction ratio of triangular ring
resonator (TRR) with surface plasmon resonance
mirror is analyzed in specific condition around 1550
nm range. The transmitted coefficient and attenuation
coefficient in TRR are important to determine
transmission characteristics. In the analysis,
reflectivity at the SPR mirror is contained in the
attenuation coefficient in order to find out the
variation in the output transmission. Quality-factor is
slightly enhanced, when SPR mirror is applied to TRR,
from 4.5306103 to 4.9319103.
Keywords: SPR mirror, Triangular resonator, SPRTRR, Extinction ratio
1. Introduction
Recently, research and development of optical
biosensor which is able to check the existence of a bio
matter using optical properties has intensively been
undertaken. Integrated optic based ring resonator has
been preferred by advantages of both mass production
and high density integration [1]. Because the output
transmission power of the ring resonator is determined
by transmitted and attenuation coefficient in resonator,
a careful choice of those parameters is required for
high performance of resonator [2]. The triangular
resonator with surface plasmon resonance mirror
(SPR-TRR) is achieved by attaching surface plasmon
resonance (SPR) mirror to triangular resonator (TRR).
It is available that a smaller detection area than the
TRR [3]. Performance of the SPR-TRR mainly
depends on the parameters of ring resonator,
reflectivity in SPR mirror. In this paper, spectral
characteristic of transmission power in TRR and SPRTRR is analyzed in specific wavelength range.
- 470 -
ICEIC 2015
Normalized reflection
1 .0
TRR
SPR-TRR
0 .6
Resonance
position
1.548 um
1.549 um
0 .4
FWHM
0.341 nm
0.314 nm
Q-factor
4.530103
4.931103
0 .8
0 .2
1 .2
1 .3
1 .4
1 .5
1 .6
1 .7
1 .8
W a v e le n g th (u m )
3. Conclusion
Figure 2. Reflection by SPR mirror
related with wavelength
The output transmission of TRR applying SPR
mirror is expressed as
t r D 2 t r D cos(TD TR Tt )
2
T (O) Pt
Et
1 r t D 2 t r D cos(TD TR Tt )
2
Transmission (dB)
Acknowledgment
This work was supported by the National Research
Foundation of Korea (NRF) grant funded by the Korea
government (MSIP) (No. 2012R1A2A1A01011488).
TRR
SPR-TRR
References
-5
-10
1.546
1.548
1.550
1.552
1.554
W avelength (um)
O0 /FWHM,
- 471 -
P2-03
Abstract
The low VGS/high VDS stress-induced instability in
amorphous InGaZnO thin-film transistors was
investigated by combining the forward/reverse VGS
sweep and a low/high VDS read-out conditions. It was
dominated by a local trapping of electron/hole
followed by the local potential barriers complicatedly
modulated by the variations of not only the position of
source/drain but also the value of VDS under a read-out
condition.
1. Introduction
Amorphous indium-gallium-zinc-oxide (a-IGZO)
thin-film transistors (TFTs) have been considered as
promising driving/switching devices in large-area high
frame-rate active-matrix organic light-emitting diode
(AMOLED) displays due to their advantageous
features, such as good large-area uniformity, high
mobility, good transparency, and low leakage current
[1]. Up to now, many researchers have studied their
instability mechanism under the operation condition of
AMOLED for commercializing IGZO TFTs [2-3].
However, most of previous instability analysis has been
focused on the bias stress condition of a high VGS/low
VDS or VGS=VDS. Thus, a low VGS/high VDS stressinduced instability needs to be analyzed because
various VGS/VDS configuration should be taken into
account in perspective of the driving scheme for stable
AMOLED displays.
In this work, the threshold voltage (VT) shift under a
bias condition of low VGS/high VDS was investigated
using either the forward/reverse or a low/high VDS
read-out, and was attributed to the electron-hole pair
generation followed by a local trapping of electron/hole
into the gate insulator (GI)/etch stopper (ES) dielectrics.
- 472 -
ICEIC 2015
10
-6
10
-7
10
-8
10
-9
10
-10
10
-11
10
-12
10
-13
10
-14
100
Stress condition
VGS=10V, VDS=30V
60
Line : Forward
Symbol : Reverse
0s
5000s
-5
-4
-5
-6
10
-7
10
(a)
5
10
15
VGS [V]
10
10
40
Stress condition
VGS=10V, VDS=30V
IDS[A]
-11
10
VDS=10.1V
0
20
4
Line : Forward
Symbol : Reverse
0s
5000s
(b)
-13
-5
Drain
e
(b)
Acknowledgements
10
-10
20
-12
10
(a)
IDS[A]
-10
10
-8
-9
We analyzed the low VGS/high VDS bias stressinduced instability in the bottom gate a-IGZO TFTs
with an emphasis on either the F/R or a low/high VDS
read-out condition. It was dominated by a local
trapping of electron/hole into GI/ES and the local
potential barriers complicatedly modulated by the
variations of not only the position of S/D but also the
value of VDS under an individual read-out condition.
We believe that our results would provide the
informative implications of optimizing the driving
scheme for the display pixel in the amorphous oxide
TFT-driven AMOLED backplanes.
10
10
3. Conclusion
80
VDS=0.1V
-10
IDS[nA]
IDS[A]
10
15
0
20
VGS [V]
References
[1] K. Nomura, et al., Room-temperature fabrication
of transparent flexible thin-film transistors using
amorphous oxide semiconductors, Nature, 432, p. 488,
November 2004.
[2] S. M. Lee, et al., Device instability under high
gate and drain biases in InGaZnO thin film transistors,
IEEE Trans. Device Mater, Reliab., 14, p. 471, March
2014.
[3] C.-Y. Jeong, et al., A study on the degradation
- 473 -
ICEIC 2015
mechanism of InGaZnO thin-film transistors under
simultaneous gate and drain bias stresses based on the
electronic trap characterization, Semicond. Sci. and
Technol., 29, p. 045023, March 2014
[4] Y. Kim, et al., Amorphous InGaZnO thin-film
transistors-Part I: Complete extraction of density of
states over the full subband-gap energy range, IEEE
Trans. Electron Devices 59, p. 2689, October 2012.
[5] S. Urakawa, et al., Thermal analysis of amorphous
oxide thin-film transistor degraded by combination of
joule heating and hot carrier effect, Appl. Phys. Lett.,
102, p. 053506, February 2013.
- 474 -
P2-04
Abstract
The exact extraction of interface trap density (Dit) is
very important especially in the gate-recessed MOS
structure which is a promising approach for normallyoff AlGaN/GaN field-effect transistors (FETs). In addition, the efficient C-V model should be precisely established including the frequency-dependence in AlGaN/GaN FETs because they are developed mainly for
high speed applications. Here, the extraction of Dit is
demonstrated in the normally-off gate-recessed AlGaN/GaN FETs and its result is compared with that of
a conventional conductance method. The proposed
method is not only simpler than the conductance method along with the same precision but also useful in a
simple C-V model for AlGaN/GaN FETs.
1. Introduction
(a)
Source
(b)
Lex,s
Ls
Lgate
Lex,d
LDrain
Gate
Drain
SiO2
TSiO2
GaN
TGaN
TAlGaN
TAlN
Ti-GaN
AlGaN
AlN
i-GaN
Si(111)
- 475 -
ICEIC 2015
independent Cg [Fig. 3(a)]. Finally, the Dit can be finally extracted by Cit(Vg)= q2uDit(Vg)uWL as shown in
Fig. 3(b). Here, the Dit(Vg) was transformed into Dit(E)
by using the f-independent Cg. The FDCM-based
Dit(E) was verified to be consistent with the CM-based
Dit(E) [Fig. 3(b)]. Furthermore, the FDCM is much
simpler than CM because the former requires only
three different f conditions while the latter many different f conditions.
(a)
ZC R 2
RP
1
p p
(2)
j
1 C R 2 ZCox
1 (ZC p R p ) 2
p
p
(b)
RS
(b)
(e)
CEFF
RM Zp Cp
ZM CM
Rp
RS
(c)
(d)
CEFF
ZCH
Rit
Cit
CGaN
3. Conclusion
CEFF
Cit
CGaN
RS
Rit 2 2 2
2
2
C
C
it GaN
2 2
The Dit(E) of normally-off gate-recessed AlGaN/GaN FETs was successfully extracted by using
FDCM. The proposed method is not only more efficient than a conventional CM along with the same precision but also very useful in a simple C-V model for
AlGaN/GaN FETs.
Acknowledgements
This work was supported by National Research
Foundation of Korea through the Ministry of Education, Science and Technology (Grant No.
2013R1A1A2013100) and the Ministry of Science,
ICT
and
Future
Planning
(Grant
No.
2013R1A1A2065339), in part by BK+ with the Educational Research Team for Creative Engineers on Material-Device-Circuit
Co-Design
under
Grant
22A20130000042.
References
[1] T. Palacios, et al., High-power AlGaN/GaN HEMTs for Ka-band applications, IEEE Electron Device Lett., 26, pp. 781783, November 2005.
[2] J. Shi, et al., High performance AlGaN/GaN power switch with HfO2
insulation, Appl. Phys. Lett., 95, p. 042103, July 2009.
[3] E. H. Nicollian and J. R. Brews, MOS(Metal Oxide Semiconductor) Physics and Technology, Wiley, 2003.
[4] S. Lee, et al., Extraction of Subgap Density of States in Amorphous
InGaZnO Thin-Film Transistors by Using Multifrequency Capacitance
Voltage Characteristics, IEEE electron Device Lett., 31, pp. 231-233, March
2010.
- 476 -
P2-05
1. Introduction
In recent years, one of the widely used materials for
electronic substrates is FR-4, a composite of epoxy and
glass. However, FR-4 substrates are unsuitable for
high-performance microwave devices like embedded
substrates that require a high quality factor and low
coefficient of thermal expansion (CTE) [1]. Compared
with FR-4, ceramic materials have been used in the
high- frequency device industries as substrate materials
due to their high reliability, high dielectric properties,
low thermal conductivity, and low CTE. Moreover, for
the miniaturization and integration of microwave
devices, a 3-dimensional arrangement is required in the
hetero junction between different components.
2. Experimental
The fabrication of ceramic-polymer composite thick
films for integrated substrates was performed by the
AD process. Powder of Al2O3 with average diameter of
0.5 m was used as ceramic starting material. As
- 477 -
ICEIC 2015
Fig. 2. Experimentally measured and simulated Sparameter of the BPF (a) and its structure (b).
4. Conclusions
References
[1] R.S. Tomar and P. Bhartia, New Quasi-Static Models
for the Computer-Aided Design of Suspended and Inverted
Microstrip Lines, IEEE Trans. Microw. Theory Tech, pp.
453-457, April 1987.
[2] J. Akedo, Room Temperature Impact Consolidation
(RTIC) of Fine Ceramic Powder by Aerosol Deposition
Method and Applications to Microdevices, J. Therm. Spray
Techn, Publisher, pp. 181-198, December 2007.
- 478 -
P2-06
!
"
!
#
$
&%
'!()* +
,
-
!# %% $ " %
$ $ # &$%#
'
'('%%%
) %" " % # #
* +
#) * )' %
#')"*
# " # % ) %
%*%% ,$ # ) %
!# % # '
)*%
# '% " # % -% '' #
) )% # % #
%%.!/
0%.!/ !#
# #
%"00,%1 %
%# # % % 1
%%#'2
43 56
#% ''
' # %
% "% "" %
# # # % %%
+ # % %
$"
%
"
!# % %% # %'"
#%%%%%%
# - # # % " %
." < # )%* % " < #
%/
!#%*%'" #
% # % * % # *
% &% # #'# " # )%*
%
# "
*%"#%* -##
% " # % % " # *
% # )%* %
*'
- 479 -
ICEIC 2015
$"
,
"
%#&+
!%#&+-#
%
./
1??
8??
$"
!%
"
%%
./
1?> ?3
8?B 99
""
./
> ?3
B 99
7
.=/
1 >3
1 93
*%
C ' %
+ # '" " # %% &%
% # % %% % #
* " % !# %% " % %%
" # % %
* '% # * #
" " , % !# % %%
'
#%%#
"%%#
>= !#%%"#%''
*%%#*%%
"#"#
$"
&
"
)(
)()"
% -)
""
7
./
./
./
.=/
35?
353 9@
3 9@
3 1@
39?
3@8 93
@ 1A
8 9?
1??
3A5 >B
> 51
1 93
. "
& 5 %#
% # " " # 0% 389
%%%' !#%%"0%
389 % % %#
" > * 1
%#
% # 0% 389 % %% !#
% %% '' % # 7
('%%
##%%%#>=%
$"
*
"
%#&+
436 ! : : : 0'# D 2
D %$ D 0* -''% "
- 480 -
P2-07
Abstract
Bandwidth of the lock in technique is an important
factor in photonic bio-sensor, and is determined by the
bandwidth of a transimpedance amplifier (TIA).
Bandwidth and noise gain of a TIA with operational
amplifier are affected by the junction capacitor (CD) of
photodiode (PD), frequency response of op-amp, and
feedback component. This paper shows that the TIA
has trade-off relation between bandwidth and noise
gain. It is indicated that design of optimal TIA should
be the selection of op-amp proper for a given input
capacitance.
CF
RF
Iin
PD
Op-amp
CIN
Vout
VCM
1. Introduction
Figure 1. TIA using op-amp
80
77
60
Gain(dB)
40
20
2. TIA principle
0
- 481 -
10
100
1k
Frequency(Hz)
ICEIC 2015
50
Op-amp
40
Gain(dB)
30
20
10
Noise gain
0
-10
-20
1
10
100
1k
Frequency(Hz)
4. Conclusion
This paper simulated that the TIA has the trade-off
relation between bandwidth and NG. The simulation
results show that the TIA should be designed in
consideration of a fixed CIN in order to have high
bandwidth and low noise gain. It is applied to design an
optimal TIA in a photonic bio-sensor system.
Acknowledgement
This work was supported by the National Research
Foundation of Korea (NRF) grant funded by the Korea
government (MSIP) (No. NRF-2012R1A2A01011488)
References
[1] H -H Son, I I Jung, N P Hong, D G Kim and Y W
Choi, Signal detection technique utilizing lock-in 2C
- 482 -
P2-08
Abstract
This paper proposes position estimation method
using magnetic field map and INS. Absolute position
can be estimated by the magnetic field map method.
However, a different position can be estimated
incorrectly by noise. In order to solve this problem,
this paper proposes method of improved accuracy by
integration of magnetic field map method and INS.
Keywords: Magnetic field map, absolute position,
position estimation, INS
1. Introduction
In indoor, a geo-magnetic field is distorted by pillars
and steel structure [1-2]. So, the magnetic field that is
measured by mobile robot is not same at each position.
The measured magnetic field at whole point of desired
region create magnetic field map. So, a mobile robots
absolute position can be estimated by the magnetic
field map method. However, many candidate positions
can be estimated by sensor noise. This paper proposes
improved position estimation method using magnetic
field map and INS.
2. Position estimation
In this paper, the mobile robot has INS and
magnetic field map method system. INS estimate
relative position. This method can be divergence by
cumulative error. The magnetic field map method
estimated absolute position. This method can estimate
many candidate positions. In order to solve these
problems, this paper proposes integrated method of
INS and magnetic field map method.
The mobile robot moves circular motion as shown
in figure 1. The INS result is divergence as shown in
figure 2. The Magnetic field map method uses two
maps as shown in figure 3-4. The magnetic field map
- 483 -
ICEIC 2015
Standard deviation
4.7mg
0.6
0.173uT
Mean
81.1484
Variance
7.2520e3
3. Simulation
4. Conclusion
References
[1] Brandon Gozick, Kalyan Pathapati Subbu, Ram Dantu,
and Tomyo Maeshiro, Magnetic Maps for Indoor
Navigation , IEEE Transactions on Instrumentation and
Measurement, VOL. 60, NO. 12, pp. 3883-3891, December
2011.
[2] Han-Sol Kim, Woo-Sung Moon, Woo-Jin Seo and
Kwang-Ryul Baek, Position Estimation Using Magnetic
Field Map, Journal of Control, Robotics and Systems, VOL
19.4 pp. 290-298, April 2013.
- 484 -
P2-09
1. Introduction
The Blushless Direct Current (BLDC) motor is used in
industrial application system. Usually, PID controller is
widely used in BLDC motor control. PID coefficients are
calculated based on system model. However, it is difficult
to analysis model of motor. Usually, engineers determine
PID coefficients by experiment and investing many time.
Air-foil bearing motor is used many industrial application
system as blower, compressor, etc. Characteristic of airfoil bearing motor is changed rapidly. So, it is necessary to
adaptive control.
Auto-tuning is a powerful control technique to solve the
above problem, which is based on an adaptive estimation
algorithm[1]. Auto-tuning method is widely used to
Ziegler-Nichols type. Ziegler-Nicholss auto tuning
method is separated self-oscillation feedback and step
response feedback. In this paper, we used relay feedback
method of the self-oscillation feedback method to occur
oscillation.
2. Auto-tuning
4a
(1)
u(t)
u
- 485 -
Kp
Ki
Kd
-
P control
0.5*Ku
PI control
0.45*Ku
1.2*Kp/Tu
PID control
0.6*Ku
2*Kp/Tu
Kp*Tu/ 8
ICEIC 2015
torque
Flight point
ref
J0
J1
speed
outside
center
Location of shaft
5. Conclusion
In this paper, we proposed relay-feedbacks reference
point from characteristic of air-foil bearing motor. So,
system process is shown Figure 5. This process is used to
unknown plant that rapidly change characteristic system as
centrifugal compressor with air-foil bearing motor.
START
MAINTENANCE
ref
is changed?
NO
YES
RELAY FEEDBACK
DETERMINE
PID COEFFICIENT
SPEED
CONTROL
Relay feedback
method
Settling time
4.3s
2s
Over shoot
19%
2.438%
Steady-state
average speed
2002.806 RPM
2000.365 RPM
Ripple error
1.455%
0.907%
References
[1] Y. A. R. I. Mohamed, Adaptive self-tuning speed control for
permanent magnet synchronous motor drive with dead time, IEEE
Transactions on Energy Conversion, Vol. 21, No. 4, December 2006.
[2] Zhuquan Shen, Lina Wang, Kun Xiao, Relay Auto-tuning of Twostage Matrix Converter based PMSM drives, Electrical Machines and
Systems(ICEMS), August 2011.
[3] F.Leonard, R.Oubrahim, TWO STEPS RELAY AUTO-TUNING IN
THE PRESENCE OF STATIC LOAD DISTURBANCE, Conf. Publ. No.
455, September 1998.
- 486 -
P2-10
Abstract
In this paper, an improved adaptive on-time control
circuit is proposed to reduce the switching frequency
variation against the input voltage change in valley
current mode buck converters. The existing adaptive
on-time circuit suffers from the non-ideal inputvoltage-to-current conversion characteristics due to
the diode connection used in the current mirror. In this
paper, the current mirror is operated in the linear
region to reduce the voltage across the current mirror,
thus making the voltage across the conversion resistor
close to the input voltage. The proposed converter has
been designed with a 0.35m process technology. The
nominal switching frequency is 2MHz. The input
voltage is 3.3V and the output voltage is 1.8V. The
output ripple voltage is about 10mV with a 4.7F offchip capacitor and 4.7H off-chip inductor. The
steady-state switching frequency of the proposed
converter has a variation of less than 0.1% when input
voltage is varied from 2.8 to 3.8V.
Keywords: PMIC, DC-DC Buck Converter, Adaptive
on-time(AOT), Valley current control. Switching
frequency variation.
1. Introduction
Recently, portable electronic devices are in great
demand. Switching mode dc-dc converters that have a
high efficiency are essential in these devices for
maximizing battery use time.
The typical voltage mode DC-DC converters have a
slow transient response. To improve transient response,
various techniques have been reported[1]-[3]. One of
the techniques is a current mode constant on-time
control method[4]. However, constant on-time control
converter suffers a switching frequency variation when
the input voltage is changed. To solve this problem,
adaptive on-time control(AOT) has been used. In the
typical implementations of the adaptive on-time circuit,
a diode-connected MOSFET is used to generate a
current inversely proportional to the input voltage.
- 487 -
ICEIC 2015
Buffer
(a)
(b)
Fig. 1 (a) The block diagram of the proposed buck converter
and (b) Its waveforms.
Typical current mode converters use a constant ontime control. But a constant on-time control has a
problem that the switching frequency varies when the
input voltage is changed. To solve this problem, an
adaptive on-time control that adjusts the on-time has
been used[5]. Fig.2 shows the ideal adaptive on-time
generator circuit. In this circuit, a capacitor is charged
with a variable current source that is inversely
proportion to the input voltage, and the on-time is
determined by comparing the capacitor voltage with
the output voltage. In Fig.2, the turn on-time is
- 488 -
ICEIC 2015
linear region and the voltage across the resistor is
close to ( in the range of several or several tens
of mV). For copying a current, a negative feedback is
used instead of current mirror in the proposed circuit.
As a result, the proposed converter switching
frequency can be written as in (5).
B. Analysis
In order to determine the switching frequency
variation in each circuit when the input voltage is
changed, the switching frequency is expressed as
function of the input voltage .
In the conventional circuit, the switching frequency
can be expressed as in (4). The current flowing
through the resistor is equal to the drain current of
( . It is written as in (6).
3. Simulation result
The proposed valley current mode dc-dc converter is
designed in a 0.35 m CMOS process. The input
voltage is 3.3V and the output voltage is 1.8V.
The nominal switching frequency is 2MHz. The
output ripple voltage is about 10mV with a 4.7F offchip capacitor and 4.7H off-chip inductor. The
designed adaptive on-time generator circuit is shown in
Fig. 3. The converter can operate either with the
conventional adaptive on-time circuit or with the
proposed adaptive on-time circuit.
Fig. 4 shows the steady-state wave forms of the
inductor current when the input voltage is varied. From
this figure, the switching frequency can be determined.
As a result, the switching frequency of the
conventional circuit is much lower than nominal
switching frequency and has a variation of more than
10%, while the switching frequency of the proposed
circuit is close to the nominal switching frequency and
has a variation of less than 0.1% when the input
voltage is varied from 2.8 to 3.8V.
4. Conclusion
- 489 -
ICEIC 2015
(a)
(b)
(c)
(d)
(e)
(f)
Fig. 4 The steady-state waveforms of inductor current., (a) Conventional AOT at input voltage 2.8V. (b) Proposed AOT at
input voltage 2.8V. (c) Conventional AOT at input voltage 3.3V. (d) Proposed AOT at input voltage 3.3V. (e)
Conventional AOT at input voltage 3.8V. (f) Proposed AOT at input voltage 3.8V.
2.8 to 3.8V. Fig. 5 shows comparison results of steadystate switching frequency variation when input voltage
in varied.
Acknowledgment
This work was supported by the Brain Korea 21 PLUS
Project, National Research Foundation of Korea, and
the CAD tools were supported by the IC Design
Education Center(IDEC), Korea
References
[1] J. Abu-Qahouq, H. mao, and I. Batarseh, Multiphase
voltage-mode hysteretic controlled dc-dc converter with
novel current sharing, IEEE Trans. Power Electron.,
vol. 19, no.6, pp.1397-1407, Nov. 2004.
[2] S. C. Tan, Y. M. Lai, M. K. H. Cheung, and C. K. Tse,
On the practical design of a sliding mode voltage
controlled buck converter, IEEE Trans. Power Electron.,
vol. 20, no. 2, Mar. 2005, pp. 425-437.
[3] X. Duan and A. Q. Huang, Current-Mode variablefrequency control architecture for high-current lowvoltage dc-dc converters. IEEE Trans. Power Electron.,
vol. 21, no.4, pp. 1133-1137, July, 2006.
[4] C.F. Lee and P.K.T. Mok, A monolithic current-mode
CMOS dc-dc converter with on-chip current-sensing
technique, IEEE J. Solid-State Circuits, vol.39, no. 1, pp.
3-14, Jan. 2004.
[5] H.-C. Lin, B.-C. Fung, and T.-Y. Chang, "A current
mode adaptive on-time control scheme for fast transient
dc-dc converters," IEEE Int. Symp. Circuit Syst., pp.
2602-2605, May 2008.
W.Erickson and D. Maksimovic, Fundamentals of Power
Electronics. Norwell, MA: Kluwer, 2001.
- 490 -
P2-11
1. Introduction
Basically, a DC-DC converter is a core technology
of an LED driver, of which the main part has been
designed by an analog system [1]. The analog control
systems suffer from design complexity in spite of their
obvious advantages of a low cost and a wide bandwidth
[2]. Some attempts about digital control systems have
been successfully reported [2, 3]. Advantages of these
digitalized systems are high noise immunity, robust
design, and design flexibility [2, 3]. Furthermore, more
advanced and complex control algorithms such as
power management interfaced with sensors can be
implemented using digital technologies [3]. The
majority of the digitalized DC-DC converter is realized
using an analog-to-digital converter (ADC) which has a
quite large amount analog block [2, 3]. To obtain the
basic advantages of digital control systems, those
systems should be realized without any ADC used.
Recently, LED system lighting or smart lighting has
been spotlighted, which includes wire and/or wireless
communications and processor control parts based on
multiple sensors. This LED lighting requires not only a
simple LED driver but also digital processors to realize
- 491 -
ICEIC 2015
4. Conclusions
A new digitalized switch-mode DC-DC converter
without ADCs is successfully implemented and applied
to an LED driver. This digitalized converter can be
effectively applied to LED system lighting which
includes a control part for communications and
interface with multiple sensors.
(a) 4TCLK
(b) 32TCLK
Fig. 5. The jitters as a function of delay time
Acknowledgment
This work was supported by LED System Lighting
R&D program of KEIT [10042947].
References
[1] Y. Hu and M. M. Jovanovi, LED driver with selfadaptive drive voltage, IEEE Trans. Power Electron., vol.
23, no. 6, pp. 31163125, Nov. 2008.
[2] L. Guo, Implementation of Digital PID Controllers for
DC-DC Converters using Digital Signal Processors, Proc.
IEEE Electro /Information Technology Conf., pp. 306311,
May 2007.
[3] T. Jackum, G. Maderbacher, and R. Riederer, A
Digitally Controlled Linear Voltage Regulator in a 65nm
CMOS Process, Proc. IEEE Intl Conf. on Electronics,
Circuits, and Systems, pp. 984987, Dec. 2010.
- 492 -
P2-12
!
#"
$%&
'%
(
!
)
+*
-
,
+//0/
$!(.
1
*
"! !!
"!#
$#
%"'" ! &"
!
( #'!
! !' &
$'"
!&&"
#""&&"!'+*,)
"!
! && " !'
! & & # "#
( & "!
'(" &" !
" &" #" & " -#)
! !
# "
!'&!#!"
"
!
!'"#&#!)'"
&&' ! !
"
# " !&& & '"
(
(!!!! &
%#! #"! ! ! #"
#""
)
!!
&""
&"" !#"! '"
"
& !!
$!
%
1 & ! # &( &
!'!(
2/43&"
%"#$""
##
2 3 &" 5 " (! 076
8 3
) 1 #&
& (! ! #$" " 6)662%
6)667 9: ! /666 9: #'") &
'##& $! &" !
& !
; '
#5 &! ( ;
( !
!&**=<=63>'
! & !! ( &! !
!
( "3
!
'!(")
?"3 &$! ! '!
(6)/6)=*)6/)60)6!=)6
&(@#&"
'
)A
#" ! !' #3
!
#&!
#)
&!
- 493 -
ICEIC 2015
.
%
)
+
+*, J
B) E
K1 ! &&
"L1)" '")00)=
##)**/=*M7@
+/,)J)E) ) ) ) B ) ) B ! ) )
- 494 -
P2-13
Email: hyein_lee@kaist.ac.kr
2. Architecture
Abstract
This paper presents a 6-b 1-GS/s adaptive input
dynamic range using double reference level for a radar
system. For adopting the input dynamic range, the 1-b sub
ADC generates an output bit depending on an input signal
voltage, which selects one between double reference-level
ladders of the 6-b core ADC to determine the input
dynamic range. By the adaptive input dynamic range, the
ADC can reduce the quantization error for smallamplitude input signal while providing rail-to-rail input
dynamic range for wide-power range of radar echo signal.
Simulation results show that the proposed ADC achieves
7.01-bits of ENOB for a Nyquist 1.2 Vpp differential input
signal while consuming 134.1 mW of total average power
from a 1.5V supply.
Keywords: A/D converter, adaptive input range, extended
resolution, flash ADC, radar system, rail-to-rail.
1. Introduction
In recent years, interest in automotive-radar sensors has
been increased for drivers safety and convenience. A radar
echo signal has wide-power range since location and radar
cross section (RCS) of targets are unknown. Thus, an ADC
for radar is required to have wide input dynamic range to
digitalize an input in wide-power range. However, a least
significant bit (LSB), that is a unit reference voltage step,
becomes larger when an input dynamic range is extended
with same resolution of ADC. An ADC with large LSB can
cause loss of amplitude information of an analog input
signal. In many radar systems, time-domain information of
echo signal is used to detect location of target and the
number of targets. Therefore, a wide input dynamic range
ADC that can reduce the loss of time-domain information
of an input is required for radar system. This paper reports
proposed adaptive input dynamic range ADC using double
reference levels to reduce the loss of amplitude information
of radar echo signal.
(a)
(b)
Figure 1. (a) The block diagram of the proposed ADC
and (b) the adaptive input dynamic range depending on
input signal voltage.
Figure. 1 shows the architecture of the proposed
adaptive input dynamic range ADC. As shown in Figure.
1(a), the ADC consists of a 1-b sub ADC for deciding
input dynamic range and a 6-b core ADC for digitalizing
radar echo signal. As shown in Figure. 1(b), the proposed
ADC provides rail-to-rail input dynamic range for the wide
power range of input. When small input signal is entered,
thus wide input dynamic range is not required, the ADC
adopts the reduced input dynamic range for less
quantization error.
The differential input signals are connected to the input
nodes of two ADCs and the 1-b sub ADC compares an
amplitude of the differential input signals to half of fullscale amplitude. Then, this ADC generates one bit for the
6-b core ADC to adopt the input dynamic range. When the
input amplitude is larger than half of full-scale amplitude,
one bit become high then the core ADC has rail-to-rail
input dynamic range. While the input amplitude is smaller
than half of full-scale amplitude, one bit become low to
reduce the input dynamic range of core ADC by half of
rail-to-rail. Thus LSB of ADC is also reduced by half to
- 495 -
ICEIC 2015
reduce loss of amplitude information. Due to this operation,
for small-amplitude input signal, the ADC has same value
of LSB as one of 7-bit resolution in rail-to-rail input
dynamic range.
(b)
(a)
Figure 3. Simulation results. (a) rail-to-rail ramp input
test and (b) FFT spectrum for a Nyquist 1.2Vpp input.
Figure. 3(a) shows the results for a rail-to-rail ramp input.
As shown in Fig. 3(a), the total code of ADC is increased
from 64 of 6-b resolution due to the reduced LSB for
small-amplitude input signal. Figure. 3(b) shows the FFT
spectrums of the proposed ADC for a Nyquist 1.2 Vpp
differential input signal. The obtained SNDR/SFDR from
the proposed ADC and the 6-b rail-to-rail ADC, without
adaptive input range, are 36.02 dB/47.51 dB and 29.75
dB/40.97 dB, respectively. The peak SNDR and ENOB,
calculated from the obtained SNDR, are 43.98 dB/7.01 bits
and 37.71 dB/5.97 bit, respectively. Total average power
consumption is 134.1 mW from 1.5 V supply voltage
except clock buffers. The simulation results prove the
proposed ADC performs rail-to-rail operation while
increasing 1-b resolution for a small-amplitude input signal.
5. Conclusion
This paper presents a proposed 6-b 1-GS/s adaptive
input dynamic range ADC using double reference-level for
radar system. The ADC adopts the 1-b sub ADC and two
reference voltage ladders of the 6-b core ADC for changing
the input dynamic range depending on an input amplitude.
The ADC is suitable for a radar system due to that the
ADC can cover the wide power range of radar echo signal
and reduces the quantization error for small-amplitude
input signal to save envelop information with less loss.
Acknowledgment
This work was supported by the IT R&D program of
MOTIE/KEIT. [10047107, 0.13um-CMOS 24GHz ISMband automotive short range radar]
References
[1] M. Choi and and A. A. Abidi, "A 6-b 1.3-Gsample/s
4. Simulation results
[2] B.-S. Song, Micro CMOS Design. CRC Press, pp.120123, 2011.
- 496 -
P2-14
1. Introduction
Communication technology has been evolved
smartphone from wire telephone. Smartphone makes
new service converging with everything [1]. Through
this evolution, new era of mobile second convergence
life is emerging [2-3]. In this paper, smart driving
service using by mobile second platform was designed
and proposed.
3. Service Structure
2. System Structure
- 497 -
ICEIC 2015
To design smart driving service, user flow and
action was defined shown as figure 4. Service activities
were analyzed considering user flow. The relation
between user flow and service activities was shown as
figure 3. Four major services were designed as "smart
start & pic-navi ", "UX mirroring", "turn up my mood",
and "parking concierge and monitoring". In figure 5,
activities of each system and user action was designed
as example of smart start & pic-navi service.
5. Conclusion
This paper proposed smart driving service using by
mobile second platform. The smart driving service was
consisted by multiple devices and cloud platform. The
services were designed as "smart start & pic-navi ",
"UX mirroring", "turn up my mood", and "parking
concierge and monitoring". We hope that the objective
of car will change as for service using this.
Figure 4: User Flow of Smart Driving Service
Acknowledgement
This research was supported by the Industrial Core
Technology of SW Computing program through Korea
Evaluation Institute of Industrial Technology(KEIT)
funded by the Ministry of Science, ICT and Future
Planning(No.14-824-10-011, "Development of the
Smart convergence SW framework based on open
software for new convergence services in mobile
seconds branch")
References
[1] W. Grieve, and S. Levin, "From Clones To Packets: The
Development Of Competition In Local Residential
Telecommunications", TPRC 2005 The 33rd Research
Conference on Communication, Information and Internet
Policy, 2005.
[2] H. Jenkins, "Convergence Culture", New York University
Press, New York, 2006.
[3] D.H. Shin, W.Y. Kim, and D.H. Lee. "Convergence
Technologies and the Layered Policy Model: Implication for
Regulating
Future
Communications"
International
Communication Association. Communication & Mass Media
Complete, 2006
- 498 -
P2-15
1. Introduction
Recently, the importance for power management
IC(PMIC) is emphasized as battery-powered portable
electronics such as tablet, mobile phone are commonly
used. For miniaturization and high efficiency, power
supply of these devices is replaced Linear-type with
SMPS-type. SMPS(Switching Mode Power Supply) is able
to increase the switching frequency to reduce the size of
the energy storage element. However, the switching
operation of the high frequency causes switching losses,
inductor losses and conduction losses.
- 499 -
ICEIC 2015
6. Conclusion
Acknowledgment
This research was supported by the MSIP(Ministry of
Science, ICT & Future Planning), Korea, under University
ITRC support program (NIPA-2014-H0301-13-1007)
supervised by the NIPA (National IT Industry Promotion
Agency).
This work was supported by the Industrial Core
Technology
Development
Program(10049095,
Development of Fusion Power Management Platforms
and Solutions for Smart Connected Devices) funded By
the Ministry of Trade, industry & Energy.
5. Simulation Result
References
- 500 -
P2-16
1. Introduction
Due to the recent trend toward environmental
preservation enforcing more strict energy savings, all
transportation systems should reduce their energy
consumption to the absolute minimum. High-efficiency
operation systems, energy saving methods, and CO2
emissions have become vital issues in the railway
system, as well as in the other major public
transportation systems. Recently, saving energy in the
electric railway system is studied. For such new energy
saving, the ESS is considered for storing energy.
Energy saving is possible by efficient use of
regenerated energy. Regenerated energy is recycled
amongst vehicles by mean of charge and discharge
corresponding to powering and braking of electric
vehicle operations. This energy saving contributes to
cut CO2 to reduce greenhouse gas emissions. Recycling
regenerated energy demonstrate significant effect on
peak cut of consumption energy in railway substation.
In lines in which regenerative vehicles are used,
regenerated energy is recycled amongst vehicles for
efficient use. However, about 40% of excess energy
generated by braking vehicles will be wasted when no
2. On-Board ESS[3-6]
When the ESS is established in the substation, the
Fig 1 shows energy flows. This regenerative energy can
be used to feed electric trains which are accelerating at
the same time or saved to the ESS as shown in Fig 1.
When an electric train is braking, the other electric
train is fed by the regenerative train and the energy
storage system. This case has disadvantage. The energy
generated by braking train and or by the ESS flow
through the catenary. Energy loss by the catenary
resistance is about 10-25% of regenerative energy.
- 501 -
ICEIC 2015
In energy saving mode, it absorbs the energy
generated by braking vehicles and stores it until the
storage unit can feed it back into the power supply
system at a later point when vehicles are accelerating.
As a voltage stabilizer, its energy content is constantly
kept at a high level and it discharges when the system
voltage falls below a specified limit. The system can
switch automatically between these two operating
modes so that it can adapt perfectly to the prevailing
operational requirements.
- 502 -
ICEIC 2015
Table 1. Location of station
Substation
Station
Km Post
Name
Panam
Km Post
Name
0
Gov. complex
10770
Galma
11300
Sinheung
940
Daedong
2210
Wolpyeong
12270
Daejeon
3240
Gapcheon
13290
Jungangno
4010
Yuseong
14570
Junggu
4730
Guam
15640
SeoDaejeon
5540
Natl Cemetery
16480
Oryong
6360
World Cup
17520
Yongmun
7830
Noeun
18340
Tanbang
9020
Jijok
19490
City Hall
9800
Banseock
20480
From[m]
To[m]
Curve[m]
From[m]
To[m]
Curve[m]
118
163
400
7638
7701
3002
435
554
498
8537
8597
300
- 503 -
730
899
2002
8848
9002
200
1177
1373
248
9352
9387
300
1553
1750
509
9659
9779
400
2126
2299
616
10022
10042
50000
2621
2932
359
10122
10142
31153
3317
3408
1500
10298
10643
280
3510
3533
1500
11233
11339
2498
3659
3710
6000
11818
12055
1197
3881
3927
2500
12353
12741
402
4319
4344
250
12822
13028
1202
4531
4642
1200
13377
13649
797
4864
4912
1000
13717
14165
396
5150
5384
200
14804
14955
2502
5735
5766
1500
15255
15316
2502
5846
5877
1500
15697
15739
2000
6103
6134
2000
15804
15856
2500
6200
6231
2000
16128
16194
2500
6774
6796
10000
16213
16279
2500
6819
6841
10000
16551
17043
296
7125
7156
9998
18852
19137
396
7244
7266
10000
19177
19826
602
ICEIC 2015
7289
7311
10000
7526
7598
2998
20396
20462
800
To[m]
Grad[]
From[m]
To[m]
Grad[]
500
850
-28
11580
11760
15
850
1040
-6
11760
12200
-9
1040
1320
-31
12200
12520
1320
2380
-4
12520
12925
2380
2680
-10
12925
13240
-8
2680
3580
-6
13240
13500
3580
3920
-7
13500
13860
3920
4080
13860
14200
4080
4540
20
14200
14670
-3
4540
4780
-2
14670
15120
16
4780
5180
15120
15540
5180
5470
-3
15540
16230
5470
6180
16230
6180
6840
6840
7560
7560
7660
SIV
120kVA
Saving
rate
Accel.
Regen.
Accel.
Regen.
[kwh]
[kwh]
[kwh]
[kwh]
Panam
Sinheung
17.4
-8.7
12.8
-8.6
26
Daedong
17.2
-13.9
11.9
-13.9
31
16410
Daejeon
19.3
-9.3
14.4
-9.3
25
16410
16700
Jungangno
16.8
-8.6
12.2
-8.6
27
-4
16700
16870
11
Junggu
22.2
-11
15.3
-11
31
7660
-6
16870
17460
-3
SeoDaejeon
16.4
-9.9
11.3
-9.9
31
7740
-6
17460
17620
Oryong
15.7
-9.3
10.8
-9.3
31
7740
7890
17620
17950
20
Yongmun
14.7
-9.4
10.1
-9.4
31
7890
8760
13
17950
18285
-3
Tanbang
25.6
-11.5
17.6
-11.5
31
8760
9220
-3
18285
18480
City Hall
18.9
-11.4
13.4
-11.4
29
9220
9600
-8
18480
18730
19
9600
9920
-6
18730
19320
Gov.
complex
15.3
-10.2
10.6
-10.2
31
9920
11240
-3
19320
19660
-3
Galma
13.6
-9.3
9.4
-9.3
31
11240
11440
-3
19660
20050
-8
Wolpyeong
20.1
-9.4
14.5
-9.4
28
11440
11580
20050
20200
Gapcheon
19.1
-8.9
13.5
-8.9
29
Yuseong
17.5
-9.5
12.1
-9.5
31
Guam
20
-9.2
13.8
-9.2
31
Nat'l
Cemetery
15.7
-9.4
10.8
-9.4
31
World Cup
16.3
-9.2
11.2
-9.2
31
[%]
Characteristics
Noeun
18.5
-9.7
12.8
-9.7
31
Vehicle
4-car
Jijok
20.4
-9
14.1
-9
31
16 ton/car (2 ton/car)
Voltage
DC 1500 V
Banseock
17.7
-9.4
12.9
-9.4
27
Maximum speed
80 km/h
Average
18.02
-9.82
12.64
-9.81
29.76
3.0 km/h/s
3.5 km/h/s
Jerk
Motor power
- 504 -
Saving
rate
ICEIC 2015
Accel.
Regen.
Accel.
Regen.
[kwh]
[kwh]
[kwh]
[kwh]
16.5
-9.8
11.4
-9.8
31
24.2
-9.4
18.3
-9.4
24
World Cup
Nat'l
Cemetery
Guam
19.7
-9
14.5
-9
26
15.7
-9.6
10.8
-9.6
31
13.1
-9.2
-9.2
31
Yuseong
25.1
-9.5
19.3
-9.5
23
Gapcheon
14.7
-9.4
10.1
-9.4
31
Wolpyeong
15.5
-9.2
10.7
-9.2
31
Galma
Gov.
complex
City Hall
23.2
-9.8
17
-9.8
27
14.7
-9
10.1
-9
31
16.6
-8.9
11.4
-8.9
31
Banseock
Jijok
Noeun
[%]
Tanbang
19.2
-10.8
13.3
-10.8
31
Yongmun
29.1
-11.9
22
-11.9
24
Oryong
16.8
-8.5
11.6
-8.5
31
SeoDaejeon
13.6
-9.3
9.4
-9.3
31
Junggu
17.1
-10.9
11.8
-10.9
31
Jungangno
18.2
-13.4
12.6
-13.4
31
Daejeon
15.9
-9
11
-9
31
Daedong
18.7
-8.8
12.9
-8.8
31
Sinheung
25
-10.6
17.3
-10.6
31
Panam
21
-9.1
14.5
-9.1
31
Average
18.74
-9.77
13.29
-9.77
29.52
- 505 -
ICEIC 2015
-Increasing electric brake by using on-board ESS.
Reduction of friction brake.
-Reduction of maintenance cost related to friction
brake; for brake lining,
-Brake disc
Stabilizing System
-Stabilizing overhead line voltage
-Reduction of power peaks
-Recovery of vehicles during power failure
Global warming
-Reduction of the CO2 emission
4. Conclusion
The on-board ESS is needed to be developed to use
regeneration energy when the vehicle is braking. The
on-board ESS is simple solution to store the braking
energy and recycle it to powering vehicle. The onboard ESS as one of railway power traction system will
be inside the electric train. This paper specifically
presents the simulation effects of applying an on-board
ESS to the Daejeon Line in South Korea.
In the simulation, the general electric train without
the ESS and the on-board train with the ESS run on the
Daejeon line. Each train's the accelerating and
regenerative power are compared. Results are that the
average rate of energy saving is about 30%. Therefore,
the on-board train can save energy about 30% of the
consumption energy. The saved energy would be about
60 million dollars per year in South Korea.
References
References should appear in a separate bibliography at
the end of the manuscript, with items referred to by
numbers in square brackets [1, 2-4]. Times New
Roman 10pt is recommended for references.
[1] Y.V. Bocharnikov, A.M. Tobias, C. Roberts, S.
Hillimansen, and C.J. Goodman, "Optimal driving strategy
for traction energy saving on DC suburban railways," IET
Electric Power Applications, Vol. 1, Issue 5, pp. 675-682,
Sep. 2007
[2] Hanmin, "Field test of energy storage system on urban
transit system", Journal of Energy and Power Engineering,
Vol. 4, No.11, pp. 52-57, 2010
[3] Hanmin Lee, Gildong Kim, Changmu Lee. "Analysis for
EDLC Application on Electric Railway System", PCC
Nagoya, 2007
[4] RRR, "Power recycle vehicle", 2005.
[5] Takechi KONISHI, Shin-ichi HASE, "Energy Storage
System for DC Electrified Railway Using EDLC", QR of
RTRI, Vol. 45, No. 2, May. 2004.
[6] Hase, S., Konishi, T., Okui, A., Nakamichi, Y., Nara,
H.,and Uemura, T. : PCC-Osaka 2002, Proc. Fundamental
Study on Energy Storage System for DC Electric Railway
System., 1456-1459, 2002.
[7] Hanmin Lee, Gildong Kim, Changmu Lee. "A study on
ontrol of Waste Energy from Electric Train ", ITC-CSCC,
2013
[8] Bih-Yuan Ku and Jen-Sen Liu, "Solution of DC power
flow for nongrounded traction systems using chain-rule
reduction of ladder circuit Jacobian matrices," 2002
ASME/IEEE Joint Railroad Conference, pp. 123-130, 2002
[9] J. Duncan Glover, Alexander Jusko, and Syed M. Peeran,
"Train Voltage Analysis for AC Railroad Electrification,"
IEEE Trans. Industry Applications, Vol 1A-20, Issue 4, Part
I, pp. 925-934, Jul. 1984
[10] P. Lawton, F. J. Murphy, "Hong Kong mass transit
railway power supply system," IEE Proc. Generation,
Transmission, & Distribution, Vol. 133, No. 7, pp. 462-468,
Nov. 1986
[11] Arthur R. Bergen and Vijay Vittal, Power Systems
Analysis 2nd ed., Prentice Hall, 2000, pp. 294-303
[2] Lynn Powell, Power Systems Load Flow Analysis,
McGraw-Hill, 2004, pp. 16-18
[12] Byoung-Kon Choi and Hsiao-Dong Chiang, "On the
Local Identifiability of Load Model Parameters in
Measurement-base Approach," Journal of Electrical
Engineering and Technology, vol. 4, No. 2, pp. 149-158, Jun.
2009.
[13] Hansang Lee, "A Study on Modeling for 1500V DC
Power-Supplying Railroad System Using EMTDC," Master
dissertation, Detp. Elec. Eng., Univ. Korea, 2005
[14] A. Adinolfi, R. Lamedica, C. Modesto, A. Prudenzi, and
S. Vimercati, "Experimental assessment of energy saving due
to trains regenerative braking in as electrified subway line,"
IEEE Trans. Power Delivery, Vol. 13, Issue 4, pp. 15361542, Oct. 1998
[15] P. Chowdhuri, "Study of Transient Voltages in Transit
Systems," IEEE Trans. Electromagnetic Compatibility, Vol.
EMC-17, Issue 3, pp. 140-149, Aug. 1975
[16] Chen Yihsu, Wang Lizhi, "A Power Market Model with
Renewable Portfolio Standards, Green Pricing and GHG
Emissions Trading Programs," Energy 2030 Conference,
Energy 2008 IEEE, Nov. 2008
[17] R. Gresesti, M.V. Cazzol, R. Vailati, and F. Zanellini,
"Impact of greenhouse gas emission constraints on the
electrical system of continental Europe," Powertech, 2005
IEEE Russia, Jun. 2005
- 506 -
P2-17
Introduction
Even though, Direct Digital Frequency Synthesizers (DDFSs)
hold high multiple advantages over traditional Phase Locked
Loops (PLLs) in frequency synthesis, they have been held back by
high power consumption due to their complex phase-to-amplitude
mapping (P2AM) circuitry especially when operating at high
speed. Furthermore, complex P2AM designs also lead to higher
clock latency. While a low latency design has been proposed by
[1], Nonlinear DAC (NLDAC)-based DDFSs which remove the
P2AM completely can increase operating speed, lower area and
power consumption in addition to reduced latency. However, the
use of a single thermometer-coded design in NLDACs typically
restricts the resolution of these DDFSs to 6 bits or less thus
limiting their spectral performance. Since NLDACs have
non-uniform step sizes, a modified segmentation method is
required. This paper proposes an area and power efficient
decoding circuit which shows good spectral performance.
- 507 -
ICEIC 2015
current 9-bit PACC takes up about 40% of the active area.
Micrograph of the die is presented in Fig. 6.
FCo15
(QMT10)c (QMT6)c
1
1
1
1
1
1
1
1
1
1
1
0
0
0
0
0
QLT
QMT_A
Conclusion
QLW_B
QMT_B
QL
4b
QM
4b
Fine
Decoder
(Thermo)
QLT
Coarse
Thermo
Decoder
QMT
Final
Decoder
15b
To QLSB
current
switches
24b
To QMSB
current
switches
1
1
1
1
1
1
1
0
0
0
0
0
0
0
0
0
2
2
2
2
2
2
2
1
1
1
1
0
0
0
0
0
15b
Acknowledgement
This work was supported by the ICT R&D program of MSIP/IITP,
Republic of Korea (no. 2014-911-05-002)
References
[1] A. Willson, M. Ojha, S. Agarwal, T. Lai and T.-C. Kuo, A Direct
Digital Frequency Synthesizer with Minimized Tuning Latency of
12ns, ISSCC Dig. Tech. Papers, 2011, pp. 138-139.
[2] X. Geng, F. Dai, J. Irwin, and R. Jaeger, An 11-bit 8.6GHz direct
digital synthesizer MMIC with 10-bit Segmented Nonlinear DAC,
IEEE J. Solid-State Circuit, vol. 45, no. 2, pp. 300-312, Feb. 2010.
[3] D. Wu, G. Chen, J. Chen, X. Liu, L. Zhao, Z. Jin, A 6 GHz direct
digital synthesizer MMIC with nonlinear DAC and wave
correction ROM, IEEE Radio Frequency Integrated Circuits
Symp., pp. 421-424, June 2010.
[4] H.C. Yeoh, J. Jung, Y. Jung, and K.-H. Baek, A 1.3GHz 350mW
Hybrid Direct Digital Frequency Synthesizer in 90nm CMOS,
IEEE J. Solid-State Circuits, vol. 45, no. 9, pp. 1845-1855, Sep.
2010.
[5] C.-Y. Yang, J.-H. Weng and H.-Y. Chang., A 5-GHz Direct
Digital Frequency Synthesizer Using an Analog-Sine-Mapping
Technique in 0.35-um SiGe BiCMOS, IEEE J. Solid-State
Circuits, vol. 46, no. 9, pp. 2064-2072, Sep. 2011.
2b
QCB
15b
24b
QLSB
15b
QMSB
QCB
B1
QCB
B0
Current weights
matrix
implementation
4b
QM
24b
Hardware
efficient
segment
decoder
Quarter Wave
Transform II (XOR)
8b
QM:QL
Quarter Wave
Transform I (XOR)
[2] JSSC'10 [3] RFIC'10 [4] JSSC'10 [5] JSSC'11 This Work
4b
QL
Process
SiGe
200GHz fT
SiGe
60GHz fT
CMOS
90nm
SiGe
60GHz fT
CMOS
90nm
FCW Width
11 bits
8 bits
24 bits
9 bits
24 bits
Amplitude
Resolution
10 bits
nonlinear
7 bits
nonlinear
11 bits
hybrid
8 bits
linear+tsc
9 bits
nonlinear
Max. Clock
8.6 GHz
6 GHz
1.3 GHz
5 GHz
1.5GHz
Active Area
1-bit
DAC
Digital Decoding
Analog
Out
FCW in
7.5 mm
4.8 mm2
with pads
1 mm
2.1 mm
0.8 mm2
40 dBc
31 dBc
@ 5GHz
52 dBc
45.7 dBc
50 dBc
3270 mW
350 mW
460 mW
270 mW
53
@ 5GHz
1208
1712
1435
182
(a)
Reordered Current Weights
Figure 2 8-bit (a) Ideal and (b) Reordered current weights table
- 508 -
P2-18
Abstract
For solving thermal issues in ICs or EV (Electrical
Vehicle) batteries, a temperature sensor embedded
graphene heat spreadr was developed. The temperature
sensor was fabricated on graphene heat spreader by
using semiconductor process. Because graphene on Ni
layer was used without any treatment as transferring
process, sequential fabrication process could be
compatible. Fabrication of a thin film sensor on CVD
grown graphene layer has the advantages for large
area graphene heat spreader with better thermal
distribution characteristics. TCR of 1 x 1 mm
temperature sensor on graphene is 1.68 x 103 ppm/.
Keywords: graphene, heat spreader, temperature
sensor
1. Introduction
Thermal issues become more critical in electrical
devices in automobile application as EV batteries or
power ICs of engine. In the case of EV batteries, the
market size is increasing every year, and the number of
EVs may reach about 9.5 million in 2020 [1]. LithiumIon battery has very important role in EVs not fewer
than an engine of gasoline vehicle because it is the
only power source. However, the lifetime is very
sensitive to its temperature which is occurred in
charging and discharging period. Moreover, the high
temperature can cause the thermal runaway and
explosion.
For solving thermal issues in EV, using heat spreader
with high thermal conductivity is a possible approach.
Graphene is the 2D allotrope of carbon with very high
thermal conductivity which is in the range of 3080
W/mK to 5000 W/mK [2]. So graphene can be a
suitable material to solve thermal problems in EVs
even with its strong physical characteristics.
2. Design
A temperature sensor embedded graphene heat
spreader was designed and shown in Fig.1. The device
is supported by a PCB board w i t h JEDEC standard
[5, 6]. The 500 um t h i ck silicon was used a s the
substrate, where the area of 12 x 10 mm at the
center was removed to 50 um thick membrane to
minimize the heat spreading effect caused by silicon
substrate. Above the membrane, 400 nm of Ni layer
and 1um graphene was designed. We adopted
CVD processed graphene directly as heat spreader
without transfer process, because it is hard to get
less damaged transferred graphene in a large area
device.
We also designed the temperature sensor of RTD
(Resistance Temperature Device) type using
deposited platinum resistor in 1 x 1 mm size.
- 509 -
ICEIC 2015
A resistive heater was fabricated for providing heat to
the device. For the electrical insulation between
graphene and sensor, SiO2 layer was designed with 1um
thickness.
4. Fabrication
First of all, 400nm Ni layer is deposited on silicon,
and graphene layer is grown as heat spreader on Ni
layer. Because there was no removal process of Ni
layer, graphene layer can be less damaged. On the
graphene layer, SiO2 is deposited by CVD process for
electrical insulation. Thin Pt layer is also deposited
and patterned on the graphene simultaneously. After
the fabrication process, the TCR is first characterized
followed by checking the cooling effect of graphene.
5. Acknowledgement
(a)
References
(b)
Fig. 1. Test device schematic (a) top view (b) cross
section view
3. Simulation
The proposed device was simulated by using
ANSYS Icepak (ver. 13.0). In Fig. 2, heat source is
heated to 60, and we can simulate and analyze the
difference of the device between (a) without graphene
and (b) with graphene. The boundary condition is that
ambient temperature is 25G and laminar flow. From
the simulation, 1um thick graphene cools down the
heat source temperature from 60Gto 56.2.
60G
(a)
56.2G
(b)
- 510 -
P2-19
TIA
Buffer
LA
LPF
PD current
Optical input
160uW
Vcm
160uA
100uW
100uA
40uW
40uA
1. Introduction
As the demand for the high-speed data communication
has rapidly increased, optical interconnects regarded as a
new solution to support increasing capacity requirements
for short-reach data communications such as board-to-board
and chip-to-chip serial links [1], [2]. The optical fiber is free
from the bandwidth limitation comparison with the copper
cable. Also, we can reduce the design complexity and power
consumptions of interface circuits because various signaling
and equalizing techniques are hardly required for optical
communications [3].
Despite of inherently low power characteristics of optical
interface circuits, the TIA and LA blocks are critical power
consuming blocks for high-speed data transmission.
Moreover, because the maximum data rate of entire system
is often limited by the available bandwidth of the TIA, an
optical receiver front-end usually employs various
bandwidth extension techniques such as inductive peaking
to compensate dominant pole induced by large PD
capacitance. Therefore, it consumes additional power [4].
As a result, design optimization of TIA and LA is very
- 511 -
1 : 3
ICEIC 2015
3. Simulation results
The proposed optical receiver front-end is simulated in
65-nm CMOS technology. Fig. 4(a) shows the simulation
results of TIA, LA, and error amplifier used in offset
cancellation. The total power consumption of the optical
receiver front-end is about 380 W which is very low for
4Gbps data transmission. Fig. 4(b) shows the eye diagram
at output node of the output buffer which is a post-layout
simulation (POSIM) result.
Gain
Bandwidth
Power
consumption
TIA
37.8 dB
2.39 GHz
198.72 W
TIA + LA
78.4 dB
1.94 GHz
367.04 W
Error amp
20 dB
207.06 MHz
13.06 W
(a)
(1)
4. Conclusion
out
TIA
FB out
LA out
LA out
VCM
FB out
RC filter (LPF)
Acknowledgement
This work was supported by MSIP as GFP / (CISS2012M3A6A6054191)
References
[1] D. Miller, Device requirements for optical interconnects to
silicon chips, Proc. IEEE, vol. 97, no. 7, pp. 11661185, 2009
[2] J. Kim, J. F. Buckwalter, A 40-Gb/s optical transceiver frontend in 45 nm SOI CMOS, IEEE J. Solid-State Circuits, vol. 47,
no. 3, pp. 615-626, 2012
[3] G. S Jeong, H. Chi, K. Kim, D. K. Jeong, A 20-Gb/s 1.27pJ/b
low-power optical receiver front-end in 65nm CMOS, IEEE
ISCAS, pp. 1492-1495, 2014
[4] C. W. Seng, T. Y. Sern, Y. K. Seng, A low power wideband
differential transimpedance amplifier for optical receivers in 0.18m CMOS, IEEE NEWCAS, pp. 1-4, 2013
- 512 -
P2-20
2. System of MOST-WOC
In describe in Figure.1, proposed network system is
consist of MOST devices with WOC module as an
access device in ring network. The proposed MOSTWOC network system which is linked with MOST
network system has the same as their data rate. It can
be 150Mbps uplink and downlink that make a variety
of utilizations as a means of internal wireless
communications in car.
1. Introduction
Lately, conventional car media system has been
adopted to wireless communications system such as
Bluetooth or Wireless LAN in order to transit the
media data source, but the environment of exchanging
multimedia streaming data in car is tough to be
operated without ceasing link connection because
vehicular space is small and its material cannot
progress wireless signals. Proposed MOST-WOC
system does not interfere with radio frequency systems
and avoids EM (Electro-Magnetic) compatibility
problems, thus it can be the only solution for streaming
service tool in automotive media environment. Before
being implemented automotive network for seamless
connectivity combined with wired and wireless
communication, experimental model has to be
considered as a valid system model in car. Though
multimedia network is not effect on ECU (Electronic
- 513 -
ICEIC 2015
MOST optic interface transforms the light signal into
an electrical signal which transfers the data routing
module. When processing data are accessed to define
memory block, MOST frame involved in control,
streaming and packet data are transmitted to Head Unit
via internal interface bus system. And, the data
processing is realized in accessing to the WOC
interface module.
3. Experimental Model
The integration of processing platform and optic
modules is particularly challenging due to their
compatibility. An effective measurement of
compliance is to be adopted a certification test process.
It is called MOST compliance test. As described in
Figure 4, MOST compliance test process is divided
into three courses: device test on physical layer, higher
communication levels and application layer.
With regard to the physical layer compliance, the
measurement point is to check the signal characteristics
for constancy.
For the normal behavior, power, error, ring break
diagnosis and network management, higher
communication level compliance tests are performed.
- 514 -
ICEIC 2015
5. Conclusion
For the trend of car infotainment system is moving
to the high quality audio sound system, this paper is
introduced the development of the audio streaming
service based on wireless optical network system
called as WOC. Particularly, MOST-WOC, to be
optimized streaming data transmitting without ceasing
communication on vehicle environment is satisfied
with reducing the weight and ensuring the reliability
for the free of electro-magnetic problems. Especially,
in this paper, it is introduced the performance of
designed system based on MOST-WOC according to
the distance of WOC devices by setting up
- 515 -
ICEIC 2015
communication link. And it defines the test process of
WOC system which transmit the packets linked with
MOST networks. It is validated as an experimental
model for the compliance test with MOST networks
system.
Acknowledgement
This study was supported by a grant from the IT R&D
program of MOTIE/KEIT [10048285, Improving
Industrial Infrastructure through Embedded Software
Research and Development]
References
[1] Andreas Grzemba, MOST Book from MOST25 to
MOST150, MOST Cooperation, FRANZIS, 2011
[2] Otto Strobel, Rindha Rejeb and Jan Lubkoo,
Communication in Automotive System Prin-ciples, Limits
and New Trends for Vehicles, Airplanes and Vessels, IEEE
ICTON, pp1~6, 2007
[3] S. Godavarty, S. Broyles and M. Parten, Interfacing to
the on-board diagnostic system, Proceedings of IEEE
Vehicular Technology Conference, 52nd-VTC, vol. 4, pp.
24-28 , 2000
- 516 -
P2-21
- )
!
"
"
0
,
0 !!
*;
!!
'
0 * 7
9
!0
0
'
!
!
*
# !!!
!
!
<
*
!!
!
!!
!
!
*
##* $# " /$";> "=
?
'
'0
'
!!
*
;* 3
!!
*
,
!!
#
! !
'3AA868B3'
=
'#
C"%= #"')
&'23AA868B3'.
!
@D@!
. =
!
.5.
$
!$
4
- 517 -
ICEIC 2015
!!
@; 5*
0
0
0 '
!
0
*
* #
,E 0
,
,*
0
,
,
,
0
* #
<
!
* ;
0!'
,
!'
F!G' F
G' F G' F0
G'
F H G'
* #
,'
I #
;*5
!
*
J
;*5
I #
*
) ,
0
'
'
' F!G
!!
'
F!G
!
,
*
0
!!
H
* ;
'
0 F#
G'
F
!G 0
F
G
F!G
F
G*
@
'F
!G'FG'FG*
!*
I
'' '
*
!!
* ;
0!'
'
<
D
'
' 1' H
*
, 0
!!
!!*
,
0
.7
!!
,
!!
*
,
!
!!
' ,
'
' ! ,
,
* ;
0!'
F
!G
0
! 1'
%
,& 1 !
*
7
!'
F
!G:
!!
*%#
!, &
234 (* " ' 7* ' #** ' F$ <
- 518 -
P2-22
E( )
1. Introduction
The many researches in the autonomous navigation
have been performed using the various approaches [1].
The field of the autonomous navigation can be divided
into two topics, which are the path planning and the
path tracking. In this paper, we propose the composed
and efficient navigation algorithm using the constraint
optimization method.
In order to reach the goal position, we define the
cost function to be minimized with the pose error
between the goal position and the position of a mobile
robot. We also define the constraints to avoid the
obstacles. Finally, we define the constraints
optimization problem for the autonomous navigation.
Using the constraints optimization method based on the
exterior penalty function, the optimal speeds of the left
and right wheels can be directly found using the
Jacobian derived from the kinematic model [1].
1 T
e ( )e( )
2
(1)
s.t.
d max Di ( )
(2)
for i 1,2, , n
the
min F ( , rk )
where
F ( , rk ) E ( ) rk g j ( )
g j ( ) max( 0, d max Di ( ))
- 519 -
(3)
ICEIC 2015
rk is the positive penalty parameter and q is the
nonnegative scale parameter.
The iterative form of the wheel position value can
be calculated as
10
9
8
k 1 k k k k k
T
Y axis(m)
(4)
3. Simulation result
6
5
4
3
2
1
0
0
10
X axis(m)
(a)
RMS error(m)
20
4. Conclusions
15
10
5
0
Heading direction(deg)
References
5
time(s)
10
5
time(s)
10
100
50
-50
(b)
Fig. 1. Simulation result: (a) Trajectory of the mobile
robot and (b) the pose error and the orientation
- 520 -
P2-23
1. Introduction
To maintain high efficiency across the entire load
range, it is necessary to operate PFM for light load.
In this paper, the loss analysis and calculation has
been conducted for the PWM and PFM Modes. In
particular, to improve the accuracy predicts system, the
Inductance and core loss applied by modeling from real
measured data of Inductor.
Predicted efficiency within the whole operation range
is then compared to measured result.
Fig. 2. Loss distribution of Buck Converter
Converte
r
MOSFET
Inductor
Cout
IC
VIN=
3.7
VOUT=
1.8
Fsw=
Co=
TH =
TL=
V F_L=
RDS_H=
RDS_L=
DCR=
ESR=
IQ=
MHz
3
uF
10
ns
10
ns
10
V
1
0.12
0.09
0.084
0.001
uA
18
I L
I L _ RMS
- 521 -
(3)
FSW LO
2
IO
I L
12
(4)
ICEIC 2015
3-2. Active Component Loss
The total loss of a MOSFET is a combination of
conduction losses and switching losses. The conduction
loss is dissipated in series resistance and switching loss
is dissipated each switching cycle.
High and low side MOSFET loss is
V
2
(5)
PH _ Conduction I L _ RMS RDS _ H OUT
VIN
(V I
)
(6)
PH _ Switching in L _ RMS (TH FSW )
2
V
2
(7)
PL _ Conduction I L _ RMS RDS _ L (1 out )
Vin
(8)
PL _ Switching (VF _ L I L _ RMS ) (TL FSW )
The quiescent loss of PM IC is described by the
following equation:
PIC _ IQ I Q VIN
(9)
; Tsw
; dt2
; T2
;N
F1 LO
Cout Vout
I 2
)
I O (1 O
I L _ PFM
(14)
I L _ PFM LO
(15)
VOUT I O RDS _ L
I L _ RMS
(16)
Vout I O RDS _ L
TSW T2
T1 dt2
(17)
2
I L _ RMS _ PFM
I L
(T T2 )
N 1
3
TSW
(18)
(11)
5. Conclusion
In this paper, the loss analysis and calculation has
been conducted for the PWM and PFM Modes. And
the validity of this analysis verified by comparing
predicted efficiency with the measured efficiency.
References
- 522 -
P2-24
2. Description
Description System Architecture
Vresidue
Vresidue
Vin
Vref
Stage 1
(Bit 7)
Stage 2
(Bit 6)
Vresidue
Vresidue
Stage 7
(Bit 1)
Stage 8
(Bit 0)
Compout1
Compout2
Compout7
Compout8
Latch
Bit 7(MSB) ~ Bit 0(LSB)
1. Introduction
A.
- 523 -
ICEIC 2015
one of the single-stage determines 1-bit of the digital
signal. So, the output signal of the analog MUX is
multiplied by 2 and delivers the restored signal to the
original range to the next stage.
Comp out
Vin
1-Stage
(1-bit)
Vref
Vin
Vref
Comp
Vref
Vin
1-bit
Vout
Input of
next-stage
Comp out
Subtracter
+
Vsub
Vin
Sel
MUX
X2
Vout
Stage-1
Stage-2
Stage-3
Stage-4
out
Vsub
Sel
Vin
0.5
Low
Vin
0.5
Low
Vin
High
Vsub
High
0.5
Vsub
0.5
2.5
High
Vsub
High
1.5
Vsub
1.5
1.5
(Unit : Voltage)
Vref =1.5V
Vin
Bit 0
-Vref
X2
X2
1
-Vref
X2
0
3V
Vref=1.5V
Prediction results
Vref=1.5V
Bit
0V
3V
Vref=1.5V
0V
Stage-2
Vin
Bit
Stage-3
Vin
Bit
Stage-1
Vin
0V
3V
3. Results
3.1.
Vout
Bit 1
Digital Bit 0
out
Low
3V
0V
MUX
1.5
Comp
Vref
- 524 -
ICEIC 2015
3.2.
Simulation results
4. Conclusions
The proposed A/D converter doesnt have the digital
part. So, stages to determine the digital bits dont have
influence on delay or standby status at the clock. Once
the input signal enters at a time, all part operates and
emits digital signal. In spite of increasing in resolution,
the size and power dissipation of the total system could
be the minimal in the stage(s) having the same structure.
Then the clock-less 8-bit pipeline-like A/D converter
has merits to emit digital code.
Acknowledgments
Fig. 5. Simulation result of the single-stage
Also, the results are similar to the prediction results, as
shown Fig. 4. The Vin is the ramp signal and the Vref is
DC signal. So, the comparator outputs the digital signal
to compare both signals, the selection signal of the
MUX and the digital bit, and determines 1-bit of the
MSB. Then, the MUX outputs one of the Vin and Vsub
through the subtracter. Finally output of the
MUX(=Vout) passes the next single-stage. The results
show output voltage of the comparator about the Vref
and that of the Vout, while the ramp signal puts from 0V
to 3V in the single-stage. Therefore, as above Fig. 5, if
the single-stage or several of stages determine the MSB
or other bits, the proposed A/D converter is possible
rapidly.
Fig. 6 is shown simulation result of the proposed
A/D converter. These waveform shows output voltages
of the each comparator about Vref that determine 8bits,
while the ramp signal puts from 0V to 3v in the singlestage.
References
[1] Mitsuhito Mase, Shoji Kawahito, A Wide Dynamic
Range CMOS Image Sensor With Multiple Exposure-Time
Signal Outputs and 12-bit Column-Parallel Cyclic A/D
Converters, IEEE Journal Of Solid-State Circuits, Vol. 40,
No. 12, pp. 2787-2795, December 2005.
[2] S. Sheikhaei, S. Mirabbasi, and A. Ivanov, A 0.35um
CMOS Comparator Circuit for High-Speed ADC
Applications, IEEE International Symposium on Circuits
and Systems, ISCAS, pp. 6134-6137, May 2005.
[3] Richard E. Vallee and Ezz I. El-Masry, A Very highFrequency CMOS Complementary Folded Cascode
Amplifier, IEEE Journal of Solid-State Circuits, Vol. 29,
No, 2, pp. 130-133, Feb 1994.
- 525 -
P2-25
Abstract
This paper shows a real time human detection and
distance measurement system using impulse radioultrawide band (IR-UWB) radar. First, the IR-UWB
signal is applied for clutter reduction to reduce
unwanted signals. After that, the constant false alarm
rate (CFAR) is used for detection of objects. Finally,
the object distance is computed based on time of
arrival (TOA). In order to evaluate the performance of
proposed method, experiments are made with real IRUWB test equipment. The experimental results validate
that the implemented IR-UWB tracking system finds the
moving human with tolerable ranging errors of 30cm
in 6m distance. 1
Keywords: IR-UWB, clutter reduction, and human
detection.
- 526 -
Raw data
Clutter
reduction
Detection
(a)
(b)
Fig. 1. Human detection and tracking system using
IR-UWB, (a) signal processing steps, (b) captured
detection result.
10000
10000
8000
8000
Distance (mm)
Distance (mm)
ICEIC 2015
6000
4000
2000
6000
4000
2000
0
0
50
100
150
200
250
300
10
20
30
40
50
60
70
80
Number of Frame
Time
(a)
(b)
Fig. 3. Measured distance of human on indoor hallway, (a) case for a target rocking back and forth while standing,
(b) case for a target moving and turning to the reverse way (at the speed of 0.25 m/s).
object distance is computed by multiplying the time of
arrival (TOA) by the speed of light (i.e. d c TOA,
where d is target distance and c 3108 m/s is the
speed of light). Fig. 2 depicts the experimental
environments for the evaluation of human detection
and tracking system.
RMSE
(mm)
57
108
206
335
619
Distance
(m)
2
4
6
8
10
RMSE
(mm)
102
165
309
440
690
References
[1] R. J. Fontana, Recent system applications of short-pulse
ultra-wideband (UWB) technology, IEEE Transactions on
Microwave Theory and Techniques, vol. 52, pp. 20872104,
2004.
[2] V. H. Nguyen, D. M. Kim, G.-R. Kwon, J.-Y. Pyun,
Clutter Reduction on Impulse Radio Ultra Wideband Radar
Signal, Proceedings of International Technical Conference
on Circuit/Systems Computers and Communications (ITCCSCC), pp. 1091-1094, 2013.
[3] J. D. Taylor and D. T. Wisland, Novelda Nanoscale
Impulse Radar, Ultrawideband Radar: Applications and
Design, 1st ed., Taylor, J.D., Eds, CRC Press, pp. 373388,
2012.
[4] D. Kocur, M. Svecov, J. Rovkov, Through-the-wall
localization of a moving target by two independent ultra
wideband (UWB) radar systems, Sensors, vol. 13, pp.
1196911997, 2013.
- 527 -
P2-26
Abstract
1. Introduction
The encoder is the key part of the industrial control
systems. It allows the controller to have more precise
control by getting information including position,
pressure, velocity, and so on. Therefore, the efficient
selection of an encoder can lead to better results in the
industrial control areas. Incremental encoders which
generate a square wave are widely used in controllers,
and many Micro Processing Units (MPU) already have
the interface embedded. However, more precise
encoder that generate information linearly using SSI
based on magnetostriction are hard to control by MPU
because of the overhead [1-3]. Therefore, we propose a
dedicated encoder interface System-on-a-chip (SoC)
that is responsible for the processing of incremental
encoders and SSI encoders. The proposed encoder SoC,
which was implemented in FPGA, has 4 channels for
each encoder interface, and is compatible with
compact-PCI that has been used by many in the field of
industrial systems. In addition, the multiple encoder
SoC supports the SPI protocol [4], so the MPU which
does not support the compact-PCI can also be used.
(a)
(b)
(c)
(d)
Figure
1:
(a) incremental encoder, (b)
magnetostrictive encoder based on SSI, (c) output
signal of incremental encoder, (d) output signal of
magnetostrictive encoder
- 528 -
ICEIC 2015
Moreover, in the case of multi-axis control system,
there is a need to handle more than one encoder
interface. In this case, the MPU cannot process other
work, because the interrupt is executed too often. The
multiple encoder interface SoC has specifications
including four channels for each encoder, 24/25bit
selection, Gray/Binary selection, a Compact-PCI
interface, and the SPI interface, and was implemented
on Xilinx XC3s200A FPGA. Figure 2 (a) depicts the
overall block diagram of the multiple encoder interface
SoC, while the internal structure of the encoder
interface SoC is shown in Figure 2 (b).
(a)
(a)
(b)
Figure 4: (a) two-axis test bench with SSI encoder,
(b) output signal of SSI encoder at 50 kHz
4. Conclusions
(b)
Figure 2: (a) overall block diagram, (b) internal
structure of the encoder interface SoC
3. Experimental Results
Experiments were carried out in conjunction with
Freescale's MPC5554, as shown in Figure 3.
References
- 529 -
P2-27
Abstract
1. Introduction
Today, for programmers to obtain significant
improvements in response time, they need to rewrite
their programs to take advantage of multiple processors.
Moreover, to get the historic benefit of running faster
on new microprocessors, programmers will have to
continue to improve performance of their code as the
number of cores increases. There are many parallel
programming APIs and OpenMP is one example of a
parallel programming API which can be used in an
easy way [1-3]. A robot platform can also be a
multiprocessor architecture. ROS is a robot operating
system which can manipulate many robots, and it
contains mechanical manipulating algorithms, which
allow the manipulation of many types of robots. One of
the robots that can be manipulated by ROS is the PR2.
The processing unit of the PR2 is composed of eight
cores. Therefore, it can be inferred that parallelizing
the software of a robot platform including PR2 allows
it to definitely take advantage of the platform hardware.
In this paper, we modified packages involved in PR2
arm manipulation. In addition, we discuss the
improvement of performance of the robot arm
manipulation by using OpenMP.
3. Experimental Results
Experiments were performed on a system with a
2.7GHz Intel Core-i5 quad core processor and 4GB of
physical memory with Ubuntu 12.04. The ROS version
Fuerte Tutle was used for this experiment. Simulation
was executed in the Gazebo simulator. In the gazebo
simulator, using Python instructions, robots including
PR2 can be manipulated automatically and in userintended behavior performed. For the simulation, 6
instructions caused movements by setting the arms
- 530 -
ICEIC 2015
destination in Cartesian coordinates. To measure time
easily, instructions which log elapsed time information
were added.
Each instruction was carried out 14 times in a
sequential algorithm and two parallel algorithms. In the
Parallel 1 program only move-arm is parallelized, in
Parallel 2 the move-arm and pr2-arm-kinematics are
parallelized. For each algorithm, the instructions ware
fetched in an identical order because arm positions in
different Cartesian coordinates can result in different
manipulation times. Figure 1 shows the simulation
results. Parallel 1 indicates the move-arm parallelized
program and Parallel 2 indicates the move-arm and
pr2-arm-kinematics parallelized program.
Right
Left
Elapsed (sec)
Improvement
up
1.768
3.525%
down
1.536
7.531%
right
0.478
0.015%
left
0.401
18.429%
away
0.603
8.029%
toward
0.693
-3.412%
0.219%
up
1.822
down
1.594
3.126%
right
0.446
-3.429%
3.336%
left
0.391
away
0.619
4.434%
toward
0.665
-1.938%
11.014
3.337%
Total
4. Conclusions
Right
Left
Total
Elapsed (sec)
Improvement
up
1.820
0.703%
down
1.541
7.786%
right
0.450
5.860%
left
0.477
3.442%
away
0.592
10.543%
toward
0.670
0.052%
up
1.817
0.514%
down
1.626
1.224%
right
0.399
7.304%
left
0.413
-2.045%
away
0.663
-2.412%
toward
0.660
-1.117%
11.126
2.440%
Acknowledgement
This research was supported by Basic Science
Research Program through NRF of Korea, funded by
MOE(NRF-2010-0020210)
References
The
experimental
results
show
different
improvement ratios for each instruction, and because of
the sensitivity of movements to the system status, an
instruction implemented in an identical order may have
different results. At first, when the move-arm package
- 531 -
P2-28
Abstract
1. Introduction
Industrial motion systems have been used in
electronic motors due to their convenience and ease of
control. Many studies have recently been carried out to
analyze electronic motors and develop many
controllers [1-3]. Although electronic motor systems
have been widely used in many industrial communities,
hydraulic systems are used in other systems that require
more force. Hydraulic actuated systems are currently
used in a wide range of industrial applications, and they
continue to be popular and relatively inexpensive
power sources. These systems provide similar
performance to that of electric motors, including high
durability, the ability to produce large forces and
relatively quick response times [4], as well as the
benefit of lowering costs. The press machines of
hydraulic systems make frames or metal products. In
this case, they require a synchronization controller for
systems that have less than 16 axes. However, large
sizes ships frames and cars frames usually require
synchronization controllers that have more than 100
axes.
Therefore, we introduce the synchronized multi-axis
hydraulic controller based on EtherCAT and explain
each detailed part.
- 532 -
ICEIC 2015
comparison between the state-of-the-art hydraulic
controller and our proposed controller.
Sampling Time
Control Axis
Interface
Network speed
Master CPU speed
Slave CPU speed
MAC-8 [5]
1000us
2~32
Proposed
500us
2~256
Profibus DP,
CAN
EtherCAT
12Mbps
860Mhz
40Mhz
100Mbps
1260Mhz
132Mhz
3. Conclusions
We proposed a hydraulic controller based on
EtherCAT, which consists of EtherNET-based protocol
and fastest speed through industrial areas. Our
proposed controller can control more axes compared to
the conventional controller.
Acknowledgement
This work was supported by Fastech under Project
Number S-2014-1020-000
References
[1] R. Kelly, and J. Moreno, Learning PID Structures in an
Introductory Course of Automatic Control, IEEE Trans. on
Education, Vol. 44, No. 4, 2001
[2] A. Rubaai, Marcel J. Castro-Sitiriche, and Abdul R. Ofoli,
DSP-Based Laboratory Implementation of Hybrid FuzzyPID Controller Using Genetic Optimization for HighPerformance Motor Drives, IEEE Trans. on Industry
applications, Vol. 44, No. 6,
[3] W.J Wang; J.Y Chen, Compositive Adaptive Position
Control of Induction Motors Based on Passivity Theory,
IEEE Trans. on Energy Conservation, Vol., Issue 2, 2001
[4] R. Dorf and R. Bishop, Compositive Adaptive Position
Control of Induction, Modern Control Systems, AddisonWesley, 1995.
[5] Bosch Rexroth, VT-MAC8, http://boschrexroth.com
- 533 -
P2-29
!
"
## $ %#
&
#
%# $ '
#
!
#
(' ##
$
#
$ ##
! ' #
#
!" #
!
$&
%' &
( )
+ " !# *
! "
!
-,./#
0!
%"
-12/
"%
%!
!
% ! ! %
#
%
"
!
"
"#3+
"!%4 ' %!
%#
!%4'%
%'!!'#
'
!
&
! %
% "
#5'
%
"
"
% #
"!%
" #&
%$
& 87 9: 5
(%4
) ;' %' %%
4!879:
%'
< ,#
4 !
& '
! %
90 (9
0) %% # 6
*=(*"=)
<,
9,,> %
! ! &
%
#6
%"(0
<,)
%
*=
&!
6%#
- 534 -
ICEIC 2015
<# , $&
"
9,,>"()#
3 %'
?
'#6
!%
'
C
! &' %
!? "
! "%#
% !
+
!
' "
!'
"
&'#
"&
' % !
! ! '
# <
" "
% !
B '
%
!#
)
(
4 %'
" 9'
'#
$
-,/ D # 9 E $!!
$F $$$#
"#..#2#
,,@2,G>8#
-@/ 9
# *
9 # *'
6#
DE$!!0 F
$$$#
"#.2#8#,21H,22,
,G>>#
-./
6#9#E !!I"!
F $
$"#.#.#,@.,.7,GG1#
-1/ # 5# 3 * # 9# 0# # #
J
!60J $$$
##
#"#
..#8,G>8
-2/ # <# "' #6# 0 E
F $$$##
#
,8 G8,7.
*,G8G#
- 535 -
P2-30
! !
!
!
! # "
$&'(()%
*
!
+ !
!
!
, * &'(()
" +&,
! (--
"
*&,.&,
) *(/
!
*
!!
!)
!
*
!
!
! "
!)
!
!
"0,&'1234
"
!"#"!!"
!" "
$%
&" #
% %# "
#
!$
%$&'
(!
" # "!"(!,!!
" " ,( 5-6 !("! %7% # " *
" # ! # !'"
"
"
! ",! " # " *
"! ,,
" ! ' # !'" (" ! " ,!
" !'!("""!"
! ,( 5-6! !'' ' " ,(
!!,"! ""283*
$
"#" ! ! ' " !" " "#
! ! ( " ) ' '!! ' !! "
!"*""! "!!!!(
", "
'''+!!"! !*
- .,
' + ! !
" +
! " ( )
"" '' !
!'" !* $ % ' !" "
. ,( ," " ) /0 ! !
" , # '' 213* "! ,,
"
#" " # ! !!" " "
''"%*
$
- 1!(!") '%'
" * $ !" % % # "
+ ,
#! "! ' %* " ! !
"45/, " ! #0 "*213
%$)$
!
- 8!(!" "" ' " #"
" # * - " ," !,, !! ' 9+
1:+
" " # "! " "," #" '
9:+/
+<"- ;0(""!" ,
," *"+#"!=:+
"#"
# ' -<" ! ! "( :+ " =:+*
#" " # !'' ! ' " ,(
!,""#!" !!!("
"!" ,,#">!"' "(!, "
- 536 -
ICEIC 2015
' 8 * " " " " ! '
+<""""'8
8!""*
"! ! " , !
" -<" #" !
=:+!"':+"+"#"! !
9:+!"'1:+*"!!
""'!
# " ! :+ " # " ! !
!'' ! ' " +& #" ' =:+ #
!# * # "! , !
(
! ",!
+<#!'"*
%$,
-$
*$
$
%$+$
- ;!(!" "" '#!'"
!*!#!'" !"! ",!
" * ?" "! ! " ,!
" " #" '
! 1 ! " ! #" ' - "
!1''">!"' "8*
#" # !'"
" # " !
,)7/8 80*
(#
! " " ' " ! "
,! " '((51 58
>!" "
"" # ,( !!," !
) *6@"/10 , !"!"#
"("! ",! "
/10
?" " ," " $A A5B " !
!( - ;
" ! " ,! ! " "
' ? ,! ! !( - =* ?
51!
B<"!!""","#"
'
+<" ! 9:+* C !" # " !
'( " # ! ,( 5-6 1*
","#"'-<"! +,# /=:+0 ! !(
- 9*?58!
B<"! !"
"","#"'
+<"!:+*$""""
! ,( 5-6 1 ! '' ( ! ,(
5-68!*!, "'( ! #
!'" !!!!.,""#"#*
"," ' ( ! # !'" /+<"0 ! 1:+*
? ( ! ,( 5-6 !
" ","
#"'-<"!:+*
2.1113
%$/*
$0).11
(
"!
! ! (!!,, ""/!" '
%4-" ,0
"
B% !,, " , /A$ 8:1=
:;:1 1= 1::D0
!, #!"A$!,, ""!"
% #," /1::=E::E0
'"!" '
!" 46
4
213 **
** %
**
*
*
* %
F$%!'" ' "#"!"!G
5%%
A# *8:1;
283**
**%
**
**%
**
*
*
% F$ $ % " ! " '
"#"!"H
%6%
8:1=
2;3 $A C:IC
F! $,," & ' "!" ,
% " '
+" &" # %H
8::D -
" % , "*
- 537 -
P2-31
SAR
VDD
VREFP
VREFN
CLKREF
1,2
Clock
Generator SAR
CLKREF
VCMO
VREFN
VREFP
DNR
UPF
PD(F)
DNF
SW
SAR
SW
SAR
SW
SAR
SW
SAR
VREFP
SCN
SRN
SW
SAR
CPOUTN
UPR
PD(R)
CPRST
VCMO
8C
4C
2C
8C
4C
2C
cop
1,2
SAR
Logic
Dout
<7:0>
CPOUTP
R = Rising phase
F = Falling phase
RST = Reset
DN
VCMO
TDCIN
MUX
1. Introduction
UP
CLKEXT
DN
UP
VREFP
VREFN
VCMO
SW
SAR
SW
SAR
SW
SAR
SW
SAR
SW
SAR
SRN
SCN
VREFN
VREFP
SAR
VREFN
TDCIN
UP
DN
CPOUTN
CPOUTP
CPOUTP
CPOUTN
VCMO
CPOUT
CPRST
(a)
2. Architecture.
TDCIN
CPOUT
CPRST
SAR
DOUT[7:0]
DOUT(n-1)
DOUT(n)
(b)
Fig. 2. Timing diagram of (a) PD+CP, (b) SAR ADC
- 538 -
ICEIC 2015
Comp
CAP Array
Charge Pump
SAR
Logic
Serial Data
Logic
Clock Generator
0.66
SNR=50.9dB
SNDR=50.5dB
DR=50.9dB
SFDR=62.0dB
THD=60.9dB
ENOB=8bit
-10
0.65
-20
0.64
-30
Magnitude [dB]
Phase
Detector
3. Circuit Description
0.63
0.62
0.61
-40
-50
0.6
-60
0.59
-70
-80
0.58
0.57
-90
1.6
1.7
1.8
1.9
2
2.1
2.2
2.3
Time [measurement from 50ps interval]
2.4
0.05
0.1
0.15
0.2
0.25
0.3
Frequency [MHz]
0.35
0.4
0.45
0.5
(a)
(b)
Fig. 5. Simulation results (a) Transfer curve of the
PD+CP, (b) FFT spectrum of the SAR ADC
Table 1. Design summary of the proposed TDC.
Process
Resolution
Sampling rate
Clock frequency
Power consumption
Size
0.18m CMOS
30.5175ps
1MS/s
128 MHz
1.63mW (@1.8V)
0.28mm2
4. Simulation Results
5. Acknowledgment
VDD
<CMFB CIRCUIT>
CMFB
VCMO
BS<3>
outp outn
BS<3>
BS<3>
VCMO
References
[1] Y. Arai, T. Baba, A CMOS time to digital converter
VLSI for high energy physics, IEEE VLSI, pp. 121-122,
Aug. 1988.
[2] P. Dudek, et al, A High-Resolution CMOS Time-toDigital Converter Utilizing a Vernier Delay Line, IEEE
JSSC, vol. 35, No. 2, pp. 240-247, Feb. 2000.
BS<2>
[3] M. Lee, A. A. Abidi, A 9b, 1.25ps Resolution CoarseFine Time-to-Digital Converter in 90nm CMOS that
Amplifies a Time Residue, IEEE JSSC, vol. 43, No. 4, pp.
767-777, Apr. 2008.
CMFB
VINNB
CPRST
UP
outp
DN
outn
CPOUTP
VINN
CPRST
VINPB
VCMO
BS<3>
outp outn
VCMO
CPOUTN
DNB
UPB
VINP
BS<1>
CMFB
BS<0>
[4] Z. Xu, S. Lee, M. Miyahara, A. Matsuzawa, A 0.84psLSB 2.47mW Time-to-Digital Converter using Charge Pump
and SAR-ADC, IEEE CICC, pp. 1-4, Sep. 2013.
- 539 -
P2-32
!
#
"
"
$
)
(
'
(
(
&%*++
( -, -
,
(
.
/
)
.#
( &0& &/
0(
/
&))
(
)
,
.
. &0&
/ 1
( '
#
( '
*32 /
.
(
7
65 4 #8
## )
#)
35 9 ,(
/ .
(
-,)
(
"!"#
!
"!" $" ! "&&% '
&(& ('
''' ' &'' #
)
&) ' ) "!" *
'' ) ' ) '''#
+' ' & )' '
& * & ) ''
"!" ' ' &
' ' &'' '& '
(& &')'( '',
-#
. ' && ) & '
"/00
$ )
'%&)
112"!" ' &'#
$
&%
. '
112 "!" ' & (
) &():
11;1;
'&(4:
; ' &'#:
36! & )
)"!" '')
11
& ''&' )
'&(#
- 540 -
9
9>3=;<11
4"@A@00!3:1?
9>3=;<
111
11"
C1B0
1!
"14
11
A20DB/1?;
ICEIC 2015
''))"!"''
/B<F& &B1
:'' )?#='')
& & C <F<
: D1 G ) (#
B ' ) &
))"!"#
'&'
&' ( )
& 'F&.:'#
/.##
- 541 -
ICEIC 2015
+, (#
0. 23%
+, #
& & )
'7& &B1:<
=1!" )7 '&(#D
- 542 -
ICEIC 2015
8(
' * ' & '&& J4
& ) !6
.@<.
# ,9111
1
"&&
&()''-
.
7%(#
-(
23%
' '' )
' )
&''#"!"& '
/B1 : ) '& 51 : )
'& $/B1 I 51% : ) '* ?1
!" ) ( '7 ' '
#
%" ((23%
'
'&
;
'*
:
,
- # "# : # K &
&&)4:/4: (''' ''L
9.
( /
&
# 9& &&# H/0
9 C #
1
?#
,- !./ 43/
5 K! *
)@ @7& L4& )4) '
4#
DD
#
,0-
"/00 K )
@ @7& L
' #1
#
,?-#')#
E&&
9<
/B1:
9<
51:
?1!"
9<
51:
/B1:
=:'$''%
51:
/B1:
?1!"
=!"
=:'$''%
1 ((
& ' '
' '
"/00 ' &
) )'& ) "!" '
&'#
' && &' ' &
&& ) ) ) "!"# ' '
) ) "!" ' '
/B<
D1 G ) ( F &
& B1 :# ' )
&''# 9 & ' )
4E
' /B1 :)'& 51
: ) '& $/B1 I 51% : )
'* ?1!") ( '7 #
- 543 -
P2-33
$
% #"!
&
&'&
(%
& $ * &
&
& &
&)
&
) '
&
&
%
*&
)'
&
&
*
&
&
&%
&
& + (
'
'
) &
& &%
&
& +
*
*
&
& ) ,
% %
&* & *
'
& - '
&
&
&*
& *'
%
%
&% &
' &'
&
%
& .
'
*
& % &
& &% '
%
&
&
& ) %
'
& %
&
& (
&
&
/ &
%
&
&
* ) ,
%
* / %
' % ) (
&
*
%(
) &&
& + &
'
&% ' )
+ & &&
& *
(
*
&*
'
'
'&
"!#
# '
&
$ &('&
$ *
') "&
$!
5 ),*
$
& ) 2762
6)8 : ,89
&
*
'& $
4' ) #
5+
&&& 4 ;
< ; =)#! &$
&&&
4 ) # +
4& ' ) #
- 544 -
ICEIC 2015
# & $* ' ' &
(( $ '
+
& +
& $
) # 5 2
* ' +
') #
''&&$
4 (
'
& '& $
'&&
) #
*&
&
' CCB) #
D8B&'&* 4 )
(!
5 ,)#5
@
!
5 1*' ' '$)
#'' &&
' ($)#'&
'
( '' '
)
# +
&
$*
' ' & ( ( $
+
55#<55
#=)
# /&&4 +
&& +
) # ''&
&
$&
&
; ( ) #
* D8B &
*
& )#+
& '*
$& & & )# $
* $ '(&''
)
-.0+)*,-/
5 2)#' ''
# *
*&$
'' "#
GE!'?A#"F"#'H),6633C73
'H I !(' %
"
! J $
''
; (
'H ) 16,26311,613
'H I
5 !
$ 5 J & $
'' K
) & 'H )
16,367,D1662 'H I!
( '& J)
-,.L"
! ( I9
#
&$
JL
,CCD
-1.?)?
I &
( $9
"
! J 3,
" "
# '')
,72%,7>A$166D)
-2. M"L; ) & I5 $ A' ?
5J'$1667)
-3. -K ) ? I# ! & (
9 9 J $
?N
@
*% 166,)
- 545 -
ICEIC 2015
Poster Session
PS-3
Computer and Information, Emerging
Technologies, Signal Processing
P3-01
2. PCIeLink System
A.
1. Introduction
PCI Express (PCIe) protocol is widely used in
computer I/O interface since PCIe specification 1.0a
was announced in 2005. After that PCIe 2.0 and PCIe
3.0 is announced in 2007 and 2011 each. The PCIe 1.0
is 2.5GT/s bit rate and PCIe 2.0 is 5 GT/s which is
doubles the transfer rate compared with PCIe 1.0. The
PCIe 3.0 is speed up to 8 GT/s and it can support
double times bandwidth compared with PCIe 2.0 by
using 20 percent performance improved encoding
scheme[1],[2].
The PCIe protocol is used a low-voltage differential
signaling (LVDS) which is embedded clock signal and
lane x1, x2, x4, x8, x16 can be adjusted freely by
supporting a multi-lane in x32 bandwidth. The
maximum bandwidth is 8GB/s, 16GB/s and 32GB/s in
PCIe 1.0, 2.0 and 3.0, respectively. They are high
speed serial signal and electrical specifications for the
transceiver buffer, the transmission line and system
interconnections are defined in PCIe specification. The
- 547 -
ICEIC 2015
This paper deals with host interface signal integrity
analysis which is the most important interface in the
system.
B. PCIeLink system configuration
Specifications
Company
PEX8725
PLX
Supermicro
Mellanox
TABLE II
PCIELINK SYSTEM CHANNEL PARAMETERS
Model
PEX8725
IC
Pkg
Add-in card
Riser card
Mainboard
Intel
Xeon
E5-2670
- 548 -
Paramet
er
TXDRV_Model
RXRCV_Model
Pkg_pex
HSPICE
model
S-parameter
Tx0~Tx
7
CON
TL
CON
Via
topentry_62mil
S-parameter
pcie_conn
Via_model
TL
S-parameter
12 inch
Via
PKG
Via_model
Pdg_pxp3_rev1p0
RXRCV_Model
TXDRV_Model
HSPICE
model
IC
2 inch
ICEIC 2015
C. PCIeLink channel signal integrity analysis
We perform channel simulation and get eye diagram
to analyze signal integrity by using these parameters.
The Ansys Nexxim transient analysis tool is used for
performing channel simulation [8].
Table III and figure 4 show signal integrity
simulation results. The simulation is measured eye
diagram parameters as eye height (H), eye width (W),
jitter, eye margin, the and jitter margin at receiver
buffer by changing level of de-emphasis and preshoot
in transmitter buffer.
TABLE III
RESULT OF SIGNAL INTEGRITY ANALYSIS
De-emphasis(dB)
Preshoot(dB)
Case1
Case2
Case3
Case4
Case5
-6
-4.5
-6
-3.5
3.5
3.5
H(mV)
85.7
52.9
69.7
19.8
W(ps)
89.5
65.3
88.3
37.5
38
63
39.3
93
Eye Margin(mV)
26.3
10.4
17.9
Jitter Margin(ps)
24.1
12
22.8
Jitter(ps)
- 549 -
ICEIC 2015
transmitter buffer set -6dB de-emphasis and 0dB
preshoot level.
4. Conclusion
This paper deal with high speed signal integrity
analysis in PCIe Gen3 system. We describe the
procedure how to extract channel topology from target
system and how to get parameter to signal integrity
simulation especially. Then optimum length of the
channel and transmitter buffer setup has been described
through eye-diagram simulation. We calibrate the
transmitter buffer level of de-emphasis and preshoot
parameters then can find best combination from eyediagram simulation at transmitter buffer. In this paper
we only deal with host interface signal integrity
analysis but we will perform QSFP + interface and
loopback interface in next study.
Acknowledgment
This work was supported by the ICT R&D program of
MSIP/IITP. [2014044075002, Low-power and Highdensity Micro Server System Development for Cloud
Infrastructure]
References
[1]
[2]
[3]
[4]
[5]
[6]
[7]
[8]
- 550 -
P3-02
Abstract
Recently, demand for water leisure sports gradually
increases according to the change of given social
circumstance and the change of customer needs due to
the increase of free time and the increase of the
national income all over the world.. Therefore, in this
paper, we designed a kayak for water leisure by using
the collaborative filtering technique in order to make it
possible to actively cope with the change of increasing
customer needs for various hull designs. In the
collaborative filtering technique, Pearson correlation
coefficient was used in order to calculate user's
similarity weight. Besides, an analysis was made
according to the elements such as hull, body, and
propulsion system of kayak in order to select emotional
words according to the kayak design reflecting user's
preference. Besides, a kayak model in the aspect of
customer preference was presented through factor
analysis.
1. Introduction
- 551 -
ICEIC 2015
(a) case 1
(b) case 2
Fig. 4. Recommendation system for kayak design
G
Fig. 3. Recommended design selection through
collaborative filtering
- 552 -
ICEIC 2015
u ,d
M =
i =0
Pu ,d
(1)
4. Conclusion
In this paper, a kayak for the water leisure was
designed using collaborative filtering in order to satisfy
changing demands of kayakers for the kayak design. A
kayak design recommender system was proposed for
the design of a kayak based on the preferences of users.
For the evaluation of the performance of the proposed
system, various recommendation methods were
comparatively analyzed through MAE in terms of the
accuracy, which showed that the prediction accuracy of
the proposed case 3 was the highest in the entire
sections. The system proposed in this study can
recommend the design according to the analysis of
various emotions of users, and subsequently provide an
optimal design prediction. Therefore, it is considered
that this system can be of extended use for the
development of differentiated IT convergence products
not only in the area of various equipment for the water
leisure but also in many of other areas.
Acknowledgment
This study is supported by the grant from the
National Sports Promotional Funds of the National
Sports Promotion Foundation on the basis of the
project Development of Multi-Functional Small
Portable Boats and IT Convergence Life Jackets Based
on Sport Science by the Ministry of Culture, Sports
and Tourism in 2013.
References
- 553 -
P3-03
A Performance Study of
Asymmetric Octa-Core Digital Signal Processor Architectures
Jongbok Lee
Dept. of Information & Communications Engineering,
Hansung University, jblee@hansung.ac.kr
Abstract
In order to effectively exploit the multi-core
processor architecture, asymmetric type is known to
bring better performance than the symmetric one. This
paper compares the performance of the two octa-core
digital signal processors of which one is asymmetric
and the other symmetric. As the experimental result,
the asymmetric octa-core digital signal processor
achieves higher performance than the symmetric one.
1. Introduction
Since the programmable digital signal processing
system has become very important recently, its
performance can be increased by adopting multi-core
processor
architecture. Asymmetric multi-core
processors are known to have higher performance and
better efficiency then the symmetric ones.
In this paper, the performance of an asymmetric octacore digital signal processor has been studied and
compared with the symmetric one by developing the
trace-driven multi-core digital signal simulator using
UTDSP digital signal processor benchmark programs
as input [1].
- 554 -
ICEIC 2015
the window can be retired so long as the corresponding
functional unit is available and its timestamp is less
than or equal to the current cycles timestamp. For the
multi-core simulation, Grouping function fills an
instruction into n-cores, and Issue function deletes
instructions according to their timestamps. This process
is repeated until all the fetched instructions are deleted
so that all the instruction windows are empty. Then, the
cores are filled again with instructions by Grouping
function. Since the cycle is incremented for each
process, the core which spends the longest cycles
determines the global cycle. If the total number of
executed instruction is divided by the number of the
global cycles spent, the performance can be obtained.
Initialize
Grouping(1)
Grouping(N)
Create_Window(1)
Fetch_One_Instr(1)
Get_Node(1)
Create_Window(N)
Fetch_One_Instr(N)
Get_Node(N)
Rename(1)
Rename(N)
Insert(1)
Insert(N)
Issue(1)
4. Simulation Results
Figure 3 presents the simulation results of running nine
UTDSP programs on the two octa-core digital signal
processors. The asymmetric octa-core digital signal
processor consists of an out-of-order core and seven
RISC cores. On the contrary, the symmetric octa-core
digital signal processor has eight identical cores which
can process only one thread per each core. For the fair
comparison, they are selected to have a similar
hardware budget. As the result, the geometric mean of
the symmetric octa-core digital signal processor results
in 2.5 IPC, where as that of the asymmetric one brings
3.6 IPC. It can be seen that the asymmetric architecture
achieves 1.4 times higher performance than the
symmetric architecture.
Issue(N)
Mem_Process(1)
Mark_Node(1)
Mem_Process(N)
Mark_Node(N)
Delete_Node(1)
Delete_Node(N)
Analysis
3. Simulation Environments
The UTDSP digital signal processor benchmark
programs used for the experiment are compress, edge
detect, FFT, FIR, histogram, IIR, lpc, multiplication,
and spectral estimation. These are used as input for the
multi-core digital signal processor. SimpleScalar is
utilized for generating 100 million instruction traces,
and the thread-level parallelism is mapped onto each
core [2].
The number of simulated DSP cores are eight. For
the complex core, each thread consists of eight
instructions in maximum and up to three threads can be
fetched, decoded, executed, and written back per cycle.
Whereas for the RISC-style, only one instruction is
processed per cycle. The L1 instruction cache and L1
data cache is 64 KB, and it is designed as 2-way set
associative. Tasks are predicted using the Two-level
Adaptive Task Prediction scheme, and the task address
cache has the size of 2048 entries.
5. Conclusions
In this paper, the performance of an asymmetric octacore digital signal processor has been studied by
developing a trace-driven multi-core digital signal
processor simulator with UTDSP program. The
asymmetric architecture shows better performance over
the symmetric one. For the future research, we will
study on the asymmetric multi-core digital signal
processor architectures with a various number and the
different types of core.
References
[1]http://www.eecg.toronto.edu/~corinna/DSP/infrastructure/
UTDSP.html
- 555 -
P3-04
Abstract
1. Introduction
- 556 -
ICEIC 2015
4. Video identification
5. Performance evaluation
The format identification experiments were
concentrated on three video formats: AVI, MP4 and
RMVB. The samples used for classifying were
randomly selected from 150 video files and 50 nonvideo files such as EXE, PDF and ZIP without header
or footer. The samples used for identifying were
randomly selected from other 100 video files and 30
non-video files. The Table 1 shows the precisions of the
format identification results. The Table 2 shows the
probabilities of fragment recovery of AVI and RMVB
with multiple fragments. The precisions of video
identification are shown in Table 3 with different size of
fragment. The total duration of this experimental videos
is about 20 hours and the size of the video features is
about 50MB.
Length
512KB
256KB
128KB
AVI
98.75%
97.5%
96.25%
MP4
95.24%
92.86%
90.48%
RMVB
90.24%
87.8%
76.83%
Non-video
96.83%
96.04%
96%
1
90%
78%
2
92.5%
82%
3
94%
89%
4MB
64%
5MB
69%
6MB
80%
7MB
84%
8MB
92%
6. Conclusion
In this paper, we propose an approach of video
fragment identification in practical terms. This approach
is composed of format identification, fragment recovery
and video identification. The experimental results show
the precision of format identification remain above 90%
with the size of 512 KB, those of video identification is
about 90% with the size of 8MB and the probability of
recovery of AVI is about 90%.
7. Acknowledgments
This research project was supported by the Ministry
of Culture, Sports and Tourism (MCST) and the Korea
Copyright Commission in 2014.
References
[1] J. Su, Y. Huang, H. Yeh and V. Tseng, Effective contentbased video retrieval using pattern-indexing and matching
techniques, Expert Systems with Applications, Elsevier Ltd,
pp. 5068-5085, 2010.
[2] S. Fitzgerald, G. Mathews, C. Morris and O. Zhulyn,
Using NLP techniques for file fragment classification,
Digital Investigation, Elsevier Ltd, pp. 44-49, 2012.
[3] V. Zografos, L. Ellisy and R. Mester, Discriminative
Subspace Clustering, Computer Vision and Pattern
Recognition, IEEE, pp. 2107-2114, 2013.
[4] H. Chen, S. Tsai, G. Schroth, D. Chen, R. Grzeszczuk and
B. Girod, Robust Test Detection in Natural Images with
Edge-Enhanced Maximally Stable Extremal Regions, Image
Processing (ICIP), IEEE, pp. 2609-2612, Sept 2011.
- 557 -
P3-05
1. Introduction
Recently, Internet of Thing (IoT) is one of the most
interesting fields. In the IoT world, things around the
environment in which we live exchange actively their
data with others. Thus, they become both a data
provider and a data consumer simultaneously. The two
important functions in the IoT are sensing and lowpower communication. They are included in a sensor
node. A lot of sensor nodes are located at our living
places (e.g., offices) or things (e.g., manufacturer
machinery). In office they collect the environmental
data such as temperature, humidity, etc.; in machine
they collect the number of items produced, operating
speed, etc. However, they can generate wrong data due
to their malfunction. Therefore, it is very important to
detect abnormal data in order to increase the reliability
of a system which uses the sensor nodes.
Outlier detections have been studied extensively in
spatial and temporal methods [1]. Spatial methods
assume that all sensor nodes within a group generate
similar values. The methods determine a value which is
significantly different from others in a group as an
outlier. However, this assumption cannot be applied for
a factory and a building. For example, one sensor
2. Trend Detection
In this section, we introduce a trend detection
method that is used to detect the outliers. The term
- 558 -
ICEIC 2015
trend describes that a data sequence has a specified
direction and a trend is classified as upward,
downward, or no change according to the changing
pattern of the data sequence. An upward trend indicates
that the data sequence is increasing in a specified time
period, whereas a downward trend indicates that it is
decreasing during the time period. No change indicates
that there is little change in the data sequence. We use
the Mann-Kendall test as a trend detector. The MannKendall test [2] is a non-parametric test to detect a
trend in a time series of data that is ordered in time. It
is based on a statistical parameter S. Each value of the
data sequence is compared to others that occur after it
in the data sequence. The statistical parameter S is
defined as
S ( X ) in11 nj i 1 sign( x j xi )
(1)
1, if x j xi 0
sign( x j xi ) 0, if x j xi 0
1, if x j xi 0
(2)
sequence.
To detect outliers, we calculate the correlation value
between the trend pattern which has been generated
today and that which was generated yesterday.
3. Experimental Result
4. Conclusion
This study provides an initial basis for practitioners
to select a suitable outlier detection method based on a
trend extracted from a sequence of sensing data that are
generated in a building or a factory. In this paper, the
outliers whose values change slighted. This kind of
outliers are not detected by previous methods. We
compare our scheme to a conventional method that
uses temporal data change to detect outliers. An
experimental evaluation on real data sets shows that
our approach detects most of the outliers that the
conventional method fails to detect. By detecting the
outliers, we can improve the reliability of a system
using sensing values.
Acknowledgment
This work was supported by the ICT R&D Program of
MSIP [Project No. I0114-14-1016, Development of
Smart Factory Platform for Small and Medium sized
Manufacturing Enterprises] and the Creative Vitamin
Project.
References
- 559 -
P3-06
3. Performance Evaluation
1. Introduction
As the technology of cloud computing is rapidly
improving, VDI (Virtual Desktop Infrastructure)[1-4]
is receiving the great attention from IT markets, due to
its advantages such as software management and
resource utilization. However, one of the shortcomings
of VDI is the communication cost between the shared
storage where operating system images and application
data are stored and the host server.
In this paper, we present a VM cache that has been
combined with a light-weight, real-time monitoring
module. The primary objective of our VM cache is to
determine the effective virtual cache size, based on the
analysis of user I/O access pattern and the
accumulation of application execution history
information. Also, by combining with the real-time
monitoring module, our VM cache can effectively
determine the virtual cache size on the host server.
2. VM Cache Implementation
Fig. 1. Postmark I/O bandwidth
4. Conclusion
- 560 -
ICEIC 2015
In this paper, we present a VM cache, which is
integrated with the real-time monitoring module.
Although VDI has several advantages such as software
management and user data protection, its
communication cost between the shared storage and the
host server can significantly degrade I/O bandwidth on
VM. We tried to alleviate this overhead by adapting
VM cache mechanism. The performance evaluation
shows that the effective VM cache can not only
improve I/O bandwidth but also increase resource
utilization by effectively managing the limited
resources to be allocated to VMs.
Acknowledgment
This work was supported by the Industrial
Convergence Strategic Technology Development
Program, Grants no. 10045299, funded by the Ministry
of Science, ICT and Future Planning, Korea 2013. Also,
this work was supported by the MSIP(Ministry of
Science, ICT & Future Planning), Korea, under
the Establishing IT Research Infrastructure Projects
supervised by the NIPA(National IT Industry
Promotion Agency)(I2221-14-1012).
References
[1] P. Barham, B. Dragovic, K. Fraser, S. Hand, T. Harris, A.
Ho, R. Neugebauer, I. Pratt, A. Warfield, Xen and the art of
virtualization, ACM SIGOPS Operating Systems Review,
Vol. 37, No. 5, pp.164-177, 2003.
[2] G. Wallace, F. Douglis, H. Qian, P. Shilane, S. Smaldone,
M. Chamness, W, Hsu, Characteristics of backup workloads
in production systems, Proc. of 10th USENIX Conference
on File and Storage Technologies (FAST12), San Jose, USA,
2012.
[3] C. Tang, FVD: a High-Performance Virtual Machine
Image Format for Cloud, Proc. of the 2011 USENIX
annual Technical Conference, Berkeley, USA, 2011.
[4] R. Spruit, VDI Smackdown, White paper, v. 1.4, Aug.
2012.
[5] J. Katcher, PostMark: A New File System Benchmark,
Technical
report
3022,
available
at
http://www.netapp.com/technology/level3.
- 561 -
P3-07
Abstract
Managing computer programming assignments and
evaluating submitted programs is an important role for
educators in computer science. This paper introduces
neoESPA, an automatic source code evaluation system
for programming assignments. The neoESPA supports
the overall procedure for evaluating source codes
submitted by students. It also provides the functionality
of detecting code plagiarism among source codes. This
ability contributes a fair scoring for student codes.
Keywords: source code assignment management,
source code plagiarism
1. Introduction
There are lots of important things should be noticed
during teaching a programming course such as
distributing handouts, posting announcements, etc.
Most of these works can be performed using web
technologies, but the most important and time
consuming work is to check programming assignments
and to rate scores to the submitted programs,
meanwhile detecting whether there exist code
plagiarisms among the source codes or not. It could be
a quite hard work to check all the code assignments and
measure each of their run time, not to mention checking
the outputs of code assignments are correct and
detecting code plagiarisms which are more difficult [1].
There are several similar systems [2-3] that can
evaluate a program code as services, one of them
named ESPA. Our purpose is to optimize ESPA to a
more powerful and useful system.
ESPA is an abbreviation of Evaluation System for
Programming Assignment [2]. It is a web service that is
working on evaluating program code and rating score
automatically and also can manage scores of each
student who submitted code files on ESPA and detect
code plagiarisms. The purposes of this paper are to
develop a new version of ESPA with full of functions
which old version of ESPA has, in addition, to build
2. Development Process
Since the ESPA system is running on the Internet,
neoESPA will still support services as a Web service,
Users can access the system through Web browsers
after log-in. And system administrator can manage all
the databases such like students information,
assignment files, and standard output results files. Also
administrators are able to upload handouts, post
announcements, answer questions which asked by
students. The results of plagiarism detection will also
can be checked on Web pages. In addition, neoESPA
provides several tools to help teaching assistors or
professors managing their courses such like calculating
scores for the whole semester at the end of the semester
for each of the students, and sorting their scores to
make it easily to give them composite scores.
- 562 -
ICEIC 2015
Responsive web design (RWD) is a web design
approach responds to the needs of the users and
devices theyre using [5]. RWD is a common technique
in Web site design recently, the neoESPA is also
designed for satisfying multiple platform to access such
as iPad, PC, smart-phone, etc.
3. Comparison
By comparing with ESPA and Web-cat Table 1
illustrated the differences and advantages of neoESPA.
Table 1: Difference among similarly systems
ESPA Web-cat neoESPA
supported languages
4
4
4
plagiarism detection
O
X
O
data storage strategy
file
DB
DB
All of them are functionally similar but the first two
both have some disadvantages such like ESPA does not
use database which is less secure at Web security and
since Web-cat dose not has plagiarism detection, itll
not be very suitable for course management.
4. Conclusion
Overall, the neoESPA will provide a set of
comprehensive services including course management,
code assignments rating & compiling, code plagiarism
detection, semester grades calculation, etc. It will be a
very capable, useful and stable Web service system to
help teaching assistors and professors to manage their
programming classes and help students to write code in
a more standard way.
Acknowledgment
This work was supported by BK21PLUS, Creative
Human Resource Development Program for IT
Convergence.
References
[1] B. Cheang, A. Kurnia, A. Lim, and W. Oon, On
- 563 -
P3-08
1. Introduction
The performance of recent smart phones has
increased as fast as that of desktop PCs to serve
consumers who want to operate highly complex
applications. As proof, many mobile companies have
released new smart phones with state-of-the-art APs
(Application Processor), consisting of a multi-core, a
high performance GPU, and many dedicated IPs.
However, mobile companies still continue to make new
high performance APs and advertise new products
based on these new APs.
Consequently, the consumer believes the new
product is much better than the previous one. However,
even the newest of mobile embedded systems continue
to suffer from battery problems as well as power
consumption problems due to high performance loads
and complex system behavior. To solve this problem,
many architectural engineers have studied DVFS
algorithms and big.LITTLE technology [1].
Nevertheless, finding a balance between performance
and power in limited battery conditions is a very
difficult problem. Therefore, we ask the question,
Why does the common consumer need to use a high
performance system? Some people already use
systems of sufficient performance to support their
normal applications. Indeed, many older men and some
women just use simple applications for making a phone
PeakGflop / s
PeakMemoryBW * flop / byte
(1)
3. Proposed Method
The operation intensity and attainable performance
of the peak and slope are evaluated by a microbenchmark program. In conventional research,
performance is calculated just by using a hardware
manual or using a small benchmark program like as
SPEC. However, we focus on the real-application of
android, frequently used by consumers, and capture the
PMU (Performance Monitoring Unit) register [3] in the
embedded system. In order to select the most
frequently used applications, we assume the following.
A 20 to 30-year-old man prefers Web browsing
application.
- 564 -
ICEIC 2015
A 20 to 30-year-old woman wants to talk friends
using an SNS application and listen to her favorite
music.
A 40 to 60-years-old man prefers to make a phone
call.
4. Experimental Results
We performed an experiment on two, real target
boards with the Android OS. Figure 1 presents the
overall experiment environment. All the results are
averages of the measured data. Never-used applications
and drivers were uninstalled to remove experimental
system variables. Figure 2 shows the roofline of realapplication intensity. System #2s peak performance is
lower than system #1s performance because of the
shortage of cores. MP3 and phone call applications
showed lower performance than the others applications,
which means these applications required lower CPU
utilization and memory space, In this case, a 40 to 60year-old man would be satisfied with using system #2
or a system with lower performance. Interestingly a
WEB application exists between the peak points of
system #1 and system #2; in this case, system #1 would
be suitable to operate a WEB application more
smoothly, so a 20 to 30-year-old man should use
system #1.
Figure 3 shows the average power parameter of each
application between systems. As we expected,
applications that have more operations requires more
power. When a woman uses system #2, they can save
power by about 10%. If consumers or architecture
engineers can understand the most frequently used
applications, then they can save battery power by
purchasing or designing an appropriate product.
5. Conclusions
We proposed a consumer preference based systems
by using the insightful roofline model. We assumed
that some consumers are using over-specified devices
that do not match their-application needs. The most
frequently used real-applications and their power
parameters were evaluated. We verified some
applications already have a sufficiently powerful
environment. Through this method, we hope to provide
architecture engineers with more flexibility in their
design of APs or other IPs for reduction of power
consumption.
Acknowledgement
This research was supported by Basic Science
Research Program through NRF of Korea, funded by
MOE(NRF-2010-0020210)
References
[1] J. Goodacre, The homogeneity of architecture in a
heterogeneous world, International Conference on
Embedded Computer Systems, 2012
[2] S. Williams, A. Waterman, and D. Patterson. Roofline:
an insightful visual performance model for multicore
architectures, Commun. ACM, 52(4):65-76, 2009
[3] A. Gutierrez, R. Dreslinski, T. Wenisch, T. Mudge, A.
Saidi, C. Emmons, and N. Paver. Full-system analysis and
characterization of interactive smartphone applications, In
Proceedings of IISWC'11, 2011.
- 565 -
P3-09
1. Introduction
The string matching engine is an essential device to
analyze data in many application fields such as network
security, computer vision, bioinformatics, etc. In the
string matching engine, if input data is matched with
target patterns, the matching indexes are outputted. To
increase the performance, the hardware-based string
matching engine can be preferred. Especially, due to
the updatability of target patterns, the FPGA-based
string matching can be adopted.
Several previous works were developed with block
memories in the FPGA device [1-2]. However, the
logic elements were not used efficiently. Other
previous works such as [3] were related to the lowlevel string matching architecture, which cannot use the
flexibility of the FPGA device sufficiently.
This paper adopts automatic register transfer level
(RTL) HDL code generation of the string matching
engine. Because the generated HDL code is compiled
by vendors EDA tool, the proposed string matching
can be applied to any FPGA device. In the generated
module, each target pattern is compared with input data,
No
Priority
Construction
Patterns
HDL Code
Generation
Pipelined Cycles
FPGA Compilation
Hardware
Specification
Spec. Met?
Yes
End
- 566 -
ICEIC 2015
encoding table. In order to insert registers among the
long delay path, the option of the number of pipelined
cycles is provided. In the FPGA compilation, the
information of target device and optimization goal is
chosen in the compilation tool. If the compilation
results meet the specification, the configuration data is
obtained; otherwise, the HDL code generation should
be renewed to meet the specification. If the obtained
area is larger than that of one FPGA device, two or
more than two FPGA devices are adopted. In this case,
by dividing a set of target patterns into subsets,
modules for multiple FPGA devices are obtained.
Input Data
Shift Register
Pattern Comparators
Pipelined Registers
Priority Encoder
Matching Index of Longest
Matched Pattern
3. Experimental results
The generated HDL code was compiled by Alteras
Quartus II, where target device was Stratix IV
EP4SGX230KF40C2. In the experiments, four rule sets
from the Snort were adopted. Table 1 shows the
experimental data, where the number between round
brackets is the number of patterns in a rule.
backdoor
(955)
chat
(49)
deleted
(615)
spyware
(2299)
4:55
1:43
4:43
22:40
6%
<1%
4%
12%
8,008
498
5,734
16,300
1,718
360
1,204
3,064
123.03
404.37
177.18
62.24
Acknowledgement
This work was partly supported by the ICT R\&D
program of MSIP/IITP, Republic of Korea. [14-00005-001, Smart Networking Core Technology
Development] and Basic Science Research Program
through the National Research Foundation of Korea
(NRF) funded by the Ministry of Education. (NRF2014R1A1A2A16055699).
References
[1] L. Tan, B. Brotherton, and T. Sherwood, Bit-Split
String-Matching Engines for Intrusion Detection and
Prevention, ACM Trans. Architecture and Code
Optimization, vol. 3, no. 1, pp. 3-34, 2006.
[2] H. Kim, H.-S. Kim, and S. Kang, A Memory-Efficient
Bit-Split Parallel String Matching using Pattern Dividing for
Intrusion Detection Systems, IEEE Trans. Parallel and
Distributed Systems, vol. 22, no. 11, pp.1904- 1911, 2011.
[3] I. Sourdis and D. Pnevmatikatos, Fast, Large-Scale
String Match for a 10Gbps FPGA-based Network Intrusion
Detection system, Field Programmable Logic and
Application, Lecture Notes in Computer Science, vol. 2778,
pp. 880-889, 2003.
- 567 -
P3-10
3DSHU&DOFXODWRUXVLQJ'HSWK,QIRUPDWLRQE\D.LQHFW
-DHKR/LP
6XQJ:RRQJ6KLQ
-RQJ:RQ3DUN
(OHFWURQLFVDQG7HOHFRPPXQLFDWLRQV5HVHDUFK,QVWLWXWH(75,
&KXQJ1DP1DWLRQDO8QLYHUVLW\&18
MKOLP#HWULUHNUVVKLQ#HWULUHNUMZSDUN#FQXDFNU
$EVWUDFW
+HUHLQ ZH GHVFULEHW KHS DSHUF DOFXODWRUXV LQJ
GHSWKLQIRUPDWLRQE\D0LFUR VRIW.LQHFWDQGDNH\SDG
SDWWHUQ SULQWHGS DSHUZLWKR XW LQSXWGHYLFHV7K LV
FDOFXODWRUXVHVWKHFRORULPDJHE\D5 *%VHQVRUDQG
WKHG HSWKP DS E\ DGH SWKV HQVRU7K H FRORUL PDJHL V
SURFHVVHGE\ LPDJH SURFHVVLQJDO JRULWKPVDQ GW KHQ
WKH NH\SDGS DWWHUQLVH[WUD FWHGLQ W KHU HVXOW7K H
FKDQJHRIGHSWKYDOXHVRINH\SDGEXWWRQVSRVLWLRQVFDQ
WHOOXV Z KDWW KHEX WWRQL V SXVKHG 7KH UHVXOWV RI WKLV
UHVHDUFKLQGLFDWHGWKDWWKHSURSRVHGFDOFXODWRUZRUNV
ZHOO,W D OVRV KRZVW KDWR EMHFWVPR WLRQL QDQ LPDJH
FDQLVEDVHGRQWKHFKDQJHRIGHSWKYDOXHVRQDGHSWK
PDS
.H\ZRUGVGHSWKPDS.LQHFWFDOFXODWRUQRQFRQWDFW
LQWHUIDFHLPDJHSURFHVVLQJ
LQ'HSWK6WUHDPQRLVH7KHNH\SDGSDWWHUQSULQWHGRQD
SDSHULVVLPLODUWRLWR ID FRPSXWHUNH\ERDUG7KHVL]H
RIWKHNH\SDGLVZLGWKRIFHQWLPHWHUVE\KHLJKWRI
FHQWLPHWHUVWK HJDS E HWZHHQWKHE XWWRQVR IWK H
NH\SDGLVFHQWLPHWHUV7KH.LQHFWLVORFDWHGDERYH
GHJUHHVDQGWKHGLVWDQFHEHWZHHQWKH.LQHFWDQGWKH
NH\SDGLVFHQWLPHWHUV
,QWURGXFWLRQ
5HFHQWO\QR QFRQWDFW LQWHUIDFHV DUHX VHGWR FR QWURO
D V\VWHP DV SURFHVVLQJL PDJHVY LDD FDPHUD LQP DQ\
VPDUWGH YLFHVFHOOSKRQHVW DEOHWV7 9VR U VRPHWKLQJ
>@%XWLQ W KHVHFDVHV LQWHUIDFHV DUH SRRU DW
UHFRJQLWLRQ UDWHV EHFDXVHWK HUHDU HUHI OHFWLRQ
VFDWWHULQJDQ GLQ WHUIHUHQFHR I OLJKW LQ WKHLU
HQYLURQPHQW7KHU HIRUHLIDG
GLWLRQDOO\ GHSWK
LQIRUPDWLRQLVXVHGWKHSHUIRUPDQFHRILQWHUIDFHVZLOO
EH LPSURYHG> @,Q WKLVSDS HUZH ZLOOLP SOHPHQW WKH
SDSHUFDOFXODWRUDQGFRQILUPWKLVDVVXPSWLRQ
([SHULPHQW6HWWLQJV
0LFURVRIW.LQHFWLVXVHGWRWDNH5*%FR ORULPDJHV
DQGGHSWKLQIRUPDWLRQ>@7KH.LQHFWLV FRQWUROOHGE\
2SHQ1,R SHQVRXUFHG ULYHUG HYHORSHG LQ 3ULPH6HQVH
2SHQ1,L VFRP SDWLEOHZLWK RWKHU GHSWKFDP HUDV$VXV
;WLRQRUVRPHWKLQJDQGPRUHUREXVWWKDQ.LQHFW6'.
)LJXUH7KHEORFNGLDJUDPRISDSHUFDOFXODWRU
V\VWHP
,PDJH3URFHVVLQJ
)LUVW RIDOOZHQ HHG WRLQ LWLDOL]H WKH .LQHFW GHYLFH
XVLQJ2SHQ1,WRJDWKHUVHQVRUGDWD7KHFRORULPDJHLV
WDNHQE\WKH5*%VHQVRUDQGGHSWKYDOXHVDUHJDWKHUHG
E\ WKHG HSWKV HQVRU7 KHQW HPSODWHP DWFKLQJL V
SHUIRUPHGWRU HGXFHW KHUHJ LRQ RI LQWHUHVW >@ 7KH
WDUJHW RIW KHP DWFKLQJL V WKHNH\S DGS DWWHUQR QWK H
SDSHU2QWKHRWKHUKDQGWKHFRORULPDJHLVFRQYHUWHG
WR JUD\VFDOH 7KHQWK H FRQYHUWHGJ UD\VFDOHLP DJHL V
ELQDUL]HGEDVHGRQWKUHVKROG:HFDQILQGDQGVDYH
- 568 -
ICEIC 2015
&RQFOXVLRQ
)LJXUH7KHSURFHGXUHRILPDJHSURFHVVLQJ
-XGJHPHQWIRU3XVK(YHQWV
7KH GHSWK YDOXHVR IHDFK EXWWRQDU HU HSHDWHGO\
VDYHGIR UWK UHHVHFR QGV7K HP HDQVR IV DYHGY DOXHV
EHFRPHWKH VWDQGDUGG HSWKY DOXHV ,QS UDFWLFHW KH
GHSWKYDOXHRIWKHSXVKHGEXWWRQZKHQDILQJHUSXVKD
EXWWRQLVOHVVWK DQW KHVWDQ GDUGG HSWK7K HU HDVRQ LV
WKDWWKHGLVWDQFHEHWZHHQWKH.LQ HFWGHSWKVHQVRU DQG
WKHR EMHFWLVDV FO RVHUDV WKH WKLFNQHVV RI WKH ILQJHU
7KXVL IW KHGH SWKYD OXHD VW KLFNQHVVRI WKH ILQJHU LV
SHUIHFWO\ FRUUHFWW KHUHDUHQ RHU URUV, QWKLV SDSHU WKH
GHSWK YDOXH LVEH WZHHQ DQG $VD UH VXOWL WL V
MXGJHGWKDWWKHEXWWRQLVSXVKHGZKHQWKHGHSWKYDOXH
NHHSVDV VPDOOHU DV a WKDQW KHVWDQG DUGI RU
VHFRQGV,IWKHHYHQWRFFXUVWKHQXPEHURURSHUDWRURI
SXVKHGE XWWRQ LVGL VSOD\HGRQ RX UP RQLWRUD QGW KH
SDSHUFDOFXODWRULVZRUNLQJ
([SHULPHQWVDQG5HVXOWV
:HLP SOHPHQWHG WKHSU RSRVHG PHWKRGDQG
SHUIRUPHGP DQ\H [SHULPHQWVL QG LYHUVH OLJKW
HQYLURQPHQWV $VDUHVX OWZHFR XOGFR QILUPW KDWW KH
SDSHU FDOFXODWRULVZRU NLQJ %XWWK HUHZHUHHU URUV LQ
WKHU DQJHR IDE RXW SHU FHQW7K HUHDVR QVDUHWKDW
VDPHE XWWRQZD VF RQWLQXRXVO\SXV KHGR U WKH EDFN RI
WKHKDQGZDVUHFRJQL]HGDVDILQJHU
,QWKLVSDSHUZHSURSRVHGWKHSDSHUFDOFXODWRUXVLQJ
D .LQHFWDQG N H\SDG SDSHUDQG S UDFWLFDOO\
LPSOHPHQWHG,WGLGQWQHHGDFRQWDFWLQWHUIDFHDQGZDV
UREXVWLQFKDQJHRIOLJKWHQYLURQPHQW%XWLWDOVR KDG
HUURUVWKDWVDPHEXWWRQZDVFOLFNHGRUWKHEDFN RIWKH
KDQG ZDV UHFRJQL]HG,I WKHVH HUURUVDUH LPSURYHGLQ
WKHIXWXUHZRUNLWZLOOEHDEOHWRKHOSGHYHORSHDV\DQG
FRQYHQLHQWQRQFRQWDFWLQWHUIDFHV
$FNQRZOHGJHPHQWV
7KLVZRUNZDVVXSSRUWHGE\WKH,&75 'SURJUDP
RI0 6,3,,73> ' HYHORSPHQW RI WDFWLOH
LQIRUPDWLRQV WRULQJD QG SOD\LQJ SODWIRUP EDVHG RQ
IOH[LEOHVHQVRUVIRULPPHUVLEOHPHGLD@
5HIHUHQFHV
>@$H N\XQJ.D QJ- LQPR. LP 6XQJZRQ 0XQ DQG
+\XQJMH&KR ,P DJH3UR FHVVLQJEDVHG ,QWHUIDFH IRU
&RQWUROR I ,QWHUDFWLYH'&RQ WHQWV3U RFHHGLQJVR I
WKH.RUHD0XOWLPHGLD6RFLHW\&RQIHUHQFHSS
0D\
>@6+ DQ- & KRL DQG -, 3DUN 7ZRKDQGEDVHG
LQWHUDFWLRQ PHWKRG XVLQJDK \EULGFDP HUD 3URFR I
,3,8
>@KWWSZZZPLFURVRIWFRPHQXVNLQHFWIRUZLQGRZV
>@ *21=$/(=5DI DHO&'
LJLWDO ,PDJH
3URFHVVLQJUGHG3UHQWLFH+DOO-DQXDU\
- 569 -
P3-11
I.
(b)
INTRODUCTION
II.
(a)
- 570 -
ICEIC 2015
Figure 4. The SIP procedure for joining in the conference in the environmnet
of mobile service interworking
CONCLUSION
ACKNOWLEDGMENT
This work was supported by the ICT R&D program of
MSIP/IITP. [11-921-05-001, Development of Immersive
Smart-work Core Technology for Collaboration among
Multiple Parties].
REFERENCES
[1]
[2]
[3]
- 571 -
P3-12
1. Introduction
Network firewalls and their associated filtering rules
should be discreetly deployed and configured for
cooperative, integrated, and in-depth network security
protection. Still, in a large and complex enterprise
network equipped with numbers of firewalls, it is very
likely for a network manager to make mistakes while
setting the firewall rules (i.e., ACL rules) since
maintaining the security consistency between
firewalls rule configuration and the demands of
network security policies is always time-consuming,
laboring, and error-prone.
The security inconsistency typically can be revealed
by either the occurrence of anomalies between the
firewall rules or demand-mismatching of network
security policies. E. Al-Shaer et al. formally define an
anomaly as a duplicate or multiple rule-matching for a
packet in a rule set. Based on the concept, they further
define several different intra-/inter-ACL anomalies
2. ARAR tree
In our work, the IP address ranges of the source
network domain and destination network domain of a
designated route are employed as two axes to form a
rectangle traffic plane. Referring to the coding tree
widely used in data/video compression, the traffic
plane will be split recursively as well as exponentially
if a block finds there are more than two rules within it
(Fig. 1), rather than being split into a matrix containing
- 572 -
ICEIC 2015
References
Fig. 2 The corresponding ARAR tree of Fig. 1
- 573 -
P3-13
1.
Introduction
2.
Method
2.1
Algorithm overview
If we take a picture, it isnt shown three-dimension
such as space. It is shown two-dimension. However,
when we take a picture using video equipment, the
enlarged or reduced ratio is steadily increased or reduced
because the ratio of zoom lens of video equipment and
the size of the object in images is fixed. So, we can
calculate distance from equipment to object. First, we
calculate proportional constant based on distance and
scale from image that is taken a reference object. Second,
we calculate pixel data of object which you want to
know at different scale. And then, we can calculate actual
distance of object using calculated ratio from reference
- 574 -
Pixel(px)
369
486
675
ICEIC 2015
Pixel(px)
180
247
338
4.
value is pixel
References
(1) Matthias Jungel, Heinrich Mellmann, and Michael
Spranger,
Improving
Vison-Based
Distance
Measurements using Reference Object, HumboldtUniversitat zu Berlin, Kunstliche Intelligenz Unter den
Linden 6, 10099 Berlin, Germany
(2) Rupert Genseberger, Measuring distance by parallax
method, EAAE Summerschools Working Group
Centre for Science and Mathematics Education,
Utrecht University (The Netherlands)
2.3
Calculate distance from video equipment to object
If we get ratio using this rule through the adjustment
of the zoom level, we can calculate distance value by
using ratio. If we express that
is pixel data of
and
is pixel
3.
Acknowledgment
Conclusion
- 575 -
P3-14
1. Introduction
EPCIS is a flexible standard that have numerous
options for business needs in order to accommodate
different applications and environments. Nonetheless,
there still needs to be a certain level of consistency in
terms of how the standards are implemented by
individual trading partners in order to serve supply
chain issues like pedigree and track and trace. And
EPCIS does not provide that unique serial number
provisioning and item management method. We need
additional architecture design and implementation.
- 576 -
ICEIC 2015
We propose another approaches based on quadratic
residue [8] and add seed assign method for multi
devices. When p is a prime number and p 3 mod 4,
the quadratic residues Qr(x) of integers x are all unique
and give us one-to-one permutation on the integers less
then p.
Qr(x) = x2 mod p
Qr(x) = p (x2 mod p)
(2x < p)
(else)
38 bits
( 0 ~ 274,877,906,943(10) )
Seed
Ship
Receive
Ship
EPCIS
EPCIS
EPCIS
...
...
...
Manufacturer
Wholesaler
7 bits
( 0 ~ 127(10) )
Commission
31 bits
( Representable range of integer x: 0 ~ 2,147,483,647(10)
Prime number p: 2,147,483,647(10) )
Pack
Ship
Receive
Unpack Pack
Retailer
(Pharmacy, Hospitals, ...)
Ship
Receive
Unpack
Dispense/
Destroy
Dispense/
Destroy
Receive
Acknowledgment
This work was supported by the National Research
Foundation of Korea(NRF) grant funded by Korea
government (No. NRF-2012K1A3A1A09026959).
References
[1]http://www.gs1us.org/DesktopModules/Bring2mind/DM
X/Download.aspx?Command=Core_Download&EntryId=25
9&PortalId=0&TabId=785
[2]http://www.gs1.org/sites/default/files/images/gsmp/kc/RF
IDBarcodeInterop-Guideline-i1-final-Publication.pdf
[3]http://www.gs1.org/gsmp/kc/epcglobal/epcis
12 digits
( Representable range: 0 ~ 999,999,999,999(10) )
( Usable range: 0 ~ 274,877,906,943(10) )
Unused
[4]http://www.gs1.org/gsmp/kc/epcglobal/cbv
[5]http://www.gs1.org/gsmp/kc/epcglobal/tds
[6]http://www.gs1.org/gsmp/kc/epcglobal/tdt
20 digits
( 0 ~ 99,999,999,999,999,999,999(10) )
[7]http://en.wikipedia.org/wiki/Fisher-Yates_shuffle
[8]http://en.wikipedia.org/wiki/Quadratic_residue
[9]http://www.gs1.org/docs/gsmp/barcodes/GS1_General_S
pecifications.pdf
- 577 -
P3-15
!!
"
# $
%
%
%
&#
!
!"
"#
!
!"!"
$!!
"&!#%
$
!!
($')
!"!! !"!!
!"!!
#
*"
" $$
" $$
!"!!"
)
$
" "
$ "!"
!!
"$
'+
!
&
,
-%
#
!$! " "
$ .
!
( "
"
!
)"
!
!
$
(
#
%
!!
"
"
!
! $ /!
0
/!! (0#
1"
("
!!
! $
!" !
!
"
2
!($
3
$
$!
!
! !
2!(
$$""
!45#
1"
$ $
$ !
!($(
#
6
"
(
$ !
!
$
#
1" $
($
(
"
"" 3
!"
"
"
" !
"# 1" 3
"
($"
$.
)#
6
$ " $$!
$
"(
"
,%-
$$
$!
!#
$$
1"
"
(.!
!"
"!" " "
$
!
( !!
"
"# 1" "
"
"!
"(#1"
$
$
$
""
"!
!#6$"
"
)
$
$
"!
"
!(475#
1"!"
"
"!!
#1" ""
" " # 1"
" "
!
(" 3
$( ( (
"
(.!
(.! "
"485#
9 "
: % 4& 5 , ;7< =
&;7<- "
& 4>5 "
("$""
:"&"#
1"$"
"
$(
($"$
$"
:#
*
""
($:"
,;7<>- : ( " " 3
(
"
' ,;7<>-
>
"
2 "
! (
- 578 -
ICEIC 2015
"
!$"
$!
:
!
(: 4 2 7 2 > 2 5 #
1 $
: ( !
(
" ( !
( " "
!
$ " !
!# 1"
( !
(
"$
$!'
,-
( @( ( 7 ( ? #
A "
$!
: $ " "
( $'
,7-
( )4$ 7 $ 8 $ B $ 5
,-%
C"&,;7<=&;7<-"
("$""
:$ (
"&"
#1"
"
:$
( !
((
$
$$
$!
:#
9 "
: % 4& 5 "
,!-K
,-L
9
#%
$""
!$
$'
*
&
&
,8-
)# !
&
" 2
#
1"
"$""
!$
$'
*
#
,B-
*
,(-K
6
"
(
$!
!
$
!"
"# 1"
"
"
" " !(
$ " !"
"
"!
"#
*+N&C%M%N1
1"
(
! $
6
+ 1!"
M
$
("
$16
%
,&16%-
%
45+"
6
A
.
,+
-
.
.
-
L
7>>#
475#A#
O##
P#K#A
L#P#"E&
"
!
$!
:"(
""3
F%
!
!
Q#8BN#7#GD GJ
7>>H#
485
K9
"
C
C
#N#
"
E*$
))!"
"
!
("$
!
F6
$
%
!
!
1!"
Q#7N#D#
H7 HH7>>#
- 579 -
P3-16
1. Introduction
Over the past decade, piezoelectric actuators have
been used in place of conventional actuators across the
industry. As contrasted with conventional actuators
using electric current, hydraulic fluid pressure, or
pneumatic pressure, piezoelectric actuators have many
features which are fast response time, low electrical
noise, precision control, lightness and a simple
- 580 -
ICEIC 2015
12]. In this paper, multi-layer piezoelectric energy
harvesters based on the PZT ceramic actuators by tapecasting process were fabricated. To enhance conversion
efficiency, we conduct impedance matching through
analysis of electrical properties. Several important
considerations in designing of energy harvesting are
explored, including properties of materials, structures
of the devices, load impedance matching, energy
storage.
5. Main text
Figure 1 exhibits the schematic view and image of
multi-layer piezoelectric energy harvesters based on the
PZT ceramic actuators. The internal electrodes of the
PZT ceramic actuators were connected in parallel.
After the poling process, the PZT ceramic actuators
were attached to the aluminum substrate (2020 mm).
Also, the external electrodes of same polarity were
extrinsically contacted in parallel. Subsequently, the
empty spaces among the PZT ceramic actuators were
filled with PDMS. Subsequently, the single layer, the
double- and triple- layers were connected to parallel
with the electrodes in the same polarization direction.
These structures of the multilayer piezoelectric energy
harvesters were designed for increasing the capacitance
of the energy harvesters.
References
[1] H. C. Song, H. C. Kim, C. Y. Kang, H. J. Kim, S. J.
Yoon, D. Y. Jeong, Multilayer piezoelectric energy
scavenger for large current generation, J. Electroceram.
23 (2009) 301304.
[2] S. R. Platt, S. Farritor, and H. Haider, On lowfrequency electric power generation with PZT ceramics,
IEEE/ASME
TRANACTIONS
ON
MECHATRONICS 10 (2005) 240252.
[3] D. J. Shin, W. S. Kang, J. H. Koh, K. H. Cho, C. E.
Seo, and S. K. Lee, Comparative study between the
pillar- and bulk-type multilayer structures for
piezoelectric energy harvesters, Phys. Status Solidi A
211 (2014) 18121817.
[4] C. D. Richards, M. J. Anderson, D. F. Bahr, and R.
- 581 -
P3-17
1. Introduction
2. Experimental
Abstract
The piezoelectric and dielectric properties of (1x)(Bi,Na)TiO3-x(Bi,K)TiO3 (x = 0.12, 0.14, 0.18, 0.20,
0.30) lead-free ceramics were investigated . Specimens
were prepared by the conventional mixed oxide method
and sintering at 1175 C in air. The 0.8(Bi,Na)TiO30.2(Bi,K)TiO3 ceramics show excellent piezoelectric
and dielectric properties. Specimens with optimum
composition showed a piezoelectric charge constant
d33 of 166 pC/N, a dielectric constant r of 1291, and
generated power output of 37.49 nW/cm2.
- 582 -
ICEIC 2015
20
30
40
2 (deg)
50
220
112
211
201
002
200
111
110
0.70BNT-0.30BKT
0.80BNT-0.20BKT
0.82BNT-0.18BKT
0.86BNT-0.14BKT
0.88BNT-0.12BKT
100
60
70
170
55
1000
110
25
800
80
600
50
0.10
15
0.15
0.20
0.25
0.30
4. Conclusions
The (1-x)BNT-xBKT (0.12 x 0.30) lead-free
piezoelectric ceramics were fabricated by a
conventional mixed oxide method. The XRD patterns
showed that the (1-x)BNT-xBKT samples show
tetragonal and rhombohedral structures depending on
the composition. The samples with x = 0.20 showed the
maximum values of d33, r, generated power output and
FOM, which were 166 pC/N, 1291.32, 37.49 nW/cm2,
and 2.41 pm2/N, respectively. Therefore, (1-x)BNTxBKT piezoelectric ceramics with x = 0.20 seemed to
be the best candidates for use in piezoelectric energy
harvesting devices.
References
35
45
2
140
Power (nW/cm )
1200
Dielectric constant
Power
FOM
d33 (pC/N)
Dielectric constant
d33
BKT composition
- 583 -
P3-18
+*,
&$#"#"!% $"""'#$#
'#$$)(*)+*)+,-.(,0/$#!"'#$
#$" $ "$"1/" #11$"$ $$
!"1$"6.)547 645)238$"1$1!"$ "
9:;) "#$"#"1$$$$" #11$"
/$<**
.=*8># /#? $ $ /#11$"
/'+=*8>9<;)" $' $"!"1$$'$#
" +**$"1$1!"$ "$' "## !
$<***$" .**8>9.;)@ #0/$#
> "$1!"$ "(6.<*8>,##" $' "
"1$! "%$B".4C>A1<"'
D3).EA11$"1$1!"$ "9=;)
"1#'"# ! "%$$1!"$ "
:4+8> 1$#$"!"$ ##
!% $"$ $"#9+;)""$"#$
#1!$1$" #$1!"'$"!"##$#
'#!"!"$#)" 1$"$ 1$$(,
$"!"1$$'$#"$$$)
" $' $"!"1$$'$"
/ $+**:3+*"#!$' 92;$"1$1!"$ ")
&$#'#$$$$$
"#$" $' $"!"1$$'$
"#$!$ $ $! $
"#/$#$#1
!"'#$#$" $ "'#1 "1!#$)&$#
!!""!"$$!$1%$$#$"
$1!"$ "$!% $"!"!"$# "
*)53(*)+*)+,-.
*)*.(*)+"*)+,-.!% $"
"1#$'""'#$")
-*.
"
*)53(*)+*)+,-.
*)*.(*)+"*)+,-.(
,"1#"!"!"/'$ "1
#$"$? #$100#1$)<-.
<>-.>-.">-.-<"1! #$
#$"$1$" #)<-.<>-.-.!"#
"$$#$1$""1 "/
*/"
H ":0! #$'"$..!I1$
"1## $$#$"
$1!"$ ")' #..!I1""#
$"##$"$1!"$ "$"#
"101 1' #:*4!>A..)5<J
<33)5*"#!$' $:<**8>)' ..!
I1""#$"#$#$"
- 584 -
ICEIC 2015
%
%
%
!
"$#
%
%
0-*1"
#"#$1
")
1
0
915*765*8
5*8
5*5
5*85*8
)
:*"
/
9:;>)
)> )
)>N)
))
K)> O
$#G-!#$"$1!"$ "$
1"#$" $ "$
"!% $""1
*)+*)+-.P>2
% !!).5
=+@!" <*:*)
9<;&)B)B"B)B)"'E)@)&# !'E)G)D"'
)E)F$#'OB "$#!#$"#$## 1
(:A<:A<,-.
(:A<:A<,-.
"!% $"
"1#P&!A!!)::3
:<*)Q1/"<**5)
92;)
) $
)$ )E 1OQ $"
!"!"$#A! 1"1!#$PB!!&! !!)
.553
=**:G"<**3)
- 585 -
P3-19
Effects of BaTiO3 on Piezoelectric Properties of (1-x)(Bi0.5Na0.5TiO3)x(BaTiO3) Lead Free Ceramics for Energy Harvesting
Woo-Seok Kang, Jung-Hyuk Koh*
School of Electrical and Electronics Engineering, Chung-Ang University, 84 Heukseok-Ro,
Dongjak-Gu, Seoul, 156-756, Korea
jhkoh@cau.ac.kr
Abstract
Piezoelectric materials with high dielectric permittivity
have been attracted attentions for the piezoelectric energy
harvester applications. High dielectric permittivity can be
employed to increase generated energy capability. In this
paper, lead free (1-x)(Bi0.5Na0.5TiO3)-x(BaTiO3) (x = 0, 0.02,
0.04, 0.06, 0.08, 0.10) ceramics were prepared by mixed
oxide sintering method. Fabricated ceramics were calcined
at 850 ~ 900 C for 2 hrs and sintered at 1150 ~ 1200 C for
2 hrs, respectively. The crystalline and dielectric properties
have been investigated. Furthermore, piezoelectric
properties were also investigated and discussed. Besides, to
find optimized piezoelectric properties with strong
ferroelectricity, X-ray diffraction (XRD) analysis were
performed. In this experiment, We will show optimized
conditions for the energy harvester applications based on (1x)(Bi0.5Na0.5TiO3)-x(BaTiO3) lead free ceramics.
1. Introduction
Lead-free materials have been getting a lot of
attentions. Among the numerous lead-free ceramics,
Bi0.5Na0.5TiO3(BNT) based ceramics can be one of the
great candidate as alternatives. BNT is ferroelectric
compound having Bi3+ and Na+ on the A-site of ABO3
perovskite structure and rhombohedral symmetry and
also has a large remanent polarization (Pr = 38 C/cm2),
high curie temperature (Tc = 320 C) [1,2]. But the
pure BNT ceramic has weak piezoelectric properties
with high coercive field (Ec = 7.3 kV/mm). Therefore,
it is difficult to make a poling process. This BNT
ceramics show relaxor properties with diffuse phase
transition (DPT) behavior from ferroelectric
rhombohedral to nonpolar tetragonal transition [1,3,4].
So many research have been done by different method
to modify and improve the piezoelectric properties of
BNT ceramics by substitution or addition with BaTiO3,
(Bi0.5K0.5)TiO3, NaNbO3, BiFeO3, (K0.5Na0.5)NbO3 [1014]. Among these, Bi0.5Na0.5TiO3-BaTiO3 (BNT-BT)
ceramic shows high strain due to the A-site is
2. Experimental
Lead free (1-x)(Bi0.5Na0.5TiO3)-x(BaTiO3) (x = 0, 0.02,
0.04, 0.06, 0.08, 0.10) ceramics were prepared by
mixed oxide sintering process. At first BNT has been
synthesized. Na2CO3(99.9%), Bi2O3(99.9%) and
TiO2(99.9%) were used as raw materials. The raw
powders were mixed in ethanol using zirconia balls for
24 hrs and dried at 120 C for 24 hrs. It was
precalcined at 780 C for 2 hrs. Synthesized powder
and BaTiO3(99.9%) powder were mixed at the mole
rate. The mixed powders were ball milled and dried
again. Calcinations was carried out at 850~900 C for 2
hrs. For sintering process, prepared powers were
pressed at 2.0 MPa with PVA bind solution. The disk
samples were sintered at 1150~1200 C for 2 hrs and
then polished for parallel surface. The silver electrodes
have been painted on the samples top and bottom
surface. The painted samples were poled with the
electric field of 5 kV/mm in silicone oil bath at 70 C
for 1 hr.
- 586 -
ICEIC 2015
are replaced by the (111) peak. Fig. 1(b), shows that
(202) peak became a (002)/(200) peak splitting
between 45 and 47, which confirms a tetragonal phase
appears and increases continuously as x increases.
20
30
(211)
(202)
(021)
(003)
(101)
Intensity (a.u.)
(101)
(111)
(110)
0.90BNT-0.10BT
0.92BNT-0.08BT
0.94BNT-0.06BT
0.96BNT-0.04BT
0.98BNT-0.02BT
Pure BNT
40
50
60
-2(deg)
93.5
Relative density
Density
Density (g/cm3)
5.60
92.5
5.55
92.0
5.50
93.0
References
[1] C. Xu, D. Lin, K.W. Kwok, Structure, electrical
properties and depolarization temperature of (Bi0.5Na0.5)TiO3BaTiO3 lead-free piezoelectric ceramics, Soild State
Sciences, pp934-940, November 2007
91.5
0.00
0.02
0.04
0.06
0.08
0.10
[2] S.K. Acharya, S.K. Lee, J.H. Hyung, Y.H. Yang, B.H.
Kim, B.G. Ahn, Ferroelectric and piezoelectric properties of
lead-free BaTiO3 doped Bi0.5Na0.5TiO3 thin films from
metal-organic solution deposition, J. Alloys Compd, pp204209, June 2012
[3] M. Cernea, C. Galassi, B. S. Vasile, C. Capiani, C.
Berbecaru, I. Pintilie, L. Pintilie, Structural, dielectric, and
piezoelectric properties of fine-grained NBTBT0.11 ceramic
derived from gel precursor, J. Eur. Ceram Soc, pp23892397, March 2012
0.40
0.35
kp
d33
105
0.30
d33
kp
90
0.25
75
0.20
0.15
0.10
0.00
60
0.02
0.04
0.06
0.08
0.10
- 587 -
P3-20
1. Introduction
Respiration-induced motion in thoracic and
abdominal tumors often exceeds 20 mm which can
significantly compromise the conformal dose delivery
[1]. Adaptive radiotherapy aims at compensating this
motion for conformal dose delivery with minimal
normal tissue exposure. The motion compensation
requires image acquisition and processing to locate the
tumor position, and mechanical control to direct the
treatment beam towards the tumor. These processing
and control steps introduce systematic delays which
can be up to several hundred milliseconds. Tumor
motion over these delays should be compensated. To
this end, a prediction framework is needed to predict
the respiratory motion over these delays [1, 2].
An empirical study assessed the range and dynamics
of respiratory motion at tumor sites by acquiring
electronic portal images (EPIs) from 97 patients [3]. A
2. EKF-GPR algorithm
EKF-GPR algorithm utilizes a model-based
extended Kalman filter (EKF) and a model-free
Gaussian process regression (GPR) by combining them
in a cascade structure [2]. This structure is motivated
by the observation of correlation between the
prediction error of the EKF prediction and its state
estimates. EKF-GPR algorithm, shown in Figure 1
exploits this correlation. Specifically, the EKF-GPR
algorithm first employs an extended Kalman filter
based on local circular motion (LCM) model, which we
call LCM-EKF to predict the respiratory motion. Then
the EKF-GPR utilizes a model-free Gaussian process
regression (GPR) to estimate and correct the error of
the LCM-EKF prediction.
Gaussian process regression (GPR) is a
nonparametric Bayesian technique for regression [4]. It
provides flexible framework for the optimization of the
model hyper-parameters from the training data. Its
Bayesian formulation yields the predictive distribution
over the test inputs. Predictive mean is normally used
as the point prediction and the predictive variance
estimates the uncertainty in prediction.
- 588 -
ICEIC 2015
3. Experimental results
We employed a large database of 304 real
respiratory motion traces from a group of 31 patients to
evaluate the prediction performance of EKF-GPR
algorithm. We evaluated the algorithm at lookahead
lengths of 192, 384 and 576 ms after down-sampling
the traces to 5.2Hz for conformity to the kV/MV
imaging standards. In 3-D prediction, we define the
prediction error as the Euclidean distance between the
measurement and the prediction. We employ root mean
square (RMS) prediction error as performance metric
and use no prediction for the baseline comparison.
Note that a scheme of using the current measurement as
the lookahead prediction is called no prediction [2].
We performed numerical experiments over the traces
and computed the (trace-wise) average RMS prediction
error by averaging over all the traces. The results show
that EKF-GPR reduces the RMS errors to 55%, 53%,
and 54%, respectively, at lookahead lengths of 192,
384, and 576 ms in percent ratios relative to no
prediction.
We present the patient-wise RMS error of EKFGPR at lookahead length of 576 ms with respect to
RMS error of no prediction in Figure 2. In the figure,
we note that the patient-wise RMS error of EKF-GPR
is scattered around 50% RMS error of no prediction.
Similar observations hold at lookahead lengths of 192
and 384 ms as well. In our previous study on 1-D
prediction of respiratory motion using EKF-GPR over
PCA-processed 1-D traces, the ratio of RMS prediction
error of EKF-GPR to that of no prediction was around
50% [2]. The increase in percent ratios for the 3-D
prediction is attributed to the fact that EKF-GPR
prediction is more accurate in relative to no prediction
for traces with a large excursion. Note that the
excursion of a PCA-processed trace is greater than or
equal to that of its original 3-D trace in any direction in
the 3-D space.
4. Discussion
Experimental results of EKF-GPR are reported for
the prediction of respiratory motion in 3-D space. In
the future, we plan to employ Gaussian process
regression networks [5] to compensate the LCM-EKF
prediction error in 3-D space in a integrated manner.
Acknowledgement
This work is supported by the IT R&D program of
the Korea Ministry of Science, ICT and Future
Planning (MSIP)/KEIT (10041145, Self-Organizing
Software Platform for Welfare Devices).
References
[1]. C. Ozhasoglu and M. J. Murphy, Issues in
respiratory motion compensation during externalbeam radiotherapy, Int. J. Radiat. Oncol. Biol.
Phys., vol. 52, pp. 13891399, 2002.
[2]. W. Bukhari and S.-M. Hong, Real-time
prediction and gating of respiratory motion using
an extended Kalman filter and Gaussian process
regression, Physics in Medicine and Biology, vol.
60, no. 1, pp. 233-252, 2015.
[3]. S. C. Erridge, Y. Seppenwoolde, S.H. Muller, M.
van Herk, K. D. Jaeger, J. S. Belderbos, L. J.
Boersma and J. V. Lebesque, Portal imaging to
assess set-up errors, tumor motion and tumor
shrinkage during conformal radiotherapy of nonsmall cell lung cancer, Radiotherapy and
Oncology, vol. 58, no. 66, pp. 75-85, 2003.
[4]. C. E. Rasmussen and C. K. I. Williams, Gaussian
processes for machine learning, MIT press, 2006.
[5]. A. G. Wilson, D. A. Knowles and Z. Ghahramani,
Gaussian process regression networks, Proc. Intl.
Conf. Machine Learning (ICML), 2012.
- 589 -
P3-21
1. Introduction
Currently, driver drowsiness detectors using
portable or in-vehicle Brain-Machine Interface (BMI)
system based technology have been proposed [1,2].
However, the drawbacks, such as cumbersome-to-use
and the higher risk for distracted driving, limit invehicle BMI systems success in an actual environment.
In order to avoid these disadvantages, a fully wearable
BMI (wBMI) system is presented in this study.
2. System Design
Fig. 1. The system diagram with data collection and signal processing
procedures.
- 590 -
ICEIC 2015
(a)
No.
Age
Sex
Sens%
Spec%
Acc%
16
26
100
91.84
92.45
17
28
100
97.3
97.62
18
25
100
82.05
88.1
19
25
100
97.83
97.92
28
20
Overall
100
100
100
93.8
95.22
5. Conclusion
(b)
Fig. 2 (a) The smartwatch GUI and (b) The prototype of the proposed
wearable driver drowsiness detection system
References
[1] C. T. Lin, C. J. Chang, B. S. Lin, S. H. Hung, C. F. Chao
and I. J. Wang, A real-time wireless brain-computer
interface system for drowsiness detection, IEEE TBioCAS,
IEEE, pp. 214-222, August 2010.
[2] Y. T. Wang, C. K. Cheng, K. C. Huang, C. T. Lin, Y. J.
Wang and T. P. Jung, Cell-phone based drowsiness
monitoring and management system, Proceeding of
BioCAS Conf, IEEE, pp. 200-203. November 2012.
- 591 -
P3-22
1. Introduction
Several wireless power transmitting methods such as
inductive coupling, magnetic resonant coupling and
microwave-based transmission have been introduced
and studied. The main issue is the extension of power
transmission distance between power transmitter and
target devices. The inductive and magnetic resonant
coupling power transfer methods have higher
transmission efficiency compared to microwave-based
transmission method. However, they can cover only
short range. In this paper, a power steering technique
for microwave-based power transmission was studied
and demonstrated using a two-by-two micro-strip
arrayed patch antenna at 2.4GHz.
- 592 -
ICEIC 2015
In this case, the ideality factor (k) is 1.06, the saturation
current (Is) is 3x10-6[A], Ib is externally applied bias
current in amps, T is temperature (C). The value of Cj
approximates with 9k.
Rj
at 25C
I s Ib
I s Ib
(2)
rectifying circuit
2
VDC
/ RL
P
100[%] DC 100[%]
Pin
Pin
(4)
5. Results
References
Warren L. Stutzman and Gary A. Thiele, Antenna
Theory and Design, WILEY, 1998.
[2] J. R. James and P. S. Hall, Handbook of
Microstrip Antenna, Peter Peregrinus, 1989.
[3] William C. Brown, The History of Power
Transmission by Radio Waves, IEEE Trans. on
MTT., Vol. 32, NO. 9, Sept. 1984.
[4] International Telecommunication Union, Radio
Communication Study Groups Wireless Power
Transmission, Document 1/11-E, 18 November
1996.
[1]
- 593 -
P3-23
! "
#
"
!
!
$ !
" !
!
"
!#$ ! "$
#
# !
%#!" &
!
"
' ! "(
"
((#"
#
# ! (
# !
# "#
"&'
"
!
"
$# #
"#
(
%#
$ ! & ) (# $ !
%#"*
""$ "%& #
#
"! ,++ #
**++
##-
" .0 /
(
%$ ! "#
"
#
# "%" #
%& 0# $ ##
"#"
( 4
# 1+&+320 # # !
#" #
1++ #
15,+ %
%#
"#"
#
"
"&6
"!%#
"#
$ %
#% "7
( $
! &
# "%%%
%
"
%#
# !#
! !7#
"!%#
($ ! "&
8 %
%
"!#
( "
"!%#
# #
" 4"
# #
( !
#
(
4$ "
#! "
%# "##" " # )&
#
$( # ((#$ ""
( %
%
" !#
%(
!# "!#
(
($$ ! "&
8 ! !7#
%
4! " (
!# "
!4 #
%#!7#
%
4!# +-$4"
%" #"
2
(("##"
#
"$4"
%" #" $ ! # %
&
$ # !4
( +-
!4 #
$4"
"9%
#"4 "%
4!&
8 ! $ #
(# #
# !"# #
( # "#" 4
4# # $
"!%
# !:;&
8 %
%
"!#
#! "
%# "##"#
! !7 #
"!%#
( $
! "" " # "" $
! $
! #
4<#
#
#
#! &
8 "#
("!4
"" # "%%""(
"=
=" %
"
% = !4
($ ! ".'/
= 9
(
. /
= 9
(' #" . % /
. / =' #
((2
:+;"##
( # #
. / =""$
!
( # #
&. / =""! #
' . / =%
"!%#
%
( # #
( =%
#
( #
) =!9!!< ##
( #
. / =! < ##
( # #
* =#
#
"#( #
8
4>#$
(# %
4!"#
! !7
* %
./
* !
' . / . / &
8
"# #"(
# %
4!=
#
. / . / &. /
- 594 -
./
ICEIC 2015
$
!
8!
5
*
,
B
E
3
D
+
5
*
,
B
E
3
D
+
5
*
"!
,
+
,
5
,
E
E
3
+
*
+
5E
B
5+
5+
5+
+
3
*
E
$
#
%&
8!
'
5
*
,
B
E
3
D
+
5
*
,
B
E
3
D
+
5
*
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
5
+
+
+
+
+
+
+
+
+
+
+
+
+
+
*
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
,
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
$
'
8!
'
5
*
,
B
E
3
D
+
5
*
,
B
E
3
D
+
5
*
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
*
+
5E
B
5+
5+
5
+
+
+
+
+
5
,
+
+
,
5
,
E
E
B
+
+
+
+
+
+
+
+
+
+
+
+
+
*
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
,
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
E
+
3
*
B
*
. / )
.5/
8 %
"!%#
% % "
%
# ! < ##&
(
.*/
' . /
. /
8 "# " (#
( ) # "%# #
# ( #
%#!7#
# <" " # # #
" #
"
%#!! "
#
%
"
# 4
! # " #
" "## . #
"
#
/ 4# 4 ! # %
%#
( "
#
"
(
! "##"#" # #
%#
"& ) "
!
" #
#
%#$ 9%
#$ " #
(# %!#"%&
8
"# " #
4 ! !7 (
$
! # #! #$
$ #
" %
& 8 (# "" ( #
(
#
! !7#
%
4!"$ <#
./&
'
(&
8 %
! " ## #4 "
4
?%#!7#
8
4
9:;&
845"#
%#!
!!#! #" &
)
*
# "%%%
%
"
%#
# !#
! !7#
"!%#
($ ! "&
8 %
%
"!#
( "
"!%#
# #
" 4"
# #
( !
#
(
4$ "
#! "
%# "##" " # )&
#
$(# ((#$ ""
(%
%
"!#
"!#
"%(
!# ,$ ! "&
)@
A?066A8
8 "
" "%%
# 4 # 8
$#
! .++*3+B 8 $
%! #
(
0
$
!%
# #
( $
! (
-"$ # "$/
( C# "#
(8 "# 6
.?86
/
+
8 #"#""#!
""#"
(,$ ! #"&
8 ""! %
(""(
# ) ""
"
84&8 #!"#% #$"
&
:;&6&
4+
)
,
.$
- )"
-0"
(""
D3D&
:;#4
4?%#!7#
8
4
9
##%=22&!#
"&
!2 %2"2 9& #!
- 595 -
P3-24
Seon Mi Ji, 1Sung Min Kim, 2Soo Chan Kim, 1Jong Seob Jeong*
Department of Medical Biotechnology, Dongguk University, Seoul 100-715, Republic of Korea
2
Department of Electrical, Electronic and Control Engineering, Hankyong National University,
Anseong-si, Gyeonggi-do, Republic of Korea
jiseonmi1118@dongguk.edu, *jjsspace@dongguk.edu
Abstract
Digital Development Process (DDP) can be used as
a post-processing method of ultrasound images. It has
been used as one of the reconstruction procedures of
the IVUS (Intravascular ultrasound) image. DDP has
three parameters having an effect on the result of DDP.
However, the previous papers related to it had
insufficient information about setting these DDP
parameters. So we studied DDP parameters by
analyzing the graph and images, and suggested proper
values of them. Besides, we verify the effect of DDP by
comparing DDP with log-compression.
Keywords: Digital Development process, IVUS,
Ultrasound, Image processing, Contrast.
1. Introduction
Digital Development Process (DDP) has been used
as one of the procedures reconstructing IVUS
(Intravascular ultrasound) images. This method can
improve the contrast and brightness of the IVUS
images. Although it can be applied usefully as an image
processing method, it has insufficient information
about setting the DDP parameters [1-2]. So we studied
the DDP parameters and determined appropriate values
of the DDP parameters. Additionally, we compared
DDP with log-compression method.
2. Method
DDP is applied by processing an equation (1)
including three parameters.
Yij = k[Xij/({Xij}+a)]+b
(1)
In equation (1), {Xij} means blurred version of input
image Xij and DDP parameters are k, a, and b. As
3. Results
According to the changing tendency of graphs, the
parameter a controls the ratio of input to output
values, and b controls a whole range of the data, and
k controls maximum value of the data. The value of
parameter a is appropriate in range about 0.05 ~ 0.1
as shown in Figure 1. The Figure 1 is acquired by
applying a vector into DDP equation. X-axis means
- 596 -
ICEIC 2015
input vector and Y-axis means output value. And it is
appropriate to have the parameter b in range about 0
~ 0.1, and the parameter k in range about near 1.
4. Conclusion
In this study, we analyzed the proper values of the
DDP parameters and suggested them. It is appropriate
to have the value of parameter a in a range about 0.05
~ 0.1, parameter b in a range about 0 ~ 0.1, and
parameter k in a range about near 1. Among these
parameters, a is most important parameter because it
controls the slope of the curve of DDP equation. Out of
the range of parameter a, the result images become
too dark or too bright. And we compare the result
image processed DDP with the image processed logcompression method. As a result, DDP can improve the
edge of the image unlike the log-compression. So the
result image processed DDP has more sharpened edges.
Acknowledgements
This work was supported by International
Collaborative R&D Program (N01150049) funded by
the Ministry of Trade, Industry & Energy (MOTIE),
Korea (N01150049, Developing high frequency
bandwidth [40~60MHz] high resolution image system
and probe technology for diagnosing cardiovascular
lesion and the Pioneer Research Center Program
through the National Research Foundation of Korea
funded by the Ministry of Education, Science and
Technology (2009-0082947).
References
Figure 2. Original image (left) and DDP processed
image (right), when a=0.1, b= 0.001, k=1.
DDP and log-compression can have a similar effect
increasing the low and middle values of input image.
However, DDP can improve the images to have more
sharpened edges compared with log-compression
processing image. It is below as shown in Figure 3.
- 597 -
P3-25
Abstract
In this study, to obtain precise temperature
distribution by using the relationship between
ultrasound echo shift and temperature variation, a
pulse compression technique was proposed and the
performance was experimentally demonstrated by
comparing with sinusoidal signal.
Keywords: Calibration curve, Chirp, Echo shift, Pulse
compression technique, Temperature estimation.
1. Introduction
For an accurate measure of temperature in
therapeutic ultrasound, a calibration curve derived by
both variations of speed of ultrasound and tissue
expansion has been investigated by using very short
duration pulse. However, it may suffer from attenuation
and distortion of received signals affecting the
sensitivity and location of echoes critical to calculate
the parameters for the calibration curve. To
compensate this problem, a chirp signal with pulse
compression technique was employed for the proper
analysis of calibration curve versus temperature. Also,
a sinusoidal signal was used to evaluate the
performance of the proposed method.
2. Method
A. Pulse Compression Technique
Pulse compression is a signal processing generally
used for improvement of detection performance
without increasing peak transmit power. The
fundamental chirp waveform was designed having a
sweep range from 2 MHz to 8 MHz for 5 s. Note that
time-reversed transmit waveform was used as a
matched filter. Time responses of chirp and pulse
compressed signal are represented in Figure 1.
B. Experimental Setup
5 MHz ultrasound transducer was used for
transmission of the chirp signal. Two baths were used
for measurement of pulse echo signal and heating the
target, respectively. A tissue holder with a rectangular
window was made of plastic, and a liver tissue was cut
down matching with the tissue holder. The target was
degassed first and moved to the holder. Our work was
begun by transferring the specimen from the heating
bath to the measurement bath. Data saving was
immediately done, and it was repetitively conducted at
intervals of 5 C in the range of 25 C ~ 70 C.
3. Experimental Results
The experiment was conducted by using the chirp
signal with pulse compression technique to increase
peak amplitude of the echo signal in the high
temperature range over 50 C. The sinusoidal signal
was employed as a control to evaluate the performance
of the proposed method. The experimental results
about signals propagated through the sliced porcine
liver were illustrated in Figure 2. The peak amplitude
of compressed signal was higher by 16.73 times at 25
C, and 2.71 times at 70 C compared to those of the
one-cycle-sinusoidal signal. In the case of 70 C, the
peak amplitude of the sinusoidal signal was too small
to be measured of its peak location.
- 598 -
ICEIC 2015
4. Conclusion
In this study, two types of ultrasound waveforms
including sinusoidal and chirp signal were applied and
their difference was observed conspicuously. When the
pulse compression technique was applied to the
received signal, somewhat high differences in
amplitude between sinusoidal and compressed signal
was observed from 25 C to 70 C. Therefore, the
proposed method has a potential to enhance the
detection ability for generation of calibration curve.
Acknowledgements
This work was supported by Basic Science Research
Program through the National Research Foundation of
Korea (NRF) funded by the Ministry of Education,
Science and Technology (2012R1A1A1044159).
References
[1] U. Techavipoo, T. Varghese, Q. Chen, T. A. Stiles, and G.
R. Frank, Temperature dependence of ultrasonic
propagation speed and attenuation in excised canine tissue
measured using transmitted and reflected pulses, J. Acoust.
Soc. Am.,115, pp. 2859-2865, June 2004.
[2] Remi Souchon, Guillaume Bouchoux, Eva Maciejko,
Cyril Lafon, Dominique Cathignol, Michel Bertrand, and
Jean-Yves Chapelon, Monitoring the formation of thermal
lesions with heat-induced echo-strain imaging: a feasibility
study, Ultrasound in Med. & Biol.,31, pp. 251-259, 2005.
- 599 -
P3-26
1. Introduction
In medical ultrasound image, image resolution plays
an important role to diagnosis, so high frequency
transducers with broad bandwidth are needed. Since the
inversion layer technique can satisfy both high
frequency and broadband characteristics, using this
technique is in the interest.
The inversion layer technique is one of the multilayer techniques and there are two opposite domain in
single active material. Therefore, when electrical
energy is applied to the active material, the strains of
dominant layer and inverted layer react opposite
direction. Accordingly, an inversion layer is able to
operate even-order thickness mode excitation as well as
odd-order one [1].
2. Method
PZFlex (Weidlinger Associates, Los Altos, CA)
software was used to evaluate the performance and
feasibility of the inversion layer with different active
materials. PMN-PT and PZT-5H were used for active
material because those materials are widely used for
broadband ultrasonic transducer. The inversion layer
ratio (t1/t) was 0.3 and we only considered front-side
inversion in this paper where inversion layer transducer
has the broadest bandwidth. t1 is the inversion layer
thickness and t is the total active material thickness.
The simulated total thickness of active layer was 100
m (PZT-5H: 70 m, PMN-PT: 30 m) with 3 mm
diameter. The acoustic impedance of the first and
second matching layers were 7.3 MRayl and 2.59
MRayl, respectively, and a backing layer has 16 MRyal
acoustic impedance. Electrical impedance measurement
and pulse-echo simulation were conducted and the
simulation results of PZT-5H /PMN-PT inversion layer
were compared to PZT-5H/PMN-PT uninverted layer
which has same poling direction.
3. Simulation Results
Figure 1 shows PZT-5H electrical impedance. When
t1/t is 0, there are only odd-order thickness mode
excitation and when t1/t is 0.3, there are even-order
- 600 -
ICEIC 2015
thickness mode excitation as well as odd-order one.
PMN-PT also had same feature.
Uninverted Layer
Center
Frequency
[MHz]
20
-6 dB
Bandwidth
[%]
52
Inversion Layer
32
68
PZT-5H/PMN-PT
Layer
4. Conclusion
Acknowledgements
This work was supported by R&D Program of
ministry of Trade, industry and Energy/Korea
Evaluation institute of industrial Technology (Grant No,
MOTIE/KEIT 10048528, Development of ICT based
Wireless Ultrasound Solution for Point-of-Care
Applications) and the MSIP (Ministry of Science, ICT
and Future Planning), Korea, under the C-ITRC
(Convergence Information Technology Research
Center) support program (NIPA-2014-H0401-14-1002)
supervised by the NIPA (National IT Industry
Promotion Agency).
References
[1] K. Nakamura, K. Fukazawa, Y. Yamada, and S. Saito,
Broadband ultrasonic transducers using a LiNbO3 plate with
a ferroelectric inversion layer, IEEE Trans. Ultrason.
Ferroelectr. Freq. Control, vol. 50, pp. 1558-1562, Nov.
2003.
[2] Q. F. Zhou, J. Cannata, and K. K. Shung, Design and
modeling of inversion layer ultrasonic transducers using
LiNbO3 single crystal, Proc. of Ultrasonics international
(UI05) and World Congress on Ultrasonics (WCU), vol. 44,
pp. e607-e611, Dec. 2006.
- 601 -
P3-27
Abstract
Dementia once occurred is known to be mostly
irreversible but can be treated only if it is detected
early. In addition, Dementia can be detected by
albumin level in urine test and predicted by Depression
in the elderly. In this paper we have developed
Dementia screening application (App) using urine-test
strip and Depression checking program in smart phone.
In particular, we present an innovative and still
experimental tool to support screening of Dementia by
means of urine test and depression symptom. If we
tried to forestall, ease and cure Dementia with the
proposed application, the social losses from Dementia
would be minimized as a result.
Keywords: Dementia Screening Application, Urinetest, Smartphone, Depression
1. Introduction
Dementia is a general term for a decline in mental
ability severe enough to interfere with daily life.
Memory loss is an example. Alzheimer's disease and
vascular Dementia are the most common type of
Dementia [1][2]. Lately, Dementia has caused a social
problem due to the rapid expanding of population
aging. However, if it is possible to detect the vascular
dementia in its early stage, the onset and progression of
Dementia could be prevented [3][4].
New study has found that low amounts of albumin
in the urine, strongly predict faster cognitive decline in
older women. Especially, group in which albumin was
detected in urine test revealed that scores of verbal
fluency test has dropped rapidly. Therefore,
incorporating information about albumin along with
kidney function should help clinicians identify patients
at high risk for Dementia [5][6]
Depression is also a common problem in older
adults. Unfortunately, all too many depressed seniors
fail to recognize the symptoms of depression and try to
cure them, but the depression can also occur as part of
medical problems such as Dementia [7][8]. Thus,
- 602 -
ICEIC 2015
(1)
(2)
= F DI + F CI
(F1=0.4, F 2=0.6)
(3)
Screening stages
Normal stage
Warning stage
Critical stage
- 603 -
ICEIC 2015
5. Acknowledgement
This research was supported by Basic Science
Research Program through the NRF funded by the
Ministry of Education, Science and ICT & Future
Planning (NO. 2012R1A1A1001704)
References
[1] K. H. Lee, C. Y. Kim, S. H. Kim, Diagnosis and
Treatment of Dementia, Journal of the Korean Physical
Therapy Science, Vol. 9, No. 3, pp. 171-178, 2002.
[2] H. Y. Jung, S. G. Kim, Y. H. Jun, Changes in Diagnosis
and Evaluation Method for Dementia : A Literature Review,
Psychiatry of the elderly Vol. 9, No. 2, pp. 94-101, 2005.
[3] J. H. Lee, Treatment of Vascular Dementia, Journal of
Neural Assoc., Vol. 21, No. 5, pp. 445-454, 2003.
[4] G. Waldemar, B. Dubois, and M. Emre,
Recommendations for the diagnosis and management of
Alzheimer's disease and other disorders associated with
dementia: EFNS guideline, European Journal of Neurology,
Vol. 14, No. 1, pp. 126, 2007.
[5]
http://www.eurekalert.org/pub_releases/2010-11/asonpit111010.php., American Society of Nephrology, accessed
Dec 2013.
- 604 -
P3-28
Abstract
A nondestructive characterization method is one of
the key issues for evaluating the damage to mechanical
and biomedical materials such as metallic solids and
tissues. In this presentation, we demonstrate a
noninvasive hybrid sensing method based on
optoacoustic wave, which can be applied to target
specimens using a pulse laser and ultrasound detection
in vivo to detect the positions of internal features.
Keywords: Acoustic Signal Processing; Biomedical
Electronics; Characterization; Sensors.
1. Introduction
Nondestructive matter and tissue evaluation
has been a critical issue in industrial and
biomedical applications for identifying specific
features in solid materials and biological tissues
such as internal damage and cancers, respectively.
In addition to ultrasonography and optical
imaging modalities such as diffuse optical
tomography and optical coherence tomography,
optoacoustic imaging can overcome shallow
imaging depth resulting from highly turbid media
as well as low image contrast due to speckle
artifacts [1-4]. Optoacoustic imaging involves the
detection of ultrasonic waves as pressure
converted from thermoelastic expansion by the
absorption of short-pulsed laser beams in the
target object [5-8]. The detection process can
either be performed based on the optical or
electrical domains. For instance, by using the
optical method for detecting ultrasound signals,
there is no issue with contact between the
specimen and the sensor as in traditional
sonography. Therefore, a minimally invasive
2. Experiment
A Q-switched pulsed laser based on Nd-YAG
with a variable repetition rate was employed in
this experiment as an illuminating light source.
The beam size had been reshaped to a point
source for excitation of the target where the laser
irradiation pulse energy was kept at
approximately a couple of mJ to prevent ablation
of the sample and to satisfy the related exposure
regulation. Instead of sensing the ultrasonic signal
generated by the transformation of heat or
thermal expansion due to the absorption of light
in the specimen, an optical interferometer was
used as a receiver so that the internal features or
displacement characteristics could be acquired in
an optical method without introducing bulky
conventional piezoelectric transducer components.
Thus, robust optical instrumentation is possible in
the design and alignment of tools for measuring
acoustic waves. Besides, integration with imaging
optics is also feasible for multi-modality
biomedical imaging such as optical coherence
tomography where the same type of Michelson
interferometer is utilized. Preliminary results are
shown in Fig. 1 where a sample structure with a
semi-solid gel is used as an optoacoustic phantom
matter to mimic biological tissue. Fig. 1(a) shows
- 605 -
ICEIC 2015
3. Conclusion
In summary, we have demonstrated a novel
nondestructive optoacoustic method that is a
hybrid sensing modality utilizing the merits of
optics and ultrasound to characterize materials.
As has been experimentally proven, we can
precisely determine the gap or displacement in
specimens using the proposed method. This
emerging modality of sensing and imaging can be
applied to both industry and biomedicine for
future use.
Acknowledgement
This work was supported by Samsung Research
Funding Center of Samsung Electronics under Project
Number SRFC-IT1301-02. Correspondence and
requests for materials should be addressed to Prof. JaeHo Han.
References
[1] S. Jiao, M. Jiang, J. Hu, A. Fawzi, Q. Zhou, K. K.
Shung, C. A. Puliafito, and H. F. Zhang,
Photoacoustic ophthalmoscopy for in vivo retinal
imaging, Opt. Express, vol. 18, no. 4, pp. 3967-3972,
2010.
[2] C. Li and L. V. Wang, Photoacoustic tomography
and sensing in biomedicine, Phys. Med. Biol., vol. 54,
pp. R59-R97, 2009.
[3] W. Wei, X. Li, Q. Zhou, K. K. Shung, Z. Chen,
Integrated ultrasound and photoacoustic probe for coregistered intravascular imaging, J. Biomed. Opt., vol.
16, 106001, 2011.
[4] X. L. Den-Ben and D. Razansky, Adding fifth
dimension to optoacoustic imaging: volumetric timeresolved spectrally enriched tomography, Light: Sci.
Appl., vol. 3, e137, 2014.
(a)
(b)
Fig.1 Results of optoacoustic sensing obtained from
a phantom: (a) photograph of the specimen; (b)
measured positions of the targets.
[5] G. E. Santagati and T. Melodia, Opto-ultrasonic
communications
for
wireless
intra-body
nanonetworks, Nano Commun. Netw., vol. 5, pp. 3-14,
2014.
[6] X. L. Den-Ben and D. Razansky, Functional
optoacoustic human angiography with handheld video
rate three dimensional scanner, Photoacoustics, vol. 1,
pp. 68-73, 2013.
[7] L. Nie, Z. Guo, L. V. Wang, Photoacoustic
tomography of monkey brain using virtual point
ultrasonic transducers, J. Biomed. Opt., vol. 16,
076005, 2011.
[8] C. Zhang, C. Li, L. V. Wang, Fast and Robust
Deconvolution-Based Image Reconstruction for
Photoacoustic Tomography in Circular Geometry:
Experimental Validation, IEEE Photon. J., vol. 2, pp.
57-66, 2010.
[9] G. S. Filonov, A. Krumholz, J. Xia, J. Yao, L. V.
Wang, and V. V. Verkhusha, Deep-Tissue
Photoacoustic Tomography of a Genetically Encoded
Near-Infrared Fluoresecnt Probe, Angew. Chem. Int.
Ed., vol. 51, pp. 1448-1451, 2012.
[10] D. Razansky, A. Buehler, and V. Ntziachristos,
Volumetric real-time multispectral optoacoustic
tomography of biomarkers, Nat. Protoc., vol. 6, pp.
1121-1129, 2011.
[11] L. Vionnet, J. Gateau, M. Schwarz, A. Buehler, V.
Ermolayev, and V. Ntziachristos, 24-MHz Scanner for
Optoacoustic Imaging of Skin and Burn, IEEE Trans.
Med. Imag., vol. 33, pp. 535-545, 2014.
[12] B. Wang, L. Xiang, M. S. Jiang, J. Yang, Q.
Zhang, P. R. Carney, and H. Jiang, Photoacoustic
tomography system for noninvasive real-time threedimensional imaging of epilepsy, Biomed. Opt.
Express, vol. 3, pp. 1427-1432, 2012.
- 606 -
P3-29
1. Introduction
Most flower segmentation algorithms need a
training process [1] from the image set or pointing part
of the object and background for detecting a flower
from a natural background. However this process is
inconvenient for the user. This paper proposes a flower
image segmentation algorithm which uses color
similarity to address this inconvenience. The proposed
algorithm utilizes the fact that a flower usually has an
obtrusive color. Using the difference between the
flower object color and the background color, it detects
the flower object from the background. In addition the
proposed algorithm works automatically without the
need for any training or pointing by the user.
2. Segmentation algorithm
- 607 -
(1)
ICEIC 2015
3. Experimental results
In this study, we experimented with a flower dataset
that contains 81 different flower species with 56 images
per category using the proposed algorithm. For
comparison of performance, we also used fixed
markers which are drawn mostly from the outer part of
a image and in the middle of the image. In Fig 3(a), the
rectangle outline is the background marker and the
circle is the object marker.
Parts of the experiment image are shown in, Figs.
2~4. Fig. 2 shows the original image for the input,
while Fig. 3 shows the fixed markers and output image
using the markers. Fig. 4 shows each markers selecting
process and output image using the proposed algorithm.
(a)
(b)
Fig. 3 (a) Fixed markers (b) Output image
(a)
Detection rate
(detect / total)
Proposed
algorithm
75.42%
(3421/4536)
(b)
(c)
(d)
Fig. 4 (a) Detected seeds (b) Base markers
(c) Extended markers (d) Output image
Using fixed
markers
72.20%
(3275/4536)
Acknowledgments
4. Conclusion
In this paper, we proposed a Flower image
segmentation algorithm based on color similarity which
can segment a flower without the need for training or
additional information from the user. The result of the
experiment using the proposed algorithm shows that
higher performance can be achieved than that using the
watershed algorithm that uses fixed markers. However,
in some cases in which the flower and background have
a similar color or the flower has more than two colors,
the detection rate is low. Another process which the
number of colors of the flower.
References
[1] Y. Chai, V. Lempitsky, and A. Zisserman, "Bicos: A bilevel co-segmentation method for image classification", In
Proc. ICCV, Nov. 2011.
[2] F. Y. Shih, and S. Cheng, "Automatic seeded region
growing for color image segmentation", Image Vis.
Computing, vol. 23, Issue 10, pp. 877-886. Sep. 2005.
[3] Chao-Yu Chi, and Shen-Chuan Tai, "Perceptual Color
Contrast based Watershed for Color Image Segmentation",
In: systems, man and cybernetics, 2006, SMC'06. IEEE
International Conference on, vol. 4, pp. 3548-3553. Oct.
2006.
- 608 -
P3-30
1. Introduction
These days, digital image processing techniques has
been developed dramatically. Therefore, even nonspecialist can easily manipulate image using common
image editing tool such as Photoshop. For this reason,
the image authentication has become an important
issue to prevent from misusing maliciously forged
images. One of the popular image manipulation
method is a copy-move forgery. In this forging method,
a part of an image is copied and is pasted to the other
part of the same image. The copied part is generally
rescaled or rotated before paste operation. This fact
makes it difficult to detect the forged regions.
Recent researches for detecting the copy-move
forgery focus on the rotating pasted regions [1-3]. A
copy-rotate-move detection method using the Zernike
moments was presented in 2013[1]. This method
requires various rotation-invariant transforms that can
increase the computational complexity. Davarzani et al.
reported a copy-move forgery detection using
multiresolution local binary patterns [2]. However, this
algorithm showed low copy-rotate-move rates. A
clustering based approach for detecting copy-rotatemove forgery was proposed using scale invariant
feature transform (SIFT) [3]. This method requires
high computational cost.
In this paper, we introduce a new copy-rotate-move
detection scheme using the compensation of the shifted
2. Proposed method
For digital images, a rotation operation causes
spatial location shifts of pixels shown in Fig. 1. Let
be a pixel value at a location
. It can be
changed its value as
and shifted its location as
by rotation.
and
is shifted position and position, respectively. The
shifted position is ranged by
.
This effect makes it difficult to detect the rotated
blocks.
In this paper, we generate various rotated and
shifting compensated images to detection copy-rotatemoved blocks of an image. For a given suspicious
image , we obtain rotated images according to the
rotation angle . That is,
(1)
where
is a rotated version of ,
is an angle
segment, and is the number of rotated images. For
each , we generate
shift compensated images as
follows.
- 609 -
ICEIC 2015
Fig. 2. Top: Forged image with rotation angle (b) 0 (c) 10 (d) 30 (e) 45 (f) 90, Middle: detection results
using SIFT-based method [3], Bottom: detection results using the proposed method.
(2)
where
and
is uniformly quantized value of
and
. In this paper, we set a quantization
level as . Therefore,
shift compensated images are
generated. Finally, for a given image , totally
images are obtained to detect copy-rotate-move blocks.
To find copy-rotate-moved points, we define two
matching index
and
. If a
,
pixel
assumed to be moved to
then both
and
is set to 1.
Otherwise, both
and
is set to
0. The values of
and
are
obtained by using Jaccard similarity. It is a statistic
used for comparing the similarity and diversity of
sample sets. The Jaccard similarity between finite
sample sets, and is defined as the size of the
intersection divided by the size of the union of the
sample sets as follows.
,
(3)
where and
is a overlapped
block of and
, respectively. In this paper, we determine two blocks
are matched when
. That is, if
seven pixels of nine pixels are equal, the pixel is
considered to be moved. As the last step, we choose
the one of the pair of
and
with the maximum matching points.
3. Experimental Results
To verify our proposed method, we generate 16
copy-rotate-move forged images with different rotation
angles. For obtaining , we use
. This means
4. Conclusion
In this paper, we introduced a new copy-rotatemove forgery detection method using the
compensation of the shifted pixel locations due to
rotation. Our method showed good detection results.
The demerit of the proposed algorithm is that the
computational cost is high due to various versions of a
suspicious image. Our next step is to reduce
computational cost.
References
[1] S. J. Ryu, M. Kirchner, M. J. Lee, and H. K. Lee,
"Rotation invariant localization of duplicated image
regions based on Zernike moments",
IEEE
Transactions on Information Forensics and Security,
vol. 8, no. 8, pp. 1355-1370, 2013.
[2] R. Davarzani, K. Yaghmaie, S. Mozaffari , and M.
Tapak, "Copy-move forgery detection using
multiresolution local binary patterns", Forensic
Science International, vol. 231, pp. 6172, 2013.
[3] Amerini, Irene, et al., "Copy-move forgery
detection and localization by means of robust
clustering with J-Linkage", Signal Processing: Image
Communication, vol. 28, no. 6, pp. 659-669, 2013.
- 610 -
P3-31
1. Introduction
One of the important areas in computer vision is the
feature detection. Many researchers have done a great
deal of efforts to solve this problem like the detection
of leukocytes particularly[1]. Also, dynamic analysis
of the behaviours of blood cells and blood vessels is
one of the very important topics in the physiology
research field. In order to study the flow dynamics of
leukocytes and the interaction of leukocytes and blood
vessel walls it is necessary to measure the velocity and
the flux of leukocytes[2,3]. However, in the
conventional research, such measurement methods
have been performed manually like counting the
number of video frames. Therefore, the accuracy of the
measurement and the amount of data to be collected
have been limited. The aim of this research is
especially to detect the motions of leukocytes that
adhere to a microvessel walls. Although several image
processing systems have been developed for the
measurement of erythrocyte velocity, platelet adhesion,
and arteriolar vasomotion[4], these systems use simple
image processing techniques such as differential
operation, frame subtraction, and edge detection.
.
Where,
and
is
frame of the sequence of images,
( )
. We try to detect a
blood vessel region[7] by simply thresholding the time
variance image,
. Fig. 2 shows the detection
result of a blood vessel region.
- 611 -
ICEIC 2015
The output image of the orientation-selective filter
was thresholded and skeletonized. We experimented by
using three different threshold values. We show the
result images of thresholding and skeletonizing in the
(a) series and (b) series of Fig. (7) through Fig. (9), respectively.
Fig. 2. Detection of a blood vessel region
3. Experimental results
Fig. 3 shows examples of generated spatiotemporal
images. The horizontal axis is the spatial axis.
4. Conclusions
References
[3] H. Komatsu, A. Koo, and P. H. Guth, Leukocyte
flow dynamics in the rat liver microcirculation,
Microvascular Research, Vol.19, pp.45-70, 1990.
- 612 -
P3-32
&%&$" !#$
, &
& &
*
$+%!
*2-
-!,*& ''
- *
$3%! 4 " ' *
* ! ,
- *
&**&*!
"$
#
!!! !!!
4!"*
, * 567*8
-5"8!
5"8
9 ' -* -
! 9 '
58 ! 4 +
'&! ,
3.!
- 613 -
ICEIC 2015
%
%
'%
&%
4!1 *-*'!,
*-*&
!,*.-*'
.-*
!
(&) (') (-)
0
0
//&/'0%
//&/'0%
//&/'0%
//&/'0%
!!!
//&/'0%
//&/'0%
!!!
!!!
!!!
!!!
!!!
//&/'0%
//&/'0%
//&/'0%
//&/'0%
'0%
!!!
3
(&2 ')0%
(2 ')0%
2
3
3
//&/'0%
&0%
(2 )0%
//&/'0%
//&/'0%
!!!
0
0%
//&/'0%
2
//&/'0%
(2 )0%
0%
//&/'0%
2
2
0%
0%
3
/0%
4!1
*-*
4!3# .-*!
43' *
.-* ! 4 3 ' & 3
'*&+
' +
'- '*&1
!;.
- ! ,
*2-5685+8!
5
,''**-<
,-&*5"==3"?>@8
-
-'A-5
A 8!
$"% * ! B<&- , #
; )
&!? ? **!33".3?? +="+!
$+%( !
!:B;*
* ) AAA
5+8
*(; +=="
$1%
' 4! : B<. / **)
<EE;F (!"D518 **!+=C.+"+ "@D3!
&4
# **
$3% F!!9! G B< <0 ;
*&*!<
) *; +==C!
- 614 -
P3-33
1
Theorem 1: Suppose (PT ) k1 P is of full rank, then
for all k > 1 and under the limiting case of 0,
1
1
1
= (PT ) k1 P
(PT ) k1 y
(2)
is the global minimizer of
min y Pkk .
(3)
I.
I NTRODUCTION
The Weierstrasss approximation theory states that polynomials can approximate any continuous function on a closed
and bounded interval to any degree of accuracy. However,
on top of the commonly encountered heavy computational
requirement, the large number of polynomial expansion terms
arising from high dimensional systems and high model orders
often gives rise to an under-determined system when the
number of training samples is small [2].
In this work, we attempt to handle the resulting over- and
under-determined systems through coefcient stretching [3]. A
novel solution in primal and dual closed-forms are proposed
to stretch the regression beyond existing frameworks. Our
contributions of this work include: (i) proposal of a smooth
and closed-form stretchy regression for stretcheable learning;
and (ii) proposal of an input transformation to facilitate
computation of power roots which further stretches possible
compressive learning.
II.
D
1/k
f (i )k
(1)
i=0
where f (i ) = + i2 + , > 0.
In order to stretch the learning parameters beyond existing
2 -norm, we seek to minimize y Pkk with respect to
with the hope of possible sparsity when k 1. We will need
the following notations for our subsequent development.
Denote the Hadamard product between vectors a Rp
and b Rp as a b. Also, by denoting the elementwise
operation using , we write respectively the elementwise
power of matrix/vector as Ak /bk and the elementwise
partial derivative of a wrt b as a/b. We are now ready to
derive a deterministic solution for the minimization problem.
Proof:
k/4
k/4 T
Let e := [ (y1 pT1 )2 +
, ..., (ym pTm )2 +
] ,
then we can write y Pk = (eT e)1/k and
y Pkk = eT e.
Take the rst derivative of the cost function y Pkk
and set it to zero gives:
T
e e = 0
k2 1
= 0.
kPT (y P) (y P)2 +
Under the limiting case of 0, we have
PT (y P)
k 1
0.
- 615 -
ICEIC 2015
B. Dexter data
(7)
dexter
1.4
III.
E XPERIMENTS
1.2
1
0.8
0.6
0.4
0.2
0
0.2
0.4
0
A. Synthetic Data
0.5
1.5
2
4
x 10
IV.
C ONCLUSION
ACKNOWLEDGMENT
Class1 data
Class2 data
Stretchy Polynomial (3rdorder)
0.25
0.2
0.15
0.1
0.05
0.05
0.1
0.15
0.2
0.25
0.3
Fig. 1. Decision boundaries (at threshold levels {1, 0.5, 0, 0.5, 1}) of a
3rd-order polynomial model learned from 4 overlapping data points at different
k = 1.2
R EFERENCES
[1]
order=3, datasize=4
100
:1
:x
0
1
[2]
2:x2
100
:x
:x2
:x2
3
4
5
200
:x2x
:x
:x3
:x3
6
7
8
300
1
1
x2
1
2
[3]
400
500
1.75
1.5
k value
1.3
Fig. 2.
Estimated coefcient values versus
(k {2, 1.75, 1.5, 1.3, 1.15}) based on 4 training data samples
1.15
value
- 616 -
ICEIC 2015
Poster Session
PS-4
Telecommunications
P4-01
Chanho Yoon
Electronics and Telecommunications
Research Institute
chyoon@etri.re.kr
Abstract
With a huge and rapid growth of wireless traffic
along with the tremendous spreading of smart-phone
usage and the generalization of internet services,
future wireless networks are facing a challenge to
achieve thousand times of capacity enhancement.
Enhancing the wireless network capacity up to
thousand times order will be achievable through some
of potential next generation network technologies
including ultra dense network(UDN). This paper
addresses some fundamental issues on the capacity
enhancement in UDN. The capacity enhancement
factors for UDN will be introduced, while their
impacts on the system capacity or user data rates and
some of related approaches are tentatively addressed.
Keywords: future network, ultra dense network,
capacity enhancement factor, areal capacity
1. Introduction
2. UDN
- 618 -
ICEIC 2015
some related factors, introduced in the next section.
capacity
C. Mobility management
To provide seamless services for mobile users, the
mobility management over the neighboring cells
should be supported in wireless networks. More users
and cells mean that the number of users requiring this
service as well as the number of cells exchanging the
signal and consumes more power and communication
links for mobility management, which may degrade the
system capacity. That is, the dense network can be a
good solution to extend the service coverage with high
data rate services, but it also may require more signals
and powers for seamless high data rate services
maintain the outage to be less than a certain level.
D. Interference management and link adaptation
As the network densification extends its area, the
interference problem become more critical due to the
close placement between cells and users, so
interference mitigation schemes are required.
Employing the traditional mechanisms such as fraction
frequency reuse (FFR) and inter-cell interference
coordination (ICIC) can be considered but it may not
so properly working in the dense and dynamic network
scenarios, so a careful examination on this and more
advanced schemes of interference management will be
required for some cases of UDN. Moreover, it is
important to provide LOS link conditions for high data
rate services. In the cases of NLOS condition or
abruptly varying conditions to NLOS from LOS due to
the obstacles, a fast link recovery to LOS link is
required to provide the service stably. Therefore, the
channel conditions and the link adaptation for LOS
communications need to be considered.
E. Multilayer/RAT co-existence
Dense networks are likely to encompass various
types of radio access technologies, so the scenarios of
UDN need to consider multi-layer/RAT environments
of small cells operating with macrocells, Wi-Fi, or both.
In this scenario, there are similar issues for the capacity
arising from the aspects of their distributions,
interference management, spectrum sharing, and
mobility management. Moreover, C/U plane splitting
becomes an issue of the next generation wireless
network design, by which the multi-layer/RAT
- 619 -
ICEIC 2015
coordination for interference management, backhauling,
4. Conclusion
This paper briefly addressed the role of UDN to the
next generation wireless networks and the capacity
enhancement factors of UDN. The thousand times
improvement in the wireless network capacity will be
achievable with the development of core technologies
of UDN. Our further works can be extended with more
detailed analyses on the impact of the capacity
enhancement factors to the capacity of UDN as well as
on the technical solutions regarding the issues.
ACKNOWLEDGEMENT
This work was supported by the ICT R&D program
of MSIP/IITP. [14-000-04-001, Development of 5G
Mobile Communication Technologies for Hyperconnected smart services]
Fig. 3 Capacity enhancement factors of UDN
References
- 620 -
P4-02
Design of optical delay line architecture for automotive radar test system
Byung-Hee Son, Kwang-Jin Kim, Ye Li, and Young-Wan Choi
School of Electrical and Electronics Engineering, Chung-Ang University, 221 Heuksuk-Dong,
Dongjak-Gu, Seoul, 156-756, Korea
yhcoi@cau.ac.kr
Abstract
An optical delay line is designed and demonstrated
for automotive radar test system. Since the system is
designed with the optical fiber instead of the coaxial
cable, it has low loss and broadband characteristics.
The experimental results show that the value of S21
flatness is about 1.6 dB and the delay time is exactly
correct from 32 to 512 ns.
Keywords: Fiber optic delay line, automotive radar
test system, optical transceiver.
1. Introduction
Recently, automotive radar that is mounted on the
vehicle at various points (front, side, back) is studied as
a key element for the next generation intelligent
vehicles and intelligent transport system (ITS) [1]. In
accordance with each countrys reinforcement of
regulation for reducing the costs caused by vehicle
accidents, the importance of the anti-collision safety
system is growing. Accordingly, the demand of radar
test system (RTS) is also increased. In the RTS, the
most important part is the delay time generator for
accurate relative distance measurements. The coaxial
RF input
S/W
(1:2)
S/W
(2:1)
S/W
(1:2)
S/W
(2:1)
delay
line
delay
line
32 nsec
64 nsec
S/W
(1:2)
S/W
(2:1)
S/W
(1:2)
delay
line
128 nsec
S/W
(2:1)
S/W
(1:2)
S/W
(2:1)
delay
line
delay
line
256 nsec
512 nsec
Optical fiber
Laser diode
S/W
(1:2)
: Microwave Switch
delay
line
- 621 -
Photodiode
-Amp.
RF
output
ICEIC 2015
4. Conclusions
3. Experimental results
As shown in Fig.1, the RF input signal is modulated
to LD directly. The input frequency is from 3.7 GHz to
4.7 GHz that is intermediate frequency, and the RF
input power is 0 dBm. LD and PD module is set the
optimized condition before performing the experiments.
It was confirmed that the LD has the optimal
performance when the input current is 17 mA.
0
-10
dB [S(2,1)]
$FNQRZOHGJHPHQW
-5
-15
-20
-25
-30
-35
32ns
64ns
128ns
256ns
References
512ns
-40
3.7 3.8 3.9 4.0 4.1 4.2 4.3 4.4 4.5 4.6 4.7
Frequency [GHz]
32ns
64ns
128ns
256ns
512ns
400
300
200
100
0
3.7 3.8 3.9 4.0 4.1 4.2 4.3 4.4 4.5 4.6 4.7
Frequency [GHz]
- 622 -
P4-03
Abstract
The paper proposes a novel video transmission
protocol that applies JPEG compression algorithm.
The protocol is designed to be superior over the
MJPEG compression scheme. In our scheme, video
frames are divided into blocks and every block is
separately compressed using JPEG algorithm. Thus, in
comparison to MJPEG, our scheme does not drop the
whole frame in case of lost packets. We also do not
include JPEG header in each packet, thus significantly
reducing the transmission overhead. The other
advantage of the proposed protocol is content aware
transmission. Every packet carries the compression
parameter that is set by the sender based on the
importance of an image patch that packet carries.
Keywords: Content-aware compression, MJPEG,
video transmission
1. Introduction
The wide spread of video codecs with temporal
compression such, as H.264 [1] or generally MPEG4
family is associated with high compression ratios and
low compression artifacts. However, there are a
number of use-case scenarios when video codecs
without inter-frame predictive coding are preferable.
The most common codec where each video frame is
separately compressed is MJPEG [2]. One of the
advantages of the MJPEG codec is more consistent
packet size and thus required bandwidth does not vary
regardless of the video content. MJPEG guaranties the
quality of each video frame. On the other hand, lost
packets in H.264 transmission will lead to corruption
of a number of consecutive frames.
Unlike H.264, MJPEG codec is more robust to lost
packets. If a packet carrying JPEG data is lost, only
one, corresponding frame is rejected.
In this short communication we propose to further
improve robustness to lost packets. The proposed
transmission scheme does not reject the whole frame in
2. Protocol description
In the proposed scheme, the sender divides each
frame into non-overlapping blocks, adds header
information and then sends this datagram to the
channel as a part of an RTP packet. The header
occupies 32 bits and consists of the three fields (fig. 1):
a sequence number (22 bits), compression parameters
(7 bits) and a block size (3 bits). The header is
followed by a blocks payload.
10!
3
0
31!
RTP!header!
Sequence!
number!
Compression!
parameters!
Block!
size!
JPEG!scans!
- 623 -
ICEIC 2015
Every block is compressed independently and
contains enough information to be decoded. Thus lost
blocks will not affect any other blocks of the frame.
The payload of each block contains only JPEG
scans (fig. 2); no quantization parameters, image size
nor other information is transmitted.
3. Experimental results
We conducted two experiments. In the first
experiment all blocks were compressed with the same
level of compression. The goal of the experiment was
to see how PSNR changes with different compression
levels and compare it to PSNR of the original JPEG
compression (Table 1). The dimensions of the video
frame used in the experiment are 640x480 that
corresponds to uncompressed image size of 900
Kbytes. We selected block size of 32 x 32 pixels.
Table 1: Comparison JPEG and block-based JPEG
q
Compr.
Compr. PSNR PSNR
size (prop.),Kb size,Kb (prop.)
100
162.4
161
48.2
48.3
80
28.9
25.2
41.8
41.9
60
20.6
16.8
39.7
39.8
40
17.2
13.4
38.3
38.4
20
13.9
10
35.4
35.5
10
11.8
8
31.3
31.4
The parameter q defines the quality of the
compressed image. Higher values of q correspond to
better image quality. The qualities in terms of PSNR of
the compressed images are the same, however the size
of the original JPEG compressed image is slightly
smaller, especially for lower values of q. This is the
4. Conclusion
In this paper we have proposed to use JPEG
compression for every image block with our own
simplified header instead of transmitting the whole
JPEG header. In such way the transmission scheme is
robust to packet loss and every block can have its own
compression level based on the importance of the
region.
References
[1] T. Wiegand, G.J. Sullivan, G. Bjontegaard, A. Luthra,
Overview of the H.264/AVC video coding standard,
IEEE Transactions on Circuits and Systems for Video
Technology, vol.13, no.7, (2003), 560 - 576.
[2] L. Berc, W. Fenner, R. Frederick, S. McCanne, P.
Stewart, Request for Comments: 2435: RTP Payload
Format for JPEG-compressed Video.
[3] Artem Lenskiy and Soonuk Seol, Video transmission
using multi-level content aware compression based on
object detection, Contemporary Engineering Sciences, to
be appear, 2014
- 624 -
P4-04
1. Introduction
The concept of a left handed (LH) metamaterial was
first proposed by Veselago in 1968, who investigated
the electrodynamics of hypothetical materials with
negative permittivity and permeability [1]. When the
LH metamaterial is realized in a transmission line (TL),
a parasitic right-handed (RH) is unavoidable. Therefore,
a composite right/left-handed (CRLH) TL provides LH
characteristics as well as RH characteristics at higher
and lower frequencies, respectively [2]. The CRLH TL
has been applied into a backward-wave directional
couplers (Caloz et al., 2004), tunable radiation angle
and beamwidth antennas (Lim et al., 2004), zerothorder resonator antennas (Sanada et al., 2004) etc. In
this paper, we propose the micromachined composite
right/left-handed (CRLH) unit cell using the gold
coated silicon. Gold is coated on the top surface of
silicon.
(a)
(b)
Figure 1. (a) Proposed CRLH unit cell and (b) side
view of the model of CRLH unit cell.
3. Acknowledgement
This work was supported by National Research
Foundation of Korea (NRF) grant funded by the Korea
government (MSIP) (NRF - 2012R1A2A2A01011017).
4. References
[1] V. Veselago, The electrodynamics of substances with
simultaneously negative values of and , Sov. Phys.-Usp.,
vol. 10, pp. 509-514, Jan.-Feb. 1968.
[2] A. Sanada, C. Caloz, and T. Itoh, Characteristics of the
composite right/left-handed transmission lines, IEEE
Microw. Wireless Compon. Lett., vol. 14, no. 2, pp. 68-70,
Feb. 2004.
- 625 -
P4-05
1. Introduction
In the wireless communication networks, different
types of services are available. Each service class is
mapped to the quality of service (QoS) requirements
according to their characteristics. Especially, the delay
performance is very important to guarantee the QoS
requirements for real-time services. Table I represents
the delay requirements for each service class based on
packet delay budget and packet error loss rate between
end terminals [1].
To satisfy the delay requirements for users, it is
important to improve the reliability of packet
transmission, and so far, various methods have been
proposed for this purpose [2], [3]. A cross-layered
retransmission scheme, which is a method for
improving the transmission performance of a packet
over a wireless channel, is a retransmission scheme
2. Performance analysis
2.1 System model
To analyze the end-to-end delay performance, we
define the system structure as shown in Fig. 1. The
HARQ type II and the stop-and-wait ARQ are used at
the PHY layer and the data link layer, respectively.
The transmission control protocol (TCP) Reno is used
for transmission control of a segment at the transport
layer [4]. Also, we adopt the Rayleigh fading channel
model as wireless channel model and the cross-layer
retransmission schemes as reliable transmission
protocols [5], [6].
Delay requirements
<150 ms preferred
<400 ms limited
<200 ms
<2 s preferred
<4 s acceptable
TCP Reno
Transport
Layer
Data Link
Layer
PHY Layer
HARQ Type II
Data Link
Layer
PHY Layer
Transport
Layer
Backbone
network
Data Link
Layer
PHY Layer
AMC
(Feedback Channel)
Transmitter
BS
- 626 -
Server
ICEIC 2015
280
HARQ+AMC
ARQ+AMC
260
240
220
4. Conclusion
200
180
160
140
120
100
0
10
15
20
25
30
Average SNR(dB)
(1)
1 PER
Nt
(2)
1 PER
where PER and N t denote the average PER of a TCP
packet after finishing retransmission procedures and
the maximum number of transmissions of a TCP
packet.
3. Evaluation results
We evaluate the average transmission delay
between end terminals until successful delivery of a
TCP packet. We assume that the delay offset of an
HARQ downlink acknowledgement packet is used for
calculating average transmission delay of a TCP
segment at the transport layer and its value is one
frame long.
Figure 2 represents the average transmission delay
at the transport layer. The average transmission delay
of the AMC combined with HARQ is lower than that
of ARQ at an average SNR of lower than 14 dB.
Because the HARQ uses soft combining to recover the
retransmitted packet, the system can achieve
combining gain. In the low SNR region, the soft
Acknowledgement
This work has been supported by National GNSS
Research Center program of Defense Acquisition
Program Administration and Agency for Defense
Development.
References
[1] ITU-T Recommendation G. 1010, End-user multimedia
QoS categories, 2001.
[2] W. Hong, Y. ShuYa, H. Ning, and Z. Liang, A Crosslayer Design Combining Method of AMC with HARQ based
on LDPC Codes, in Proc. ICCCAS 2008, Xiamen, China,
pp. 25-27, May 2008.
[3] Aniba G and Aissa S, Cross-layer Designed Adaptive
Modulation Algorithm with Packet Combining and
Truncated ARQ over MIMO Nakagami Fading Channels,
IEEE Trans. Wirel. Commun., vol. 10, no. 4, pp. 1026-1031,
Apr. 2011.
[4] W. Stevens, TCP Slow Start, Congestion Avoidance, Fast
Retransmit, and Fast Recovery Algorithms, IETF RFC 2001,
1997.
[5] Q. Liu, S. Zhou, and G. Giannakis, Cross-layer
Combining of Adaptive Modulation and Coding with
Truncated ARQ over Wireless Links, IEEE Trans. Wirel.
Commun., vol. 3, no. 5, pp. 1746-1755, Sep. 2004.
[6] D. Wu and S. Ci, Cross-layer Combination of Hybrid
ARQ with Adaptive Modulation and Coding for QoS
Provisioning in Wireless Data Networks, in Proc.
IEEE/ACMQShine06, Waterloo, Canada, vol. 191, pp. 1-9,
Aug. 2006.
- 627 -
P4-06
1. Introduction
In recent years, mobile devices equipped with
multi-network adapters have come into wide use.
Moreover, various commercial mobile communication
networks have come to coexist. As a result, multiple
paths for single or multiple flows for a service can be
simultaneously exploited. Multipath communications
have the obvious benefits of high reliability and the
ability to achieve high throughput. Furthermore,
multipath communications lead to more efficient use of
networked resources. Such advantages lead us to study
multipath communication schemes [1], [2].
Conventional methods used to split data into
multipath sub-flows are categorized as either a
diversity transport mode (DTM) or multiplexing
transport mode (MTM). In DTM, the sender transports
the same packet over multiple paths to ensure
reliability, which in turn, reduces the packet error rate
(PER). However, similar data rates between multiple
paths are required to achieve diversity gain. Therefore,
the data rate of DTM is restricted by the lowest data
rate among multiple paths. In contrast, MTM does not
require symmetric data rates for multiple paths to attain
- 628 -
ICEIC 2015
3. Numerical Results
Figure 2 shows the TCP throughput as a function of
the MAC layer PER, p, and . Here, is the DTM
portion of the link that has the minimum data rate. As
becomes smaller, the data rate of MTM increases.
On the other hand, as becomes larger, DTM attains
a larger data rate. Thus, MTM is used exclusively
when is 0, and DTM is used exclusively when is 1.
The total throughput of HTM is divided into the
throughput of DTM and MTM. The TCP throughput,
which considers the low-layer link condition, is
related to the PER and throughput of the MAC
protocol layer. Based on the TCP throughput, the total
throughput of HTM can be analyzed by the behavior
of the diversity and multiplexing transport modes.
DTM prevents a decrease in the TCP CWND size by
reducing the PER. Consequently, this leads to an
increase in the TCP throughput. On the other hand,
MTM reduces the TCP CWND size; however, it
increases the TCP throughput by transmitting different
packets on different paths simultaneously. As a result,
MTM has a larger throughput gain than DTM in the
low PER region. Meanwhile, DTM increases the TCP
throughput more than MTM in the high PER region.
Thus, the amount of gain obtained by each mode is
different according to the communication link
condition. This suggests that the efficiency of
4. Conclusion
We proposed a hybrid transport for multipath
communication. The HTM uses both diversity and
multiplexing transport modes simultaneously. We
found that HTM attains the maximum TCP
throughput when the usage ratio of the diversity and
multiplexing transport modes is modified. Finally,
HTM achieved not only the maximum throughput but
also minimized the PER at the connection level. Thus,
the proposed scheme can be exploited to guarantee
quality of experience (QoE) by service type.
Acknowledgement
This research was supported by the National Research
Foundation of Korea (NRF) grant funded by the Korea
government (MSIP) (No. 2013R1A2A1A01016423) and (No.
NRF-2014R1A2A2A01002321)
References
- 629 -
P4-07
1. Introduction
Acknowledgement
References
- 630 -
P4-08
Abstract
Satellite
R-ACK
Application (FTP)
Application (FTP)
FEC Framework
FEC Framework
FEC
Generation
Constant
TX Rate
FEC
Generation
UDP
Constant
TX Rate
UDP
IP
IP
MAC
MAC
PHY
1. Introduction
Ground
Station
PHY
SOTM Link
2. Proposed Mechanism
The system mode is composed of a SOTM terminal,
a satellite, and a ground station as shown in Fig. 1. All
AL-FEC packets generated from the FEC framework
are transmitted to the user datagram protocol (UDP)
layer by constant transmission rate. In the link layer, we
assume that the satellite resource is enough to transmit
a file. The detailed procedures of the proposed
mechanism in the sender and receiver are as follows.
- 631 -
ICEIC 2015
TCP Reno
AL-FEC
0.8
Goodput (Mbit/sec.)
0.6
0.4
0.2
0
4
5
File size
TCP Reno
AL-FEC
6000
4000
2000
0
9
x 10
8000
4
5
File size
9
x 10
3. Performance Evaluation
In the performance analysis, we compare the
performance of the proposed AL-FEC mechanism with
that of TCP Reno [5]. We have implemented an eventdriven simulator in MATLAB. Channel blockage
statistics are based on the measurements in the field test
[2]. For SOTM nodes, fixed resources are allocated
from NCC. We consider city environment. Figs 2 and 3
show the average goodput and the resource usage time
in the SOTM environment. It is shown that the
Acknowledgments
This research was supported by the National Research
Foundation of Korea (NRF) grant funded by the Korea
government (MSIP) (No. 2013R1A2A1A01016423) and
National GNSS Research Center program of Defense
Acquisition Program Administration and Agency for Defense
Development.
References
[1] W. M. Smith, Channel characterization and modeling
for satellite communications on the move in Proc. 2005
IEEE MILCOM, pp. 821 827.
[2] M. Luby, Raptor codes: Algorithms and applications,
in Proc. IEEE ICNC (Qualcomm Distinguished Lectures),
2012.
[3] Digital video broadcasting (dvb);upper layer fec for dvb
systems, ETSI TR 102 993, pp. 189, 2011.
[4] M. Luglio, C. Roseti, and F. Zampognaro, Performance
evaluation of TCP-based applications over DVB-RCS
DAMA schemes, International Journal of Satellite
Communications and Networking, vol. 27, pp. 163-191,
2009.
[5] M. Allman, V. Paxson, and W. Stevens, TCP congestion
control, RFC 2581, 1999.
- 632 -
P4-09
1. Introduction
In the LTE standard [1], the X2 interface is
specified for exchanging the Relative Narrowband
Transmit Power (RNTP) indicator for ICIC
information. This RNTP indicator takes a value of 0 or
1 and is transmitted to adjacent base stations for each
resource block (RB). This method has a difficulty of
performing precise power allocation according to the
channel state of mobile stations.
Recently, in order to improve the performance of
the conventional ICIC using the RNTP indicator, a new
ICIC framework was proposed in [2] introducing the
intended energy distribution (IED) indicator instead of
the conventional RNTP indicator. The IED is a Kdimensional vector quantized according to predetermined N IED types and its index is exchanged
between adjacent base stations. Here, K is the number
of RBs. However, it may lead to high computational
complexity to find the proper IED index from the IED
type table. The number of IED types, N, is
exponentially increased by the formula N 2B (or
B log 2 N ) where B is the number of bits allocated
for the ICIC indicator. Then, the computational
complexity at the base station is also exponentially
increased.
- 633 -
ICEIC 2015
part, and the complexity is exponentially decreased by
two to the B2s times. Figure 1 shows the block diagram
of the IED type-making algorithm and this is an
application of the well-known Linde-Buzo-Gray (LBG)
vector-quantization (VQ) design algorithm and LloydMax algorithm [3]. The LBG VQ and Lloyd-Max
algorithms are performed to derive the optimal NIED
types and their quantization levels of the scaling factor,
respectively. In Figure 2, we show a more detailed
description of Lloyd-Max quantization for a scaling
factor. Finally, an indicator-making procedure using
the derived NIED and scaling factor types is described
in Figure 3. The notations related to the block diagrams
in Figures 1 ~ 3 are as follows:
References
[1] Evolved Universal Terrestrial Radio Access (E-
- 634 -
P4-10
1. Introduction
2. Basic idea
Abstract
Recent advanced in wireless sensor networks had
let to many new research. Wireless sensor networks
are large gathering of sensor nodes with limited
resource. Due to limited resource and limited
communication range, efficient routing is the central
issue to design wireless sensor networks. We propose
location-based
routing
protocol
for
border
surveillance system. Our proposal method is enhanced
GPSR.
3. Proposed method
- 635 -
ICEIC 2015
b
V
U
void
Y
Z
X
Z
4. Performance evaluation
A. Shorter path length
Since our scheme makes use of 2-hop neighbors'
location information, it can provide better routing path.
B. Smaller void occurrence probability
Since a closer node to the destination exists out of
2-hop neighbor of a sender, our scheme reduces the
probability of void occurrence.
C. Low energy consumption
Our scheme uses the same number of beacons as
GPSR. However, the beacon message has more
information. But, since our scheme provides shorter
path and smaller void occurrence, our scheme will be
more energy efficient.
5. Conclusion
Our proposal method is finding a closer node to the
destination out of 2-hop neighbors of a sender. Our
proposal method shows a better performance than
GPSR in terms of routing path selection and void
problem. Especially, in Border surveillance WSN, our
proposal method is efficient because it uses smaller
number of hops to the destination.
Acknowledge
This work was supported by the National Research
Foundation of Korea (NRF) grant funded by Korea
government (No. NRF-2012K1A3A1A09026959).
References
[1] I. F. Akyildiz et al., Wireless sensor networks: a survey,
Computer Networks, Vol. 38, pp. 393-422, March 2002.
[2] B. Karp and H. Kung, GPSR: Greedy perimeter stateless
routing for wireless networks, in Proc. MobiCom, 2000, pp.
243254.
- 636 -
P4-11
1. Introduction
We propose a near control technology using high
frequencies based on the internal microphones and
speakers of smart devices. High frequencies are sound
signals which most people cannot hear and are between
18 kHz and 22 kHz of the audible frequency range. We
use these high frequencies as control signals. Earlier
research using high frequencies applied ultrasonic
waves used by bats for measuring the distance to
objects or finding obstacles, and most researchers
studied tracing the position of people in indoor
environments using high frequencies [1, 2]. Bihler
named high frequencies ultrasound waves and used
them to trigger signals for data transmission to smart
devices [3]. In this paper, we seek to improve the high
frequencies of Bihler and to apply them as control
signals between smart devices at a near distance. The
high frequencies used by Bihler could introduce errors
from sounds in the environment, so we protected
against error generation by using two high frequencies:
the first to control and the second to end it control
signal. The first uses a changeable frequency in the 18
22 kHz range, while the second uses a fixed frequency.
To evaluate the performance of the proposed method,
we developed a music remote control and music player
applications to which we applied the proposed highfrequency method. We conducted a control experiment
18kHz 0.0
0.1
0.2
0.3
19kHz 0.0
0.4
0.5
18kHz 0.0
20kHz
0.6
19kHz 0.0
0.7
20kHz
Noise
0.2
0.3
0.4
0.5
Control
0.6
0.7
0.8
0.9
1 seconds
Noise
0.8
0.9
1 seconds
Control
- 637 -
ICEIC 2015
Stop
Next
Count
100
95
90
85
80
1m
2m
3m
Distance
4m
5m
4. Conclusion
In this paper, we have shown that the proposed method
can effectively control smart devices at a close range without
a socket server or pairing. This method can easily use any
OS, even if the smart devices have different OS. Therefore,
the proposed high-frequency method can be a useful
technology in networking fields, such as near wireless
communications and near control between smart devices.
Acknowledgment
This research was supported in part by MSIP and MOE, Korean
government, under IT R&D Program[10041244, SmartTV 2.0
Software Platform] through KEIT and Basic Science Research
Program(NRF-2013R1A1A2061478), respectively.
(a)
(b)
(c)
Figure 3. Applications used in the proposed method: (a)
Remote application, (b) Player application when a song
stops, and (c) Screen when a song is playing
The toggle button (), which starts and stops songs
(Fig. 3(a)), uses 19 kHz as the first high frequency and
18 kHz as the second. The next button () uses 20
kHz as the first high frequency and 18 kHz as the
second. We use 0.2 s as m seconds of the first high
frequency and 0.2 s as n seconds of second. The music
References
[1] V. Filonenko, C. Cullen, and J. Carswell, Investigating
ultrasonic positioning on mobile phones, 2010 International
Conference on Indoor Positioning and Indoor Navigation,
IEEE, pp. 1-8, September 2010.
[2] V. Filonenko, C. Cullen, and J. Carswell, Asynchronous
ultrasonic trilateration for indoor positioning of mobile
phones, Web and Wireless Geographical Information
Systems, Springer Berlin Heidelberg, pp. 33-46, April 2012.
[3] P. Bihler, P. Imhoff, and A.B. Cremers, SmartGuideA
smartphone museum guide with ultrasound control,
Procedia Computer Science, 5, pp. 586-592, April 2011.
- 638 -
P4-12
1. Introduction
We have already proposed an infrastructure for
wireless sensor networks (IWSN) which manages sensor nodes efficiently, provides users with enhanced
services such as mobility and location based services
(LBS) [1][2]. Furthermore, an IWSN offers open interfaces to internet of things (IoTs).
Figure 1 shows a simplified structure of the IWSN.
The IWSN consists of three layers of entities which
include users, an IWSN itself and wireless sensor networks (WSNs). Users are ones that want to get information from WSNs and the IWSN serves as an infrastructure to collect data from WSNs and deliver it to
users. WSNs actually gather a variety of information
required by users. In addition, the IWSN can support
mobility management of WSNs and LBS by interacting
with WSNs.
In this paper, we propose a framework for securing
the IWSN which not only authenticates and authorizes
users but also protects the IWSN and WSNs. The rest
of this paper is organized as follows. Section 2 discusses security requirements of the IWSN and Section 3
proposes how to secure the IWSN. Section 4 concludes
the paper.
Since the IWSN is composed of three parts of entities, there are three different interfaces which require
different security requirements. The first one is an interface between users and the IWSN. In this interface,
the IWSN has to authenticate and authorize users and
vice versa. Moreover, messages between them should
be confidential to themselves only. The second interface is one between servers inside the IWSN where
servers have to authenticate each other and the exchanged data must be encrypted so that data cant be
revealed to others except users. The last one is an interface between the IWSN and WSNs. They have to authenticate each other and the exchanged data between
them must be exposed to the outsiders.
3. Securing an IWSN
In this section, we propose a framework for securing
an IWSN considering both security requirements and
characteristics of each interface.
First, lets think about the interface between users
and the IWSN. Recently, most users make use of web
browsers as a client program, and thus, it is reasonable
HTTPS [3] to protect this interface. HTTPS can provide server-side authentication using a certificate which
is signed by root certificate authorities. Since the public
key of root certificates are preloaded in the web browser, users can verify the certificate of a main control
server (MCS) which is the main server in the IWSN as
shown in Figure 1. The MCS can authenticate each
user with the identity and the password which has been
already registered to the MCS using on-line or off-line
contact. After authenticating each other, the MCS
shares a symmetric key for encrypting data between
itself and users, and authorizes users according to the
user profile stored in the home location server (HLR).
Inside the IWSN, there are various servers to support users and manages WSNs as depicted in Figure 1.
The MCS control overall operations of the IWSN, the
HLR stores the information of each user, and the location management server (LMS) manages the location
- 639 -
ICEIC 2015
Users
Infrastructure for
WSNs
Home Location
Register
Gateway
Sensor node
WSNs
Acknowledgements
This work was supported by the National Research
Foundation of Korea (NRF) grant funded by Korea
government (No. NRF-2012K1A3A1A09026959).
References
[1] D. Ko, D. Kim, B. Kim, S. Kim, J. Jange, and S. An,
WiMoS: Wise mobile sensor networks a study on design of
infrastructure for supporting mobile WSNs in wide area, in
proceedings of High Performance Computing and Simulation, IEEE, pp. 431-436, 2012.
[2] D. Kim and S. An, Wireless sensor network infrastructure for vehicular ad-hoc networks, in proceedings of the 6th
Biennial Workshop on Digital Signal Processing for InVehicle Systems, IEEE, pp. 145-147, 2013.
[3] E. Rescorla, HTTP over TLS, RFC 2810, IETF, May
2000.
[4] S. Kent and K. Seo, Security architecture for the Internet
Protocol, RFC 4301, IETF, Dec. 2005.
4. Conclusions
In this paper, we have investigated how to secure
each layer of the IWSN. Our scheme provides the required security services such as authentication and con-
- 640 -
P4-13
!!"#$%&#'(#
$)*#"+$
&,-(-
$
/ 2
. / 02
.1
3 4 4 &
(/ &5 4#
3 0.671 &
(/ 8 & /
.67
( 4 & / 2
.
3
!"
$
$
$
$
/ 5
#
/
4
/ '/
,$
$
!4
$
!" /
$
-,! !" / $
,
/ 2 $
$
$
$
#$%$&'
((
(((
'
"
$
$
,
6 $ $
'/(/
978'- $
;
'/3(
:4
$
;
'/1=< +<./
;
$
$
$
$
-&&/
$
4
/-/
$
$
$
4
/1/
4
/ 1/
&/=(
$
/
$ 4
/1
$
4
/ 3/ 2
$
- 641 -
ICEIC 2015
'<3>/<
$
,
$
/ 5
'-
#
$
/ 5
'&
'&&
$
$ / $
-1/',
$
/ 5
(
')<
$
$ $
6 /
$
?
$ $
$
,
$
/2
$
$
$
$
$,
!"
!
"
+',-./
)"
$# $ 0
@
7 A
4
B
"
@
2
4 7
-&'1A4,-&'1''-&&=-=>/
*(
+'./$ C47
,
,
0 2
7
7
CD
:
$
/-3/
==,(=-&&>/
+-. E/ 5
9/ F CA
$,
!
G
! 0
"
,
C2GGG7
/
/: /
/-1-&''/
+1. 9/ 5
"/ 7/ 4#
/ 7
H7
G
: @
I D/ @
"
/'/(1,'&&-&'-/
+3.!/: /: D/7#@/B
J/
C5
CB
D//
7
/ K
## B$
# K ?
/ %+ / -'>,--&
-&&=/
+<. G;
" :4
G;
" 978'-
$$$/
//#/
#$1$
'
"'
(
$
- 642 -
P4-14
%!&''
"!#$
/ ( &1
00
.
( ( '&( 0 #$"
(&2- 0'
#$" - .
'(
3(
( .-- #$" #
% '&( ##%!
(
- 0 44 56567 )(
&' (##%'.
1/ / ( (
-( !
-1-( ('
'(
.'(
3(
&.''1
(&'(8'7
/ (
' && &&' 00
.
( )+*
.
' 0 ##% ''( 2
-
(!
'
. )+* '.
( .
.(
'
'..''0- -
0 ( ( '
(( &.(
' .
1
20
('
1--
7? (+%%
(
&
(
!
''- (( ( ( '
(( &.( ' (
1
(
(( '
''
-- (
&1 (
- 643 -
ICEIC 2015
;-
(7 (
1- 0 ( '
(( &.('
(
&&-
.(
' '
2- 2.' ( .
1
'
&.'' - 1 - '
&& ( -'(
&.('7
%. ()+*0 .(
0##%.--''-(
-(&.('((
10(&-
(-
2.'
( ' ( . '
(
& - .'
(( '
''
7%.
.1 ((
'&2-
&&' ( &&-
.(
0 -
. '(
)+*
.
'0##%7
)=
''
/ 0(
,'. &(
,!-+$
/ =
7
1- -
'' ( 9
(-2- -( . 0 ( ;'( ( '
''
&.( (
1 ( ( .
17 /0 ( ( '
((
&.(
1' -( (
1- -
1
0 (
&.(
1'
(.(
(
' ' '-''
'.7 %' ( .1 -( . 0 ( -'(
&.( '- 2 (
( .. ( 0 ( - (
1
-
1
-(&
2.''-''7
' ( 21 -'
' ( ##%
.
1
(
( (.(' ( -'' 0 &.( ( (
(
' ')=''((##%'
(
( (
( (
( &0' ( 0--
-
. '(
( '
''
.
'
:
# /
'+0+
*
1$
+.
'
*
2
+
*
1$+.
'
*
2
=..('(
(
0(+%%&
(
(
)+*02.- '!1-. 2
0((
' 2 .-'
)+* 02. (
'(&
56!
( ( )= ''7 )+* 02. (
'(&
.'& ' ( ( (
'( ( 0 '
( )=
'' ( ( ' 7 >'
( )+* 02.
/ (
' && &&' 00
.
( )+*
.
' 0 ##%7 ? -' &&' (
0
9
'(
##% )+* '
-
&(.- 2
'0-
0(
( ( '
-
''' 0 (
&&' )+* .
'7 % (
' )+*
.
' . . ( 0 ( (00
.
'(0--
A.(
( ( ( 2 '2&(
-
(( '&(.
(
7
.+*
%
' '. ' '&&( 2 ( #/$ #
'( 0
.
. /% =( $-
! (
/%+ '&&( & @/$)
48
44
8
4!
'&1
'2(@/$)
- 644 -
P4-15
Abstract
2. Proposed Algorithm
1. Introduction
Together with the growth of the Internet, there have
been continuous demands to achieve a fair bandwidth
allocation. In the past, an equal bit for each flow seems
to be fair. In this reason, many scheduling algorithms
had been proposed to provide an equal bit rate.
However, the emergence of P2P services changes
the concepts of fairness. Usually, the P2P services use
many more flows, typically 5 or 50 times than the
previous services [1]. That is, if preserving equal bit
rate for each flow, the P2P services uses the bandwidth
5 times more than the other services. In this situation,
when the congestion occurs, the other services except
P2P also experience the quality degradation although
they rarely use the network resources.
In this paper, we propose a new algorithm to prevent
the above problem. The concept of the algorithm is
simple: it just tries to discriminate heavy users who
consume a larger bandwidth while using many more
flows such as P2P services, and then penalize them. By
doing this, the network can prevent the heavy users
from consuming almost all of the network resources.
- 645 -
ICEIC 2015
ri flow
Final decision
Super heavy
Super heavy
Super heavy user
or heavy
Super heavy
Normal
Heavy user
Heavy
Super heavy
Super heavy user
Heavy
Heavy
Heavy user
Normal
Normal
Normal user
2.1. Heavy User Penalization
Once the super heavy or heavy users are chosen, the
algorithm determines the policy to penalize them. The
policy may adjust the drop rate of the packets or
prevent the generating new flows belong to them. This
algorithm takes the packet drop policy and its drop rate
is calculated as follows.
b med ( B )
, where med ( B ) is the median of B = {bi } .
dropi = i
bi
3. Performance Evaluation
In order to evaluate the performance, the proposed
algorithm was simulated using NS-2 simulator [3]
under the simple network as seen in Figure 2. There are
10 sources and 100 destinations; each source generates
the flow towards randomly chosen destination. The
inter-arrival and holding times of all flows are
exponentially distributed; and the size and inter-arrival
of the packets in the flow are also exponentially
distributed. Especially, source #0 has a much higher
probability to generate generates the flow than the
others. Accordingly, source #0 may be detected as a
super heavy user by the proposed algorithm.
4. Conclusions
In this paper, we propose a new algorithm, which is
capable of detecting and penalizing heavy users, for the
fair bandwidth allocation to all users. The algorithm
especially uses the outlier techniques to detect the
heavy users more accurately. Simulation result shows
that the proposed algorithm successfully finds the
heavy users, and prevents them from consuming almost
all of the network resources.
Acknowledgement
This work was supported by the ICT R&D program
of MSIP/IITP [KI10044556].
References
[1] Bob Briscoe, A Fairer, Faster Internet Protocol, IEEE
Spectrum, pp. 38-43, Dec. 2008.
[2] V.J. Hodge and J. Austin, A Survey of Outlier Detection
Methodologies, Artificial Intelligence Rev., vol. 22, no. 2 pp.
85-126, 2004.
[3] Network Simulator - NS-2 [Online]. Available:
http://www.isi.edu/nsnam/ns/
- 646 -
P4-16
I. I NTRODUCTION
Only the distances from the source to the relays, d1,i and
from the relays to the destination, d2,i will be exploited
for selecting the optimal relay.
An amplify-and-forward system with the power
splitting-based relaying (PSR) protocol in [6] is considered
in the relay node. The total transmission time T is divided
into two halves. T/2 is used for the S-R transmission and
T/2 is used for the R-D transmission. In the rst phase, a
fraction of the received signal power at the relay, P, is
used for energy harvesting. The remaining received power,
(1 )P is used for transmitting the information signal to
the destination, where 0 1 is the power fraction.
The received signal is amplied and forwarded to the
destination by the harvested energy. The baseband received
signal at the destination is then given by
|hi |2 i (1 i )Ps hi gi s
yd =
2
d
Ps |hi |2 (1 i ) + d
1,i d2,i
1,i r
signal part
(1)
Ps |hi |2 i gi zr
+
+ zd
2
d
Ps |hi |2 (1 i ) + d
2,i
1,i r
overall noise
- 647 -
ICEIC 2015
D,i =
2
Ps |hi |2 |gi |2 d
1,i r i
(2)
1
T /2
= (1 pout,i )R
T
2
(3)
B. MinMax scheme
The selection algorithm is as follows:
1) The transmitter estimates relay distance d1,i and d2,i
for i = 1, . . . , K.
2) For each relay, the maximum of d1,i and d2,i is
calculated i.e di = argmaxi {d1,i , d2,i }
3) The optimal relay is selected as iopt = argmini {di }
The distances d1opt and d2opt of the selected relay are used
to obtain opt which is used to evaluate the throughput of
the system.
IV. S IMULATION R ESULTS
In this section, simulation results are presented to
evaluate the performance of our relay selection schemes.
Throughout the simulation we assume the same parameters
as used in [6]. Fig. 2 shows the throughput with respect
to the number of users. It is seen that the throughput
increases with the number of users. This is because, an
increase in the number of users increases the selection
diversity, which increases the system throughput. The MT
outperforms the MinMax scheme. This is because the MT
is obtained by an exhaustive search over all the relays.
However the MinMax scheme has a lower computational
complexity. The MT scheme with exhaustive search (MTES) achieves almost the same performance with the MT
scheme with line search (MT-LS). Fig. 3 shows the performance of the relay selection schemes with various values
of transmit SNR. As can be seen, the MT outperforms the
MinMax scheme.
V. C ONCLUSION
In this paper, two relay selection schemes, MT and
MinMax, based on the PSR protocol for an amplifyand-forward wireless sensor network have been studied.
To determine the throughput at the destination, analytical
expressions for the outage probability is derived for the
delay-limited transmission mode. Simulation results indicate that the MT achieves a better performance than the
MinMax scheme.
R EFERENCES
[1] B. Medepally and N. B. Mehta, Voluntary energy harvesting relays
and selection in cooperative wireless networks, IEEE Transactions
on Wireless Communication, vol. 9, pp. 35433553, Nov 2010.
[2] C. K. Ho and R. Zhang, Optimal energy allocation for wireless
communications with energy harvesting constraints, IEEE Transactions on Signal Processing, vol. 60, pp. 48084818, Sept 2012.
[3] O. Ozel, K. Tutuncuoglu, J. Yang, S. Ulukus, and A. Yener,
Transmission with energy harvesting nodes in fading wireless
channels: Optimal policies , IEEE Journal on selected areas of
communication, vol. 29, pp. 17321743, Sep 2011.
- 648 -
ICEIC 2015
[4] J. Xu and R. Zhang, Throughput optimal policies for energy
harvesting wireless transmitters with non-ideal circuit power, IEEE
Journal on Selected Areas in Communications, vol. 32, pp. 322332,
February 2014.
[5] S. Luo, R. Zhang, and T. J. Lim, Optimal save-then-transmit protocol for energy hervesting wireless transmitters , IEEE Transactions
on Wireless Communications, vol. 12, pp. 11961207, March 2013.
[6] A. A. Nasir, X. Zhou, S. Durrani, and R. A. Kennedy, Relaying protocols for wireless energy harvesting and information processing,
IEEE Transactions on Wireless Communications, vol. 12, pp. 3622
3636, July 2013.
[7] W. E. Mayo and M. Cwiakala, Introduction to computing for
engineers. New York : McGraw-Hill, 1991.
- 649 -
P4-17
I. I NTRODUCTION
- 650 -
ICEIC 2015
L
S1,i S2,i PT PR Ni (bM N k + aM N )
1
Ci =
log2 1 +
L
2
n2 z2 LM + n2 S2,i PR Ni M + z2 S1,i PT i=1 (bM N i + aM N )
k=1
M
1
S1,i S2,i PT PR Ni (bLN k + aLN )
Ci =
log2 1 + 2
2
z M (S1,i PT Ni + n2 M ) + n2 S2,i PR M (bLN k + aLN )
(1)
(2)
k=1
i = argmax{E|Ci |}
i
V. C ONCLUSION
In this paper, we have investigated a relay selection
scheme in one way MIMO AF systems. We have proposed
a simple relay selection scheme based on ergodic capacity
analysis and compared with a minmax scheme. Simulation
results have been presented to conrm the performance of
the two schemes.
R EFERENCES
[1] T. M. Cover and A. A. El Gamal, Capacity theorems for the
relay channels, IEEE Transactions on Information Theory, vol. 25,
pp. 572584, September 1979.
[2] B. Wang, J. Zhang, and A. Host-Madsen, On the capacity of MIMO
relay channels, IEEE Transactions on Information Theory, vol. 51,
pp. 2943, January 2005.
- 651 -
ICEIC 2015
[3] K.-J. Lee, J.-S. Kim, G. Caire, and I. Lee, Asymptotic ergodic
capacity analysis for MIMO amplify-and-forward relay networks,
IEEE Transactions on Wireless Communications, vol. 9, pp. 2712
2717, September 2010.
[4] Y. Jing and H. Jafakhani, Single and multple relay selection
schemes and their achievable diversity orders, IEEE Transactions
on Wireless Communications, vol. 8, pp. 14141423, March 2009.
[5] Y. Jing and H. Jafarkhani, Network beamforming using relays with
perfect channel information, IEEE Transactions on Information
Theory, vol. 55, pp. 24992517, June 2009.
- 652 -
P4-18
'
!
&##(#!
!
!))*(**$!#
+-,.+,#
!/0+,12+5346
! ! # "#
$
& '
& !% !
# " !
( ) #
#* "
& #
+
+" #(
8
8A(1* A81
8!&
#+"
"
"
#$!#
B
&
%
## %/ $ # %
"
& -"
! CD+
9= !
#
"+
!" #
"
" -"
*-
E*# "- F
%
&#!
&
#"
E
"
"F
"
$
&
!
# #!
#
%
## + %
## 0?2+ %
## 8+
"
-"
*- ## "# " "
!!##
"C.D
##EF!
&! "
%"
!
&
" %
## !
&
#" & $#
#%"!
&
##!
&
""#
!$!%
##
!%"
" #!&
# "
# % #
#" #
%
## %/+ !
!!
%
"$! =
#"#
2>=- #C0D8##"
&%/
#
$
#
!
# !
#
#
$
%%
!#+ "!
%
+ !
#!&+
"
!!
#!
-"
"$!#!
!#%%
##
%/ #
" $ ""
!
" !!
% # %/# #
B" # !
## #
#+ -"
!
""
#
"
" E-"
!
/ !
!
F
#!#B#
"
#
!/# %/
& -"
"
C2DA##
"
"%
#""
#
!!
# % !
" 7
!
#
%/ !
# %
# #!&
%"$!#
"$!#+
##%+
#$! ## E#F C2HD % "$!# !
!
"
#+ "$!# # "#!$
! +
"
!$
! +
" #
#
!! # # "$!
& !
%
#
& 1!
"$!
# !
"
"
+
&
!$
!!
%"$!#
"
"$!%
!$
"!
!!
&
#
#
!$
"
"$!#
&!!
"!
&%!
"$!#
#
A&
&
#
&
"!!
%
""$!#
- 653 -
ICEIC 2015
!!
% !
"$!#
" "#+ #!
#
7#
#+ &##$!#!
"#
8#!#
#
#
" " #$!
"
&B" &
!
#
"
$"#
#"#
#%
##+
/
#
# !#
" ##
!!
$
&
"
8##"
# "+ !
# # #! +
# ==I !"! !!
!!
%
$
!
. #%#
%/ !
" !
"$!#+!
"#+
" "#
8 #
+ % $"
"## !
"
!
###
"%" "$! E!
"+ !
"$!F #"
!
))*(* E*
(
*$!F #!#+ !
#
)*(*C0D
#
#"+%
"""$!#
)*(*
# " !
##!
%
!
!
!
"%"""
!
!#!#
!
"
"
#
""%#
"!#"!"#%
##
/
" !
!!
!& " !
!
!
"%"#
#&#$!
"
&
88 A(7( 3( A7(8A8 1A37*
(17-1A37(71( 71 A811(1A1 1
!"+8
#%
#"#"#
!!
"
" #$! " A# #
#
!!
%"$!#!
#
#
/&
"
#
"
# !!#
B
& 8
# ## $ #
###
!!
""
#$! $
#
####
"
#$! $
+ # "
# #$!
$
A"+ 8#!#
# ## "
!!
##
#!!#$!#
"B##
""
#
"#
!8##
$")
" #
#$!# 8#!#
"
!
"$! B## !!
% #+ 8#!# # "
!!
%
" #$! $
"
#
#"#+""
#
#
"
+
#
#
"
6. &#
&
"+
! "$! " #
"
"
!
8!
"$!
G
+"$!
+
. 7
%/
&
- 654 -
ICEIC 2015
+
*2
+
E F
*.
J
E
*
+
E F F +
3
7
*0
+
!
+
!
E F
0 7
!/
%
!J
+
+ + +
+
+ + +
+
+ +
A
8#%#
!/
%
!8
#
0+"
""
#
J+#
"
"<# "
# #
8
#
#
""#
"
#
+!
"'++#$!
(<+!
!
!
"
#E.F
#"EFA+%
!#"#$!
#
#+#$!
"$!#
"<#"
&!
!
!
"
#E0F
A788
)
#
E3M*F
E*M3F
E3M*.F
*E*.M3F
7E3M*0F
E*0M3F
JE*2M3F
"
!
""
#
#
"""#
J
A
#+'#
##
=+##
#"$
##""
#
#
###
"""
#
J
% #
$ " "+ $
#
#!#
!
"+
"" %
#
%
##
3%$+
# "
#"#!
#
#
&
"
!
!#!
"#
#+$#
#
##"
##
"
+
## A+ % %
!
" # ""
# "#
"
!
!#!#
!#
#!$
&+
"#
$
" $" $
# #$!#
!
!#
#"
""
!
#
+%"###$!!
##
#"
"
!#
"%#'N!
##"
&
#
!!
##
H=- #
H=- #
H=- #
H=- #
H=- #
H=- #
H=- #
H=- #
H=- #
H=- #
H=- #
H=- #
H=- #
!/"
A78
-
"
0==- #
.2=- #
>=- #
.=- #
H=- #
=- #
#
'
E3M*F
E*M3F
E3M*.F
*E*.M3F
7E3M*0F
E*0M3F
JE*2M3F
- 655 -
7(L8 7(A71A37A(7-A3A3L777(7
*,A7*1(A3775**7*A(7-J
.
2=2=2=2=2=2=2=-
#
#
#
#
#
#
#
.
H=H=H=H=H=H=H=-
#
#
#
#
#
#
#
.
620620620620620620620-
&/
#
#
#
#
#
#
#
=69
=69
=69
=69
=69
=69
=69
ICEIC 2015
A7888
7((81(8A5*1'-8N A1A(7(
-1*7**7L8 7
%#
3#
=
.
0
0
! )
% !
/
8
8#!#
1*$!#
8#!# $
+ 8
" 8#!#
#
"#
$ " #$! $
# A+ & #
"
#"#"
#"
%'N!
## &#'N!
##%$
!!
! # !
" 8 !
!
" 'N!
## #
'N!
##+ "$!
"!
## !#
# !
""
! A
+ "$!#
#
" !$ "
#
##" "
8
!
!
" 'N!
## #
##
'N!
##+
! B# #
<!"& ##"
+ "$!#
##
#"
$#
'N!
##+
"
!
#$!!
###"
" &'N!
##8"$"
'N!
## "
"
# "+ ((< !
!$" ((<N!
##
# E6F & # "" 'N!
##
$#
&%(<+O
"'+O%
!
##%
EHF
"E9F &#*(<
"((<N!
##
&EHFEF+
# ##
" 'N!
## "
"
#"
" !
!
"
#E>F
N E F N
+ E N F
+
N
2
""
%
!J
!
N
+
+ !
E N F
N +
.
.
E3M*F
E*M3F
E3M*.F
*E*.M3F
7E3M*0F
E*0M3F
2=2=2=2=2=2=-
H=H=H=H=H=H=-
#
#
#
#
#
#
#
#
#
#
#
#
.
H=H=H=H=H=H=-
#
#
#
#
#
#
0
0
.
.
&/0
=>
=>
=H
=H
=2
=2
=
=
=
=
=
=
&
!!" A
2+ #
# # H+
!
#
#
##"
"
H=- #A+'!
##
#2#%#
#
"""
%
!J
!$
!##%2+%
##
#
J %! "$! *2
# "$! 3
#
!!" % #
# P
#"
" !$"
8#!
#+*2B#
"
#
#
#
#
P
!
" "
# #
J A
6
#%#
!
# % *2 B## % #!# %
! J "+ A
H #%#
*2 #
!
"
"
#
#
##"#
#P
# % #
&
!
" #
#!#+ &
##
## #
#!#+&
!
#!###
#
% #
#
#+
!
" #!#
#
'N!
##+ #
P " $
#!#
!
" #
J 8
*A(A7A3A*7L8 7(78J7*
#
'
+
A
2#%#"
#
#
##"
#
#
#" #"
A
"
#
#
##""$!##0H=- #
A78L
A7L
% N "
N #
#
$
!
- 656 -
7K-7A3A*2(7'7AA371 A8117
(71( 71(A37A(8 J
#
'
.
.
E3M*F
E*M3F
E3M*.F
*E*.M3F
7E3M*0F
E*0M3F
JE*2M3F
2=2=2=2=2=2=2=-
H=H=H=H=H=H=H=-
#
#
#
#
#
#
#
#
#
#
#
#
#
#
.
H=H=H=H=H=H=
#
#
#
#
#
#
0
0
.
.
=
&/0
=>
=>
=H
=H
=2
=2
=.
=
=
=
=
=
=
ICEIC 2015
A7L8
# '
7K-7A3A*28(78J7*1-7*A1A1A371 A7**A1AA17*A(7-
.
E3M*F
E*M3F
E3M*.F
*E*.M3F
7E3M*0F
E*0M3F
JE*2M3F
2=2=2=2=2=2=2=-
0
.
H=- #
H=- #
H=- #
H=- #
H=- #
H=- #
.
#
#
#
#
#
#
#
H=H=H=H=H=H=H=-
#
#
#
#
#
#
#
1 0
.
6H.6- #
6H.6- #
6.6- #
6.6- #
2>96- #
2>96- #
26- #
0
0
.
.
=
&/0
=>.6
=>.6
=H.6
=H.6
=2096
=2096
=.6
%"#+'N!
###
##
'N!
##+#
P"
$
!
"#!#
####
J
8L 8-A81(7A**8 81
!
$ $
!
#" "+ % !#" % #!
# '
$# # #
# *' " %!
!
#!#
#" !
'+
"
#!" #
7*' E7
!"F " %!
!
#!#
#"'N!
##"##!
##"
"$!&
"
#"
##"#
6#%#!
#'$
&!
##*'
"7*
' #!# 8 !
# % *' "+ 7*'
"!
"
'$
#"$!#+
#!#++
"!
#H=#!"#+
!# #
" #!
!
# #% H
A+ # "
" 'N!
##
$
!
!#
L
1 81
8 #
+ %
$ #"
#!
!
"
###
"%"""&
"$!
" )*(*
# "
$ 7#*' "
/#
'
#
& " $#
"#!
+$%#
"
#
#"
"#
$"
"#$!
!
#
&+$"#
!"
!A#
#
#%#
#" " !
$
!
# % !$
"+
"
"
#"
### "#
"
"$!#
417*J-7A
A# #
! %
# #"
&
#! !!
(#
!
(#
! "
4
E(F "" & -#& 7"!
E(
.==?==?0>.>F
"
& -8E-#& !!+
8 A
"
F+ 4
+ "
8A( E $!8
A!
&(#
! F
#
E8.=23=2=2==?F #$#" &
8E
8A8"#&!&F
(77(7 7
CD -"
!+Q))%%%%"
+.=
C.D -"
- (
# !6+ R*# " "
!!## !
E- F%
##%/#+S.==?
C0D
## 4& *$
# !!
%/ #+
##
$#
##!!
+($#+.==
C2D -"
+ R-"
!
/ !
!
EF+S -"
!!
*
=+.==9
C6D
$+,"+
/
+J
""
+L+
+4+
" A
+ RA -1-"
#!!
%"
"
"# "%/#+S8777 !
#-
G+$
22+H+
+1"-1,-/0,
!!"!"#
&$%#' )(
*!""-,+' )(
.!" +' )(
+2
+3
+.
+
+(
+
+4
+5
+*
6
!"
! &7*'
EAQA
!
F
- 657 -
ICEIC 2015
.>02+.==H
CHD L#$#/&+L-+&
/$+8+
$++-++
"J
+
*+ R"&
!
%
## % "# "
!
+S 8777 A
#
!# -
+ $
9+ +
0.H+.==>
- 658 -
P4-19
+
'
*,
,
-. /
0
'1*(2
(
-. /
0
$
+
,
,
-. /
0
&
+
,
,
-. /
0
)
+
,
,
%
3'1( 0
5
44
54
7#(#66
968:5
44
665
4
;
4=>
'4
(0
2 4
='>
@
(
?D43
(
( (0
A
(
2
(
!
B$"EC4 3 0 (
(
"
F
B8"GC4
' (
! 0? 2
2
2
?
(
4H2(
(
A0
(
2
(
A
44
''4
'.1<+/1'<.
1(
!
(
!((F4(
4=>
4=$>
1(
((
42 !4
'.<-'3'1,<I,1/-,.'.D
1(2A
(2
- 659 -
ICEIC 2015
3 (! 4 ! ! ( (
(
(6BCJ6K0BC
J ((( (
(
41(
(
( 0
04 H (
20 (2
6
4=&>
4=)>
4=G>
4&
2
1(
!
(
!((F4(
4=9>
4$
A0
2
1 ( 2 ( =)> (
@(
A
!(
6
4=6>
1(
((
4)4
BC 4=E>
1(
2
4=8>
6
1( 2 2 ! (! (
2
( (
A
4
13,'4
./-,<13D,
- 660 -
ICEIC 2015
6
13,''4
./-,<13D,
4=>
6
3 (! => (
(
2
41(
A0
20
" "
#$
1(2A
(
2
4=>
%
=)>
% #
& # % 4
#
48
2
1(
!
%(
! #%
!(
!((F4(
=)>41(
20
&
4E
A0
2
1 ( 2 (
=> (
@(
A
!(
6
4=$>
&
4=8>
&
1(
((
4G4
1(
2
6
4=E>
4=&>
- 661 -
ICEIC 2015
4G
A0
3 (! 4 G ! ! ( (
(
(6BCJ6K0BC
J ( ( ( (
(
4
1(
(
0 2
0 =8>4 H
(
20 (2
&
&
6
4
$
6
$
$
$
=G>
&
./-,<13D,
4=9>
13,'''4
6
4=>
1(
2
6 $ 6
49
A0
2
4=6>
'''4 I,<-3.,
1(
( 0
(
2
(
A
4 1(
2
2
4 64 1(
2
(2
202GBC
(
2
( 2
20 2 9 BC (
A0 B6
64 C4 , 0
2 (( (
@(2
2
(
2
(! ( 2
(2
4
- 662 -
ICEIC 2015
%
=.>20(-
0,=."669"
669$GG> 20 ( -'I=-
0 '1
I > %
( "'1=
'
1( 0
(
>
=.'I3"6&" 6&6"&"669>
20
(
46I
2
'L4 <./'<.
H
2
2
2
?(
0
4H 2(
(
A0
(
2
(
A
4 (
(
A
0
2
20 (
@ ? (("
,,,.,
BC %" ( 1?"+
(( M3 0
/ +
H - - N ',,, 1
0
;'O
I
L 4)).464$&E"$)G
.
2
66G4
BC P M3 .! "
-
- '
1( @ N
'
," ( .!
+ ,0
1( 48E"89664
B$C <
!
N
(OFF!!!440
4FRF
LQ.F2
Q
4
B&C 32
0 -4 * H0 4 M
N
L'
I
=>4$)K)699E4
B)C
4 M3 <
( .
N
',,, 1
3 ,
9=&> 49EK$6&
984
BEC L
H D4*
I4M3L'I0
(
-
'
3 0N '
L G=$>
488KG9994
B8C
I4 M
1
'
I
N
D
('
I
E=>46K)9G4
BGC
- 663 -
P4-20
1. Introduction
Simply installing the additional antenna provides the
diversity gain or/and spatial multiplexing gain
depending on the transmission scheme. As such having
many antennas at the base stations (BS) so called
massive multi-input multi-output (MIMO) is considered
to be an enabling technology for the next generation
wireless systems. Note that massive MIMO means the
system equipped with typically more than 100 antennas.
Although there are many benefits from massive MIMO
such as the improvement of data throughput and the
energy efficiency, there are also practical issues to be
solved for massive MIMO to be deployed in reality. One
of those are the pilot contamination which limits the
performance of massive MIMO if it is not overcome and
many intelligent and simple schemes have been
introduced to relax the effect of pilot contamination on
the performance [1]. On the other hand, the hardware
complexity by having multiple antennas is also very
critical issue in practice. Since combining the signals
from large arrays of antennas at the baseband (BB)
domain need to employ the same number of analog-todigital converter (ADC)/digital-to-analog converter
(DAC) and thus requires the clock speed of BB
MODEM run times compared to the single antenna
case, where is the number of antennas. For example,
with 20MHz signal bandwidth, the required ADC/DAC
2. Mode of Operation
Let us assume that the channel knowledge is perfect.
This assumption can be realized by applying the channel
estimation technique presented in [6]. Let us denote the
SNR of the received signal from the antenna as .
The mode of operation is depicted in Fig. 1, where the
phase and the amplitude gain are controlled at PS and
VGA, respectively. Each of the discrete PSs and each
of the VGAs are followed by the low-noise amplifier
(LNA). The signals at the VGA are combined at the RF
combiner. Then our proposed RF OT-MRC is
performed as follows.
- 664 -
ICEIC 2015
l
L
Step 1
LNA
PS
110
VGA
RF OT-MRC
BB OT-MRC
RF full-MRC
BB full-MRC
100
LNA
PS
VGA
.
.
.
.
.
.
.
.
.
LNA
PS
VGA
.
.
.
.
.
.
.
.
.
LNA
PS
VGA
Step 2
Mixer
ADC
Step l
No
Baseband
MODEM
i 1
th
Yes
l l 1
90
80
Target BER
= 10-5
70
60
Target BER
= 10-3
50
40
30
20
10
3. Simulation Results
We perform the simulations over Rayleigh fading
channels for 100 antennas massive MIMO systems
using 64-QAM with the SNR threshold considering the
target bit error rate (BER) which is set to 103 and
105 . In Fig. 2, we show the average number of required
RF chains versus the average SNR per branch [dB] with
the different schemes such as RF/BB OT-MRC and
RF/BB full-MRC. We can see that RF/BB OT-MRC
systems require less RF chains, while RF/BB full-MRC
systems use all RF chains. Note that the requirement
with respect to the clock speed is relaxed by applying
the OT-MRC scheme. Also, we find out from Fig. 2 that
BB OT-MRC requires less RF chains than RF OTMRC. However, RF OT-MRC uses a single ADC,
whereas BB OT-MRC uses the same number of RF
chains and ADCs. Therefore, we can definitely reduce
the power consumption in RF OT-MRC.
4. Conclusions
We proposed RF OT-MRC scheme which has a
strong advantage that the scheme uses only single ADC
without the need to use multiple ADCs. We showed that
the usage of RF chains can be minimized which reduce
the power consumption of the network. We only
consider the single data stream case and it can be easily
extended to the multiple data streams by having the
same number of ADC/DACs as the number of data
streams which is on our on-going research problems.
0
0
10
15
20
25
30
Average SNR per branch[dB]
35
40
Acknowledgment
This research was supported by the MSIP (Ministry of
Science, ICT and Future Planning), Korea, under the
ITRC (Information Technology Research Center)
support
program
(NIPA-2014-h0301-14-1042)
supervised by the NIPA (National IT Industry
Promotion Agency) and in part by Communications
Research Team (CRT) of DMC R&D Center, Samsung
Electronics Co., Ltd.
References
[1] K. Appaiah, A. Ashikhmin, and T. -L. Marzetta, Pilot
contamination reduction in multi-user TDD systems,
IEEE Communications (ICC), pp.1-5, May 2010.
[2] Y. Geerts, M. Steyaert, and W. Sansen, Design of multibit delta-sigma A/D converters, Springer, 2002.
[3] O. Oliaei, Beamforming mimo receiver with reduced
hardware complexity, Proc. IEEE Inter. Symp. on Cir. and
Sys.(ISCAS07), pp. 669-672, May 2007.
[4] Y. -W. -J. -D. Fredrick, S. Jeon, and T. Itoh, A smart
antenna receiver array using a single RF chain and digital
beamforming, Proc. IEEE Micro. Symp., pp. 311-314,
June 2002.
[5] Y. -C. Ko, H. -C. Yang, S. -S. Eom, and M. -S. Alouini,
Adaptive modulation with diversity combining based on
output-threshold MRC, IEEE Trans. Wireless Comm.,
vol. 6, no.10, pp.3728-3737, October 2007.
[6] K. -T. Jo, Y. -C. Ko, and H. -C. Yang RF beamforming
considering RF characteristics in MIMO System, Inform.
and Comm. Tech. Convg., pp. 403-408, November 2010.
- 665 -
P4-21
!
!
"#
$ $
"
#
##
##%&!&'(
)
"
"
*
&!&'
+
$
$
#
,#
$
*"
"
+
"
"
"
$
$
"- "
"
$ $
"
"
+
"# $
&% '
! " !
!
# $&'% # #
(
)
)
(
!
! * +
"
! -,.* &!
!
+( #!
"
)
#!
"
# (
( " ( #
/ " !
!-0.*1
)
! # # !
"
$1
'%
!
*
(! " )! # +
!*(
$%"# 3245 #
(# *
"#5#
! #)((
5 045
$,%
- 666 -
ICEIC 2015
1" ! "
( * 7 (
#"
$0%
$3%
#"
"
&'*
/%+ '
(( " " (
! "
'
#!
'(*
'
* 9
( ( #
)
#!*
0 #
7 " 8 " (( #! 7 ?; (
'@/7@* -02,=2==22>22= 1+ 4
9
<1'!"
A@ .
*
-,.*B*1
A*4*
7*
* 5 CA
!
'( A!D !
'! C
!
- 667 -
P4-22
School of computer Science and Engineering, Kyungpook National University, Daegu, South Korea
{mkhan, jhkim, jbyun, k5435n, upaul, zbcao@netopia.knu.ac.kr}, *kjhan@knu.ac.kr
Abstract
Providing
a
continuous
connection
in
heterogeneous wireless networks is a challenging job
to accomplish. Therefore, to provide a generic
connectivity in heterogeneous wireless networks, we
proposed a vertical handover decision scheme. The
proposed scheme performs handover triggering using
Simple Additive Weighting (SAW) on the bandwidth of
a Point of Attachment (PoA). The network selection is
performed using Technique for Order of Preference by
Similarity to Ideal Solution (TOPSIS) approach on
different parameters such as delay, jitter, Bit Error
Rate (BER), packet loss ratio, communication cost,
response time, and network load. The proposed scheme
is compared with IEEE 802.21: Media Independent
Handover (MIH) standard in the context of handover
delay and handoff rate. The proposed scheme is tested
in different mobility scenarios, and it is shown that our
scheme provides a superior performance over the MIH
standard.
Keywords: Vertical handover, SAW, PoA, TOPSIS,
MIH standard
1. Introduction
Forth generation (4G) mobility models proposed
mobility management that supports the interpretability
between
different
wireless
technologies
in
heterogeneous wireless networks [1]. Now-a-days, the
numbers of smartphone users are increasing rapidly
due to which a competition has begun between
different internet and data service providers for
providing higher data rate with low cost. The
technologies such as IEEE, WiMAX, and Cellular i.e.
3G and 4G modified their technologies in order to
support high data rate and internet services. Similarly,
a few new concepts such as Cyber Physical System
(CPS), Internet of Things (IoT), and Machine to
Machine (M2M) communications came into existence.
Considering an example of how IoT systems can get
benefit from the heterogeneity of the networks like a
- 668 -
ICEIC 2015
compared to the other types of handover. In vertical
handover the MN perform handover from one AP or
BS to another AP or BS of a different network. In case
of vertical handover the route to destination is remain
the same and only the interface is changed. In diagonal
handover an MN performs handover similar to vertical
handover but the interface and route to destination is
changed. The diagonal handover is already
implemented by different operators and manufacturer
for technologies such as WIFI and GPRS. In all these
types of handover, the vertical handover is the most
recent and advanced technique. The services of such
handover are adopted by different operators and the
researchers are working hard to integrate it with new
technologies such as IoT and M2M etc.
In 2008, the IEEE published a new standard called
IEEE 802.21: Media independent handover to provide
support to the mobile user to seamlessly move across
cellular networks and all families of IEEE technologies.
[2]. MIH established the connection between lower
layers and upper layers by concatenating a new logical
layer between these layers. The Interconnections and
the exchange of messages are mainly performed
between by MIH function. The MIH function lies in
the heart of MIH logical layers. The MIH also uses
different events and services to support smooth transfer
of messages between different entities. However,
researchers tries to enhance the working of MIH
standard, still it contain different issues such triggering
handover on the basis of the RSS and etc. Using, RSS
for handover triggering leads to different issues such as
too late, too early, and wrong cell handovers.
Moreover, the vertical handover is further divided into
three phases such as 1) handover triggering, 2) target
network selection, and 3) handover execution. In
handover initiation phase, an MN initiates handover
when its current connectivity drops below a predefined
threshold. Recently, researchers proposed different
parameters instead of RSS such as velocity, bandwidth,
and data rate etc. traditionally, most of the schemes are
based on RSS but later on researchers identified
different problems caused due to RSS.
2. Related Work
The decision of handover and selection of target
network in heterogeneous networks is a challenging
job. The main problem facing by researchers are the
difference between the functionalities, services, and
operation of these technologies. Most of the traditional
schemes are based on a single parameter for network
selection. But with the passage of time research
explored that a single parameter is not enough to select
a target network [3]. Therefore, employing a single
parameter does not fulfill requirements of different
- 669 -
ICEIC 2015
criteria for network selection on the basis of the
Quality of Context (QoC). The proposed approach
efficiently solved the dynamic decision making
problem in fast user movement.
With the passage of time different decision
modeling techniques have been proposed. These
techniques contain the well known and famous
decision modeling such as TOPSIS [8], Grey relational
analysis (GRA) [9], VIKOR [10], and ELECTRE [11].
All of these schemes gets different criteria and then
rank the available networks on the basis of decision
functions and matrices. In above literature review, we
discussed the famous decision models used for
selection of a network. The working of these decision
models can be made more accurate by providing a
handover triggering technique. If a handover is
triggered at appropriate time then it can help in
reducing handover time and delay and a user can get
full benefits of the resources offered by a network.
3. Proposed Scheme
The proposed approach works in two stages where
in stage one, a handover triggering mechanism is
designed to reduce false handover indications and in
stage two, a network selection scheme is developed to
provide an MN with appropriate network.
=1
(1)
xij
C1
1
= 2
C2
1
2
C3
1
2
C4
1
2
C5
1
2
C6
1
2
C7
1
2
N1
N2
(2)
Nm
- 670 -
ICEIC 2015
In order to determine the negative and positive ideal
situation of a network, every criterion of the network
must be assigned with a particular weight. These
positive and negative ideal situations further help in the
selection process of an optimal network. The Weighted
matrix (Z) represents each context with their respective
weights.
1 1 2 1 3 1 4 1 5 1 6 1 7 1
1 2 2 2 3 2 4 2 5 2 6 2 7 2
=
1
2 3 4
5
6 7
(3)
= 1 , 1 , , 7
= 1 , 2 , , 7
(4)
(5)
where J = 1, 2, 3, . . . ,7
The main idea of the TOPSIS is that the best criteria
must closest to the reference ideal situation and worst
criteria must be farthest from it.
Therefore, it is important to calculate the distance of
each criterion from the positive (H+) and negative ideal
situations (H-). These positive and negative ideal
situations are computed using following relations.
+ =
7
=1
, = 1, 2, ,
(6)
7
=1
, = 1, 2, ,
(7)
++
MNs Connection
AP 4
BSW 1
AP 5
AP 10
AP 6 has high
AP 1
AP 6
BSC 3
BSC 1
AP 11
AP 3
AP 2
AP 12 has high
AP 7
(8)
AP 9 has high
BSC 2
AP 12
AP 9
BSW 2
AP 8
- 671 -
AP 14
AP 13
ICEIC 2015
220
UMTS
802.11
n
WiM
AX
100
10
kbps
100
80
sec
0.04
0.03
ms
300
150
ms
50
30
%
2
1
180
per
106
100
20
160
Handover Rate
Proposed Scheme
MIH standard
200
140
120
100
80
60
40
70
0.03
5
100
20
0.5
40
15
20
0
0
20
40
60
80
100
Number of MNs
1.2
1.0
0.8
0.6
0.4
0.2
0.0
0
10
20
30
40
50
60
70
80
90
100
Velocity (km/h)
5. Conclusion
- 672 -
ICEIC 2015
and handoff rate is significantly reduced. Simulation
results show that the proposed scheme performs
superior than the MIH standard.
Acknowledgements
This work was supported by the Ministry of
Education, Science Technology (MEST) and
National Research Foundation of Korea (NRF)
through the Creative Human Resource Training
Project for Regional Innovation (2014).
This work was supported by the IT R&D program
of MSIP/IITP. [10041145, Self-Organized
Software platform (SoSp) for Welfare Devices].
This study was supported by the BK21 Plus
project (SW Human Resource Development
Program for Supporting Smart Life) funded by the
Ministry of Education, School of Computer
Science and Engineering, Kyungpook National
University, Korea (21A20131600005).
References
[1] Q-T. Nguyen-Vuong, N. Agoulmine, and Y.
Ghamri-Doudane, "A user-centric and contextaware solution to interface management and
access network selection in heterogeneous
wireless environments," Computer Networks, vol.
52, no. 18, pp. 3358 - 3372, Dec 2008.
[2] IEEE 802.21, "IEEE Standard for Local and
metropolitan area networks - Media Independent
Handover Services," Institute of Electrical and
Electronics Engineers, New York, USA, Draft
May 2008.
[3] T.-C. Chu and Y. Lin, "An extension to fuzzy
mcdm," Computers & Mathematics with
Applications, vol. 57, no. 3, pp. 445 - 454, Feb
2009.
[4] Q.-T. Nguyen-Vuong, N. Agoulmine, and Y.
Ghamri-Doudane, "A user-centric and contextaware solution to interface management and
access network selection in heterogeneous
wireless environments," Computer Networks, vol.
52, no. 18, pp. 33583372, Sep 2008.
[5] J. Gozalvez, M. C. Lucas-Estan, and J. SanchezSoriano, "Joint radio resource management for
heterogeneous wireless systems," Wireless
networks, vol. 18, no. 4, pp. 443 - 455, May 2012.
[6] S. Maaloul, M. Afif, and S. Tabbane, "Vertical
Handover Decision Policy Based on the End
User's Perceived Quality of Service," in 27th
International
Conference
on
Advanced
Information Networking and Applications
Workshops (WAINA), Barcelona, 2013, pp. 493 498.
- 673 -
P4-23
2. Motivation
Streaming,
1. Introduction
In early communication system were put into place,
their main purpose was the transmission of the limited
data amount such as text based HTTP, e-mail, etc[1]. At
that time, only low-speed communication of several
kbps was possible. With the development in
communication technology, the absolute amount of
transferrable information has increased and a network
large enough to cover the worldwide established. At the
same time, display technology has evolved for mobile
terminals. It developed continuously and high resolution
displays are now being used on mobile communication
terminals as well. The combination of these two entirely
different technologies has realized the users desire for
high resolution video streaming service; a lot of related
research on video and communication technology has
been carried out. And the cross-layer approach is rising
for the video streaming services which are vulnerable in
wireless/mobile communication[2].
3. Proposed Algorithm
The video streaming service has two important
features. One is that 30-60 images are continuously sent
each second. If the video cant be sent continuously, the
- 674 -
ICEIC 2015
video cannot be replayed correctly even if the data
transfer speed is sufficient. On the other hand, if the
transfer speed is sufficient, it often occurs during
transfer that the terminal stays at idle mode. The second
is that the size of each image differs very widely. These
feature of video transfer mean that more efficient power
management is possible in video transfer systems; for
this, information sharing between codec layer and
communication layer, that is, a cross-layer approach is
necessary.
A cross-layer approach is necessary to utilize the
videos bit stream characteristics in the communication
layer; we propose a Video/Network Cross Layer
(VNCL) packet. In most cases, one single video frame
is sent as multiple communication packets. Here, the
VNCL packet has additional information such as total
number of video frames and frame size. Based on this
information, the time which can be moved to sleep mode
during packet transfer can be calculated for accurate
sleep mode transition timing.
Expected Sleep time is applied in the MAC layer
and calculated by
=
() ()
4. Simulation result
For the evaluation of proposed algorithm, we
simulated with raw format full HD video reference:
Riverbed.yuv, Rush_hour.yuv, and station2.yuv.
All raw format video data are encoded by H.264/AVC
codec. And the simulation is based on the power
consumption model of IEEE802.11n[3]. Table shows
the simulation results.
Table 1 Simulation Results
Power
consumption
Conventional
Proposed
Gain
Riverbed
Rush_hour
Station2
0.84 W
0.75 W
10.81%
0.60 W
0.30 W
49.10%
1.01 W
0.33 W
67.45%
5. Conclusion
References
Acknowledgement
This work was supported by the Technology Innovation
Program, 10035389, funded by the Ministry of
Knowledge Economy (MKE, Korea).
- 675 -
P4-24
LEO Satellite Mission Operation Department, Korea Aerospace Research Institute (KARI)
Department of Information and Communication Engineering, Hanbat National University
spyo@hanbat.ac.kr
Abstract
This paper reports a switchable antenna based on a
novel dual-embedded dual-loop perturbation for
circular polarization diversity. The perturbation can
generate both of circular polarization senses and
alternate polarization by controlling the states of
switches. Experiments of the implemented antenna
demonstrate good polarization controllability which
well agree the corresponding simulation results.
Keywords: Circular polarization, switchable antenna,
dual-embedded dual-loop perturbation
1. Introduction
It is well known that reconfigurable antennas provide
communication system capability to improve by
avoiding polarization mismatch losses and reducing
multipath fading interferences [1]. Especially, the
satellite and terrestrial data-link in S-band requires
different frequencies with opposite polarization senses
for alternating the transmitting and receiving operation
[2, 3]. In this paper, a switchable microstrip antenna
with dual-embedded dual-loop perturbing element is
proposed for circular polarization diversity. Details of
operation principle, antenna design and measurement
are addressed in the following sections
- 676 -
ICEIC 2015
on state (sim.)
on state (mea.)
off state (sim.)
off state (mea.)
12
8
4
-4
-8
15
-12
2.24
2.32
2.40
2.48
2.56
2.64
Frequency (GHz)
T= 0 ( +z )
30
300
330
60
30
300
60
( +y )
270
240
120
210
150
180
(a)
( +y )
90 270
240
90
120
210
150
180
(b)
(a)
4. Conclusion
(b)
-5
References
-10
-15
on state (sim.)
on state (mea.)
off state (sim.)
off state (mea.)
-20
-25
2.0
2.2
2.4
2.6
2.8
3.0
Frequency (GHz)
- 677 -
P4-25
1. Introduction
Recently, many communication standards, such as
LTE, WiMAX, etc., were developed, and they use
modulation signals with high peak-to-average ratio for
higher data rates. Consequently, efficiency of power
amplifiers (PAs) at back-off power regions is as
important as that at peak power. Accordingly, many
architectures such as envelope tracking (ET), envelope
elimination and restoration (EER), Doherty PA (DPA),
load modulation PA, etc., were developed. Among
these techniques, ET [1] and EER systems modulate
output supply voltage to get high efficiency. But, these
topologies consist of extra circuits like envelope
detector or envelope amplifier, leading to high circuit
complexity. DPA [2] also has some disadvantages such
as narrow bandwidth owing to a quarter-wave
transmission line and poor linearity due to peaking
2. Design methodology
Control Voltage
Co-designed
Power Amplifier
RF
Input
Input
Matching
Network
Tunable
Matching
Network
RF
Output
- 678 -
Optimum load
impedances ()
ZL1,opt = 22 + j27
ZL2,opt = j46
ZL3,opt = j76
Optimum source
impedances ()
ZS1,opt = 16 + j57
-
ICEIC 2015
Output
Matching
Network1
Tunable
Matching
Network
Output
Matching
Network2
Output
Zout
Fig. 2. Output tunable matching network of load
modulated PA
Fig. 2 shows a schematic of designed output TMN.
It is used as a part of output matching networks. .
80
PA without TMN
Load modulated PA
70
PAE (%)
Table 1 shows the optimum source and load impedances obtained from multi-harmonic source-pull/loadpull simulations using Agilent ADS. The simulation
showed a maximum PAE of 85% at the peak output
power of 39 dBm.
Next, output TMN was designed using varactors of
which the junction capacitance is controlled by the bias
voltage. The varactors modeled by a variable capacitor
with a minimum capacitance (Cmin) of 4.7 pF and a capacitance ratio (Cmax/Cmin) of 8. This varactor has a high
breakdown voltage about 60 V, hence it is suited for
high power applications.
60
50
40
30
20
10
22
24
26
28
30
32
34
36
38
40
4. Conclusion
In this paper, a design procedure of load modulated
PA was presented. The achieved result shows that PAE
increased 14.5 % maximally at 4.5 dB back-off region.
Acknowledgement
Zout,opt
Zout,locus
-1
-0.5
0.5
3. Measurement results
References
[1] F. Wang, A. Yang, D. Kimball, L. Larson, and P, Asbeck,
"Design of wide-bandwidth envelope-tracking power
amplifiers for OFDM applications," IEEE Trans. Microw.
Theory Tech., vol. 53, no. 4, pp. 1244-1255, Apr. 2005.
[2] A. Z. Markos, K. Bathich, D. Gruner, A. Al Tanany, and G.
Boeck, "Design of a 120 W balanced GaN Doherty power
amplifier," in Proc. German Microw. Conf., Mar. 2011, pp.
1-4.
[3] C. Fager, H. Cao, T. Eriksson, R. Jos, H. Nemati, A. Soltani,
and H. Zirath, "High efficiency transmitter using varactor
based dynamic load modulation," in Proc. IEEE Int. Microw.
Series on RF Frontends for Soft. Defined Cogn. Radio
Solutions, Feb. 2010, pp. 1-4.
- 679 -
P4-26
1. Introduction
Recently, UHD becomes to take an outstanding and
future-oriented position in video technologies.
Therefore its not difficult to say, in decades, most of
internet traffic is forecast to be UHD video traffic [1]. In
this paper, we propose a quality estimation model for
this advanced codec-based video streaming service.
= = .
= =
(1)
(5)
2552
3. Emulation of Model
+ = .
(4)
(3)
Codec
Option
Packet Loss
Criteria
Football, Tulip, Space_A, Space_B,
Shuttle, Spring
HEVC
All-intra, Low-delay, Random-access
Burst Random Losses (By Emulator)
The emulation test-bed is configured as a serverclient (push-pull) model. At the send point, the video
server initiates push-based transmission of a video
stream into the network. The server has the capability to
encode the video stream in different formats and is
- 680 -
ICEIC 2015
Acknowledge
This research was funded by the MSIP(Ministry of
Science, ICT & Future Planning), Korea in the ICT
R&D Program 2014 [1391104001, Research on
Communication Technology using Bio-inspired
Algorithm]
References
[1] Cisco visual networking index: forecast and methodology,
2011-2016, Cisco Public, May 2012.
[2] J J. Nightingale, Q. Wang, and C. Grecos, "HEVStream: a
framework for streaming and evaluation of high efficiency
video coding (HEVC) content in loss-prone networks,"
Consumer Electronics, IEEE Transactions on, vol.58, no.2,
pp.404-412, May 2012.
[3] Zhang et al., Mobile WiMAX field trial test through
multimedia performance evaluation, in EURASIP Journal on
Wireless Communications and Networking, Feb. 2012.
5. Conclusion
In this paper, the light-weight objective metric model
has been proposed for assessing UHD video stream for
the burst-lossy network. As there still exist technical and
economical limitations, to activate UHD services over
not only wired but also wireless environment,
maintenance of quality of HEVC video services will
become a challenge for ISP. The proposed VQEM can
estimate video quality with proper reliability and has
real-time capability suitable for practical applications
we expect to expand the model to cover the specific
configurations of video delivery schemes for network
providers.
- 681 -
P4-27
Abstract
This paper presents characterization of LCoS-based
WSS which is core technology for the next generation
ROADMs. We produced a computer generated
hologram using the Gerchberg-Saxton algorithm to
operate the five-port WSS, and examined the
performance of the hologram patterns.
Keywords: wavelength selective switch, liquid crystal
on silicon, computer generated hologram.
1. Introduction
With increasing interest in reconfigurable optical
adddrop multiplexers (ROADM), liquid crystal on
silicon (LCoS) based wavelength selective switches
(WSS) become attractive as a key component of the
ROADMs.[1-3] LCoS-based technology have several
advantages such as flexible spectrum coverage,
robustness, and adaptive alignment.
By introducing computer generated hologram
(CGH) design technique [4], the LCoS based WSS can
be capable of deflecting an input signal to multiple
output ports. We examine the usability of the CGHs for
the proposed WSS.
2. Proposed architecture
Figure 1 illustrates the schematic diagram of the
proposed WSS system which consists of a collimator
array, a prism, a grating lens, a focal lens and LCoS
module. The collimator array consists of an input port,
five output ports, an YVO4 crystal and a half wave
plate (HWP). The LCoS module consists of an array of
1920 1080 pixels on a pitch of 8.4 m.
- 682 -
ICEIC 2015
Figure 2 shows the measurement setup for
reconstruction of the original image using the CGH
pattern displayed on LCoS device. A laser beam is
linearly polarized to produce a vertically polarized
collimated source. The beam is projected via a beam
splitter towards the LCoS device that reflects the beam
back to the beam splitter. The reflected beam produces
a reconstructed image on CCD camera.
(c)
Figure 3:
(a) Original image to be encoded (b) CGH pattern
(c) Simulated reconstructed image
It is under way to get actual reconstructed images
using the experiment setup as shown in Fig. 2.
Figure 2: Measurement setup.
4. Conclusion
3. Experimental results
Figure 3 shows the experimental results of the
reconstruction of the original image using binary CGH
pattern. Fig.3 (a) shows the original image for optical
interconnect switches and Fig. 3 (b) depicts a binary
CGH pattern of the original image. Fig. 3(c) shows the
simulated reconstructed image from the binary CGH
pattern. As shown in Fig. 3, the reconstructed image is
identical to the original image and verified the
usefulness of our developed CGH generation program.
It is natural that the twin image appeared in the
reconstructed image due to the Fourier inverse
transformation.
References
[1] N. Collings, T. Davey, J. Christmas, D. Chu, and B.
Crossland, "The applications and technology of phase-only
liquid crystal on silicon devices," J. Display Technol., vol. 7,
No. 3, pp. 112-119, 2011
[2] O. Gerstel, M. Jinno, A. Lord, and S. J. Ben Yoo, "Elastic
optical networking: A new dawn for the optical layer," IEEE
Commun. Mag., vol. 50, pp. S12-S20, 2012
[3] M. Johansson, S. Hard, B. Robertson, I. Manolis, T.
Wilkinson, and W. Crossland, "Adaptive beam steering
implemented in a ferroelectric liquid crystal spatial-lightmodulator free-space, fiber-optic switch," Appl. Opt., vol. 41,
no. 23, pp. 4904-4911, 2002
(a)
(b)
- 683 -
P4-28
Abstract
In this paper, a new load balancing routing
(ALBMesh+) algorithm is proposed for enhancing the
network throughput in 802.11 based multi-channel
multi-radio wireless mesh networks. In order to
achieve this, the ALBMesh+ that can automatically
distribute the traffic load using the well-known ant
colony optimization is proposed. It is expected that the
proposed algorithm could provide a useful tool for
network operators to increase the network throughput.
2. Related works
Keywords: Ant colony optimization, multi-channel
multi-radio wireless mesh network, load balancing
routing algorithm.
1. Introduction
Recently, the enhancement of the network
throughput in Wireless Mesh Network (WMN)
becomes a key issue as the mobile traffic exponentially
increases [1]. To improve the network throughput, the
Multi-channel Multi-radio (MCMR) technology should
be applied to WMN, and some algorithms at the
various network layers try to consider this technology.
In particular, the routing algorithm is one of the key
are to enhance the network throughput in MCMR
WMNs. Although the previous work [2] considers the
MCMR environment, they still have some limitations
as follows. First, the most used link quality aware
routing algorithms can induce the network congestion
as well as the degradation of quality of services.
Because, they tend to choose the specific node which is
the highest link quality, thus, this choice consequently
leads to above mentioned problems. Second, the traffic
load aware routing algorithms to solve the problem
lead to decrease the network throughput; because, they
only consider traffic load without the link quality.
- 684 -
ICEIC 2015
3. ALBMesh+
time, the node also updates Rij and Qij using ASAi j
idj
idj idj +
where,
I infij
ri j
(1)
pidj =
idj
1
+ (1 ) j
k
Qid
id
k Ni
(2)
f (i, j )
where =
f (i, k )
k Ni
Acknowledgement
Pij
References
[1] M. Elias, et. al., Routing Metrics and Protocols for
Wireless Mesh Networks, IEEE Network, Jan. 2008, pp. 612.
[2] Ma, L. and Denko, M.K., A Routing Metric for LoadBalancing in Wireless Mesh Networks, International
Conference on AINWA20 07.
[3] M. Dorigo and T. Stutzle, Ant colony optimization,
The MIT Press, 2004.
[4] G. Lian et. al., Adaptive Situation-Aware Load Balance
Scheme for Mobile Wireless Mesh Networks," MobiWorld
Workshop at INFOCOM 2011.
- 685 -
P4-29
0.6
0.4
0.6
0.4
37.5
Slot
1. Introduction
20
z
Unit : mm (a)
Metal Area
40
14.5
5.5
Feed
0.5
8.3
1
1.5
7.6
z
x
Unit : mm (b)
Feed
2. Antenna geometry
The geometry of the proposed antenna is shown in
Figure 1. There is a slot on the top of the FR4 substrate
as shown in Fig. 1 (a). A T-shaped coupled feed with
two parasitic elements are located at the bottom as
(c)
3. Simulated result
- 686 -
ICEIC 2015
5.8 GHz
ISM
Band
2.45 GHz
ISM
Band
10
20
30
2.2
2.4
2.5
5.7
2.6
5.8
5.9
6.0
Frequency [GHz]
2.45 GHz
ISM
Band
5.8 GHz
ISM
Band
10
Phantom
20
10
270
30
2.2
60
200
Unit : mm
2.3
2.4
2.5
2.6
on the phantom
in free space
5.7
5.8
5.9
6.0
Frequency [GHz]
4. Conclusion
(a)
(b)
Acknowledgement
This work was supported by Samsung Electronics.
References
(c)
(d)
- 687 -
P4-30
Abstract
We propose a technique to enhance the modulation
bandwidth in OFDMA-PON uplink transmission. An
IL-FP-LD was adopted to enhance the modulation
bandwidth in colorless based optical access scheme.
Through this technique, the number of ONU within a
wavelength can be increased in OFDMA PON.
Keywords: OFDMA-PON; IL-FP-LD; DMT
1. Introduction
Recently, orthogonal frequency division multiple
access (OFDMA) based passive optical network (PON)
system has been researched to accommodate the
explosive increase in data traffic. OFDMA-PON can
provide heterogeneous service and higher optical
spectral efficiency and flexibility by employing
dynamic bandwidth allocation (DBA) and adaptive
modulation format. [1]
With regards to OFDMA-PON studies, there is a
critical issue that implementation of high-capacity
transmission and increase of the number of ONUs for
uplink transmission. The most researched optical
component for uplink transmission in single fiber
loopback system is RSOA. However, the RSOA has
limited bandwidth (about 1~1.2 GHz) so it is difficult
to transmit high speed data and to accommodate many
ONUs.
In this paper, we proposed an OFDMA-PON uplink
transmission system, based on an IL-FP-LD. We could
expand modulation bandwidth through proper
controlling of injection-locked fabry-perot laser diode
(IL-FP-LD) [2] and it leads to improvement of
transmission capacity for uplink transmission.
Furthermore, in an aspect of cost effectiveness and
colorless characteristic, the IL-FP-LD is suitable to
PON system. This proposed technique was conducted
by employing discrete multitone (DMT) to generate
2. Experiments
Fig 1 shows proposed experimental setup for the
verification of OFDMA-PON uplink transmission
system using IL-FP-LD. The seed source from OLT
passed through EDFA, VOA and OBPF to adjust the
injection ratio and compensate for the attenuation
arisen from optical link. Polarization controller (PC)
was used to optimize injection-locking. After locking,
IL-FP-LD was modulated by the DMT signal with
QPSK symbol mapping on the subcarriers. The number
of DMT subcarriers was 256 and the FFT size was 512
due to Hermitian symmetry. We varied injection ratio 15 dB to -3 dB and bias current of slave LD from 16.4
mA to 24.19 mA to find optimized and stable channel
state. After 23km uplink transmission, the injectionlocked optical source passed through gain saturated
SOA to suppress the OBI and RB noise. The isolators
and circulators were used to prevent reflective factors
arisen from optical link. After direct detection, the
DMT signal was demodulated by offline processing.
3. Discussion
Fig 2 shows the frequency response of IL-FP-LD
according to the injection ratio. As the injection ratio
increased, relaxation oscillation (RO) frequency is
increased but the peak power of RO frequency (which
is related with RIN) is decreased. It result in stable
channel state and consequently we could get more
modulation bandwidth. Fig 3 shows the frequency
response of IL-FP-LD according to the bias current of
slave LD. When the bias current increased, the RO
frequency is increased and the 3dB bandwidth is
improved. From the two results, we determined the
injected power and bias current of slave LD about -10
dBm and 21.02mA, respectively.
- 688 -
ICEIC 2015
Fig. 1. Experimental setup for the OFDMA-PON uplink transmission system based on IL-FP-LD
The modulation bandwidth enhanced 1.2 GHz to 3.5
GHz and reduced the RIN about 13dB. After achieving
the stable channel state, we transmitted the DMT signal
for the OFDMA-PON uplink transmission. Fig 4 shows
the BER curves against the received optical power. The
2.79 Gb/s (DC to 1.4 GHz) DMT signal from the
ONU1 and 3.98 Gb/s (1.45 GHz to 3.5GHz) DMT
signal from the ONU2 were transmitted successfully.
4. Conclusions
We have proposed and demonstrated OFDMA-PON
uplink transmission system based on IL-FP-LD. The
characteristics of IL-FP-LD are validated and we could
expand the modulation bandwidth by increasing the RO
frequency and reducing the noise floor. As results, we
achieved 3.5 GHz modulation bandwidth and it leads to
6.77 Gb/s uplink transmission over 23 km of SSMF
successfully.
Acknowledgement
This work was supported by the ICT R&D program of
MSIP/IITP, Republic of Korea, [13-911-05-002]
Fig. 2. Frequency response of IL-FP-LD according
to the injection ratio
References
[1] W.W. Chonggang et al., Optical orthogonal frequency
division multiple access networking for the future Internet,
J.OPT.COMMUN.NETW, vol.1, no.5, pp. A236-46, Jul
2009
[2] T.B. Simpson et al., Enhanced modulation bandwidth in
injection-locked
semiconductor
lasers,
IEEE
Photon,Technol,Lett, vol.9, no.10, pp. 1322-4, Oct 1997.
- 689 -
P4-31
%
#+ "
, 4 4
"! 4 ! !
,
"" %
$
$ '
$
$
#
8 !! ! ,4 !
" !!4'
$
#
$#
$#
# ! 84 ! ! ! !
,4
!
4 ,4 ! ! !#
-%
!'
.#
!"$' /
!! "#4!:B;'
'!
'
C " !44 ! 4" !# ! !
! 4!' (! ! ! ! ! "
('
(&+*)+()&
,4 !
4 4! 4 ! ! ! !
&*
!')! !,4!
! &", .--/ ,
! 10
! " ! 4 ! ,!# !
!
2
! 1!4 04 !
3 " (0+
,4
:/;'
2(!
!4 "
! 04 !
+ !3 !4
= ! !!! !,4 !
!4,#
.5 ' 6 ! ,4 !#
,4 ! ! ! ! ! 4
10 +7 " 8
! 4! ! , ! !!4
! ! , , ,4 !
! ,! !
! 10 " ! , !, !
8 &* !' 4 ! ! ,!
7# , 4:9;'
44!" 4" !# !
!" ! ,#
8
,4
,!!! '
< 4
! ! ! ,4 !
(0
( !
! ,!
!
+2(!
!4 "
! 04 !
+ !3
44!"4" !#!
! ! ! !!
! 0+ 204
!4 + 3 )=1
!" ! ,! ! ! '
2)!
=># " 1!8
3 !4 , 4
C 8! ! 4"4 !
! ! # !' + ! !
!'
,4 !
,44!,!4!4
!+7
+7 ? ! /1@ ,44! 4 ! , ! !
! !> 4 ! !"
!>
'=>#
!(0+
)=1 , !! 4 ! ! ! 4
4
4 , !
=
9 10 " 4
(0+ !4'
"! :.;:?;' 9
! ! 4
! +7 ,4 !
)
4"44!9?
!
'./A'*
4
" , ,4 ! " !! !
- 690 -
ICEIC 2015
! ! 4
! ,4 !
"
"& ! 4 !!!:9;'
=
'9(0+ ",4
'(1%D1&+6+()&)=)&G1&+1)0(D+7
= '?=!,(0+
6! ! *=
! ! !!4 ! ! !
4 )=1 44! ! ! ! ! ,
4"44!!4
!66!44!"4
! 1%G.2 './A3' =# ! 4 4 "4
4 #4 D' 64 ! "4 !! !
" !4!!*G0!! '
+ ! ! ! ! (*
- 691 -
ICEIC 2015
=
'/!! !4" !#
(
/ ! !! !
4
! "4
10
!
- 692 -
= 'J+&*
ICEIC 2015
=
9- ! 10 " ! (0+
!'
:B; 4
$# $
#
N (0+ ' 9B99B. #
.--F
:/; 6! ' 1' O6 ! ! 4" !# !>
! O !4 6
! ( 7' 9/ ( J '
9AB99ABJ955J
:F;
#'+$'(0P+%
'95?Q95A.--J'/
:J; 1G
!+ !R*=44
.--/'?'?ABQ?BB
:5; 04 G' ' 4 =' # =' ! '''
O* ! !(0+ # !! ! !4
!+7
!4# 4 ! 0@ O 04 !
(
+ ! 7'B.( 9'?JAA.--/
(! !
!!!!4
!! !
!
!
4 ! !
,
8
, ,!! ! #' ( ! ! !
(0+
!!!
4! 4 #4'
')&D()&
1 ! 10 ! ", ! !! 4
4 " ! !! !"! ' (4
! " ! , ! !"
- 693 -
P4-32
1. Introduction
Inverse synthetic aperture radar (ISAR) image [1] is
a two-dimensional image obtained by coherently
processing the radar signal received at various aspect
angles. Because of using the electromagnetic wave, this
image can be applied regardless of weather and daynight conditions. However, a major difficulty arises in
the classification of the ISAR image because of the
translation and the rotation caused when the ISAR
image is obtained. In this paper, we propose an
efficient method to classify ISAR images, which
obtains the translational and the rotational invariance
by using the two-dimensional (2D) Fourier transform
(FT) of the ISAR image. Classification result using the
measured ISAR image demonstrates the effectiveness
of the proposed method.
2. Proposed method
The proposed method utilizes the characteristic of
the 2D Fourier; the translation and the rotation in the
image domain are phase multiplication and rotation in
- 694 -
ICEIC 2015
conducted in a compact range by varying the frequency
from 8.3 to 12.3 GHz with an increment of 10 MHz.
The 2D FT of the ISAR image rotated by
60clockwise is rotated and shifted by the same rotation
angle in the (u, v) and (r, ), respectively (Fig. 1 and
Fig. 2). The re-rotated image counterclockwise is
almost identical to the original image (Fig. 3). As a
result, the classification results obtained for various
SNRs were close to 100 % (Table 1), demonstrating
the effectiveness of the proposed method.
Figure 3. Re-rotated image of Fig. 1b. The target has
the same pose as in the original image.
4. Conclusion
Acknowledgement
This research was supported by Basic Science
Research Program through the National Research
Foundation of Korea (NRF) funded by the Ministry of
Education,
Science
and
Technology
(2012R1A1A1002047).
References
[1] C. C. Chen and H. C. Andrews, Target-motioninduced radar imaging, IEEE Trans. Aerosp. Electron.
Syst., vol. 16, no. 1, pp. 2-14, Jan. 1980.
[2] S. H. Park, H. T. Kim, and K. T. Kim, Cross-range
scaling algorithm for ISAR images using 2-D Fourier
transform and polar mapping, IEEE Trans. Geosci.
Remote Syst., vol. 49, no. 2, pp. 868-877, Feb. 2011.
3. Experimental result
To demonstrate the efficiency of the proposed
method, we conducted the classification test for various
values of signal-to-noise ratio (SNR) using the
measured radar signal of five aircraft models, F4, F14,
F16, F22, F117 and Mig29. Measurement was
- 695 -
P4-33
Abstract
In this paper, a dipole antenna with parasitic
elements for WBAN Applications is proposed. By
adopting
parasitic
elements,
dual-resonance
characteristic is achieved. To analyze the antenna
performance on a human body, the proposed antenna
located on a human equivalent flat phantom is
simulated. A return loss characteristic of the antenna
is insensitive to the existence of the phantom. The
antenna has radiation characteristic suitable for onbody communication applications.
Keywords: Dual-resonance, dipole antenna, WBAN
(a)
1. Introduction
With the recent development of wireless
communication technology, wireless body area network
(WBAN) has received great attention [1]. WBAN
devices can be classified depending on location: inbody, on-body, and off-body [2]. To increase the
efficiency of an on-body communication link between
two on-body devices, an antenna should have radiation
characteristic along the body surface like that of a
vertical monopole. But, the large height of the vertical
monopole is not suitable for on-body applications.
In this paper, a low-profile dipole antenna which
radiates along the body surface for 5.8 GHz ISM
(industrial, scientific, and medical) band WBAN
applications is proposed.
2. Antenna geometry
Figure 1 shows the proposed antenna that consists of
a dipole radiator, two parasitic elements, and a slot.
The dipole element and two parasitic elements are
located on the top of an FR4 substrate (r = 4.4) with 1
mm thickness. Two parasitic elements are used to
increase the bandwidth by generating additional
resonance. The electrical length of the proposed
(b)
Figure 1: Geometry of the proposed antenna (a)
Top view, (b) Bottom view
antenna is increased by adding a slot on the ground
plane. The ground plane is located on the bottom of the
substrate with a total size of 41 48 mm2.
In order to analyze the performance of the antenna
on a human body, the human equivalent flat phantom
having a dimension of 200 270 60 mm3 is utilized.
Electrical properties of the human phantom are equal to
those of a human tissue (r = 48.2, = 6 S/m). The
- 696 -
ICEIC 2015
4. Conclusion
In this paper, a low-profile dipole antenna for onbody WBAN applications is proposed. The proposed
antenna on a human equivalent phantom has wide
bandwidth (5.602 GHz 5.838 GHz) covering 5.8
GHz ISM band by using parasitic elements. Return loss
characteristic is insensitive to the existence of the
phantom. The antenna provides the radiation along the
near surface direction for on-body communication.
Consequently, above advantages make the proposed
antenna be a good candidate for WBAN applications.
Acknowledgement
separation distance between the phantom and the
antenna is 10 mm.
3. Simulated result
The simulated return losses of the proposed antenna
on the phantom and in air are shown in Figure 2.
Simulations were carried out by using HFSS [3]. A 10
dB return loss bandwidth of the antenna is 0.236 GHz
covering 5.8 GHz ISM band (5.725 GHz 5.825 GHz).
The return loss characteristic is insensitive to the
existence of the phantom.
The return loss bandwidth enhancement for the
proposed antenna is illustrated in Figure 3. A first
resonance (f1) of the dipole combines with the second
resonance (f2) formed by the parasitic elements, which
accomplishes dual resonance characteristic.
Figure 4 illustrates the simulated radiation pattern of
the proposed antenna at 5.78 GHz. The antenna has the
References
[1] S. L. Cotton and W. G. Scanlon, A statistical
analysis of indoor multipath fading for a
narrowband wireless body area network, IEEE
International Symposium on Personal, Indoor and
Mobile Radio Communications, pp. 15, 2006.
[2] P. S. Hall and Y. Hao, Antennas and propagation
for body-centric wireless communications, chapter
1, Artech House, Norwood, Mass, USA, 2006.
[3] ANSYS Inc., Ansoft high frequency structure simulator
(HFSS), ver.15, ANSYS Inc., Ansoft Corporation,
Pittsburgh, PA.
- 697 -
P4-34
1. Introduction
Underwater acoustic channel has been studied to
understand the nature of the underwater. T. C. Yang
has suggested the spatial correlation model in the
underwater obtained by experimental data recorded
with multiple hydrophones [1]. Moreover, a stochastic
model of signals reflected by the ocean surface has
been proposed [2]. The proposed method is expected
to be used in generation of time-series channel signal.
In this paper, generation of Multi-Input and SingleOutput (MISO) channel signal is proposed. The
required channel information is estimated from
BELLHOP, a ray-based popular deterministic underwater acoustic channel simulator. The scattering
coefficient of the time-varying Pierson-Moskowitz
(PM) surface is used to model the signal fluctuation
due to the ocean surface. The generated MISO channel
signal is made correlated by multiplying a matrix,
which created by the spatial correlation model
proposed in [1]. Finally, generated MISO channel
signal and its ergodic channel capacity curves are
provided.
h t an n t exp 2 f c n ,
(1)
n 1
- 698 -
ICEIC 2015
(2)
b x 135 25 cos 2
,
500
1
r
2M
,
(4)
R 21
1
rM 1 rM 2
where
i j 2
rij exp
(5)
.
(8)
3. Conclusion
Generation of correlated MISO underwater acoustic
channel signal is demonstrated. The channel capacity
can be easily estimated using the generated channel
signal.
Acknowledgment
This work was supported by ICT R&D program of
MSIP/IITP. [12-911-01-108, Massive MIMO technology based on space-polarization division multiple access for super-macro cellular system]
References
[1] T. C. Yang, A Study of Spatial Processing Gain in
Underwater Acoustic Communications, IEEE J. Ocean.
Eng., vol. 32, no. 3, pp. 689709, Jul. 2007.
[2] J. Kim, I.-S. Koh, and Y. Lee, Short-term fading model
for signals reflected by ocean surfaces in underwater acoustic
communication, IET Commun., to be published.
- 699 -
P4-35
W1
Ls
Lp
Lg
W2
Layer 1
+V1
-V2
+V2
-V1
Ground slot
H1
Layer 2
H2
Differential
feed
Reflector
1. Introduction
Development of new base-station antennas has
become one of the most important tasks in
contemporary antenna engineering. Multiple Input
Multiple Output (MIMO) applications have been
suggested as an effective way to increase the channel
capacity by exploiting multipath scattering effects [1].
In addition, multi-band antenna can further enhance
channel capacity, by applying it to carrier aggregation
with MIMO simultaneously [2].
In this paper, a dual-band dual-polarized antenna is
demonstrated for Carrier Aggregation & MIMO
application. The antenna consists of composite
structure with slot and patch, which operated at each
resonant frequency. Also, due to exactly symmetric
structure and differential feed, the proposed antenna
provides two orthogonal polarized radiations with high
cross polarization levels at each band. For the
verification, an antenna is designed and simulated,
which operates at GSM (880960 MHz) and UMTS
2. Antenna Configuration
In this paper, shown in Fig. 1, an antenna is
proposed to have not only dual-band behavior, but also
dual-polarization operation. The antenna configuration
is composed with two well-known resonant radiators
which are sharing the microstrip feed. Both radiators
consist of ground slot and patch which operate at lower
and upper frequency, respectively. Also, this proposed
antenna is fed by microstrip line beneath layer 2, a pair
of crossing ports in layer 2 facing each other forms a
differential port for each polarization. A verticallypolarized wave is radiated when +V1 and V1 are driven
with a phase difference of 180, while a horizontallypolarized wave is generated when +V2 and V2 are
excited with a phase difference of 180. Finally, a
- 700 -
ICEIC 2015
reflector is put with distance of H2=50 mm to reduce
backward radiation at lower passband.
When the lengths of each radiator Ls and Lp are
around half wavelength, both can resonate at each
frequency [4]. While selecting feed position in ground
slot affect dominantly matching performance at lower
frequency, but air gap H1 is the most important
parameter for matching at upper frequency. In addition
to both resonators, the rectangular shaped ground on
layer 2 also generates another resonant mode, thus the
antenna enhance the bandwidth of lower passband.
Shown in Fig. 2(a), while upper passband has only on
resonant mode, but the lower passband has two modes;
slot mode and ground mode.
Reflection (dB)
-10
-20
-30
0.5
1.0
1.5
2.0
Frequency (GHz)
2.5
3.0
(a) Reflection
Isolation (dB)
-90
0o10
-60
dB
90o
60
-10
o
120o E plane-120
(Co-)
0
o
-10
-120o
dB
30o
-10
60o
90o
-20
o
-90o
-20
-150
-20
0o10
-20
30o
-120o -20
-90o
-60o
60o
-20
-10
-30o
30o
-10
-60o
-30o o
dB
90
10 dB
150o
180o
o
@ 0.9 120
GHz
E plane (X-)
H plane (Co-) -150o
H plane (X-)
-10
0
120o
10 dB150o
180o
@ 1.9 GHz
Fig.
gain pattern (thicker) of the proposed
10 dB 150o
-150o 3. Simulated
o
antenna180with cross polarization level (thinner).
4. Conclusion
In this paper, a dual-band, dual-polarized antenna
for MIMO applications is proposed with an extension
of carrier aggregation. A design provides dual
polarizations in both of the bands: GSM (880960
MHz) and UMTS (1850-1990 MHz) with good
impedance matching. In addition, good crosspolarization characteristics is provided because of its
symmetric structure and differential feed. With these
good performance, therefore, the proposed antenna is
very attractive candidate as a base station antenna for
B4G/5G communication system.
0.0
0o10
-30o
-80
Acknowledgements
-100
-120
0.0
0.5
1.0
1.5
2.0
Frequency (GHz)
2.5
3.0
References
(b) Isolation
Fig. 2. S-parameters of the proposed antenna
- 701 -
P4-36
Abstract
This work presents a simple method of
miniaturization using shunt capacitors with minimized
bandwidth
shrinkage.
The
deterioration
in
performance due to miniaturization can be relieved by
adjusting the location of capacitors and it can also
minimize bandwidth shrinkage. The ideal circuit
simulation results of a miniaturization of quarter
wave-length transmission lines with various loading
location and its application to Wilkinson power divider
shows the validity of this method.
Keywords: Wilkinson power divider, miniaturization,
miniaturized transmission line, minimized bandwidth
shrinkage, shunt-capacitive loading.
1. Introduction
The reactive loading method is one of the popular
methods of miniaturization for transmission line [1-3]
due to its simplicity. They have been applied to various
circuits consisting of quarter-wavelength transmission
lines such as impedance transformer, Wilkinson power
dividers [1], filters [2], and so on [3]. However,
because it is based on mathematical equivalence at
design frequency, the method suffers from bandwidth
shrinkage after miniaturization. It can be relieved by
loading more reactive elements and/or other structure
modification, but it requires high fabrication cost
and/or complex design procedure.
In this paper, the simple method of miniaturization
by shunt capacitors that allows minimized bandwidth
shrinkage is presented. Based on this method, the
benefit of reactive loading method such as low cost
fabrication, and design simplicity can be maintained
and the performance degradation can be reduced.
2(1 sin 2 1 )
sin (21 ) sin 2 2 sin 2
2
2
2
1 sin(21 2 ) sin (21 ) sin 2
.
2
2
ZC 0 sin (1 2 / 2) sin (1 2 / 2)
(1a)
(1b)
- 702 -
ICEIC 2015
2
1 2
100 (%).
(2)
4. Conclusion
This work demonstrates the simple method of
minimizing bandwidth shrinkage due to miniaturization
using shunt-capacitive loading. By adjusting the
loading location of two shunt capacitors, performance
of miniaturized line can be improved and there is a
location that can reduce the performance degradation
than other loading locations.
5. Acknowledgment
This work was supported by ICT R&D program of
MSIP/IITP.
[12-911-01-108,
Massive
MIMO
technology based on space-polarization division
multiple access for super-macro cellular system]
References
[1] M. C. Scardelletti, G. E. Ponchak, and T. M. Weller,
Miniaturized Wilkinson Power Dividers Utilizing
Capacitive Loading, IEEE Microw. Wireless Compon. Lett.,
pp. 6-8. January 2002.
[2] J.-H Park, S. Lee and Y.Lee, Extremely Miniaturized
Bandpass Filters based on Asymmetric Coupled Lines With
Equal Reactance, IEEE Trans. Microw. Theory and Tech.,
pp. 261-269. February 2012.
[3] W. Nie, S. Luo, Y.-X. Gue and Y.Fan, Miniaturized
Rat-Race Coupler With Harmonic Suppression, IEEE
Microw. Wireless Compon. and Lett., pp. 754-269.
November 2014.
- 703 -
P4-37
Abstract
In this paper, we proposed optimal LED module
intelligent systems for smart emotional-lighting control.
Optimal LED module intelligent systems for smart
emotional-lighting control is controlled automatic and
manual control of smart devices that can confirm the
selection, and according to the choice of the current
was defined intensity level of lighting equipment
sensitivity. The proposed optimal LED module
intelligent systems are generated automatically pattern
when run the program. And it was distributed
uniformly of the illuminance by changing the lighting
pattern of the illumination device. An efficiency
verification results of LED module intelligent systems
for smart emotion-lighting control, were possible to
improve the luminous efficiency with a minimum of
interference to internal heat generation of the LED
module through to lighting control by environmental
information around of the LED lighting device. And it
was reduce to energy consumption.
1. Introduction
Modern society is increasing a life time of the
interior space due to urbanization and changes in the
industrial structure. Lighting is requiring various
changes depending on the user's actions in each area.
Users are different perceive the environment and
things, according to light quality and quantity,
projection method. Lighting have an effect on directly
and indirectly any behavior in space. LED is currently
being evaluated as candidates light source for the next
generation. [1-4]
This paper proposed an optimal LED lighting
control algorithm in order to complementary to
disadvantages of the existing lighting device. Such
algorithm has reduce heat of LED, that divisions
pattern structure to using a magic square and performs
pattern lighting. And we designs the LED lighting
control system to obtain low power and energy
efficiency by control to lighting pattern of LED at real
- 704 -
ICEIC 2015
Green Blue
Blue Red
4. Conclusions
In this paper proposed algorithm of this paper was
compared with existing full-light approach. With the
result, luminous flux of light reduced about 25%.
Power consumption reduced about 0~30% and heatvalue reduced about 8.8~31.6%. In addition, it was
increasing life-cycle of the LED, and energy efficiency.
If pattern used a magic square applied to a variety of
lighting devices then can efficiency from power
consumption and LED life-cycle is maximized.
Therefore, in this paper proposed an optimal LED
lighting control algorithm to reduce power
consumption is expecting to improvement on lighting
control technology of lighting industry.
ACKNOWLEDGMENTS
This research was supported by the MSIP(Ministry
of Science, ICT and Future Planning), Korea, under
the Human Resource Development Project for SoC
support
program
(NIPA-2014-H0601-14-1001)
supervised by the NIPA(National IT Industry
Promotion Agency).
References
3. Experimental Results
- 705 -
P4-38
1. Introduction
In recent, the imaging information using the imaging
sensors can improve to the driver's situational
awareness ability with assisted to driving stability. The
vehicle image stabilization technique is a technique to
obtain an image without blurring and sharp through
image stabilization when camera shakes as the external
factors such as wind or vibration, a hand shake. Its
importance is increasing in various driver assistance
systems such as automatic driving, lane detection,
navigation tracking of pedestrians and the vehicles. In
addition, the imaging stabilization algorithms
implementation and chip development, the requirements
for improve stability have being increasing through the
driver situation awareness [1-4].
The Lucas-Kanade Optical flow using a gyro sensor
is occurred a problem for the decreased of the rotation
angle accuracy by continuous accumulation of errors.
When it is applied to the vehicle, it is difficult of
determine the exact value, because the absolute position
- 706 -
ICEIC 2015
filter except ILMV through judgment of an accurate
GMV using low computational repeat. The shake was
calibrated as the four directions for adjust shaking of
vehicle imaging using a measuring GMV.
The image divide step of shake elimination image
was divided into certain area of the image in order to
the image shaking stabilizer, and it calculated the
histogram of the pixel values from each sub-image. The
contrast enhancement control step slowly adjust the tilt
of the histogram by decentralize to the histogram form
that concentrated to a specific value for result of the
shaking of the image at the histogram of the pixel
values measured. The elimination of boundary artifacts
using bilateral weighting scheme was adjustment of
histogram gradient by luminance limit, and determined
concentration of histogram gradient by concentration
limit. The luminance limiting step adjust the tilt
depending on the value of the brightness of the image.
The concentration limiting step determine the
concentration of histogram. The population limiting
step reduce the number of pixels in the inclination of
the CDF. The merging step created a weight by the
three criteria for the boundary artifacts removal, and the
inclination was re-generated by multiplied of weight.
And, next step were improved the histogram of each
sub-image. Also, it was decreased the number of pixels
by pixel concentrate limit, and merged of image by
enhance histogram of each sub-images.
4. Conclusion
In this paper, we proposed a new vehicle image
compensation
enhancement
algorithm.
The
experimental results of the propose algorithm was able
to obtain a restored result of the less noise and the
naturalness through comparison of luminance and color
levels, and it was demonstrated the efficiency. The
proposed imaging processing techniques is can enable
the implementation of a low cost without incurring
additional costs because the infrastructure has already
been built.
Acknowledgment
This research was supported by the MSIP (Ministry
of Science, ICT and Future Planning), Korea, under the
Human Resource Development Project for SoC support
program (NIPA-2014-H0601-14-1001) supervised by
the NIPA (National IT Industry Promotion Agency).
References
- 707 -
ICEIC 2015
Poster Session
PS-5
Signal Processing
P5-01
Fast Intra Coding Method with Reduced RDO Complexity Using Regional
Edge Information in HEVC
Byungjin Chung and Changhoon Yim
Department of Internet and Multimedia Engineering, Konkuk University, Seoul, Korea
cyim@konkuk.ac.kr
Abstract
In this paper, we propose a fast intra coding method
based on regional edge information to reduce the
computational
complexity
of
rate-distortion
optimization (RDO) process in HEVC. If first performs
edge detection in sub-regions and estimates the
angular direction from the gradient values. The
proposed method reduces the number of prediction
modes for RDO process using the extracted angular
mode information. If there are many overlapping
modes in different sub-regions, the number of
prediction modes is greatly reduced. If there are few
overlapping modes in sub-regions, it performs the
RDO computation as the existing method. Simulation
results show that the computation time is reduced
significantly, while the compression performance is
similarly maintained.
2. Proposed Method
A. Extraction of local edge information
0
1. Introduction
High efficiency video coding (HEVC) was recently
approved as a new video compression standard [1].
The HEVC could achieve improvements of
compression efficiency about 40~50% compared with
the existing H.264/AVC standard. The HEVC employs
the quad-tree structure in coding unit (CU), prediction
unit (PU), and transform unit (TU) [2, 3]. The HEVC
can go through recursive division process from 64 64
size to 8 8 size for each CU, and it determines the
best size of CU through rate-distortion optimization
(RDO). By the flexible size of CU, HEVC improves
the prediction accuracy. However the computational
complexity is greatly increased because there can be
many possible combinations of CU sizes.
While the H.264/AVC offers 9 possible prediction
modes, the HEVC provides 35 possible prediction
modes for intra coding. There are 33 possible
directional modes that include horizontal and vertical
(1)
- 709 -
= 3=0
(2)
ICEIC 2015
The extraction of edge information is defined as
If > , then = 1
Otherwise, = 0
(3)
If
= 1 , then it is considered that there exists
salient edge information in . The angle (, ) is
calculated for the coordinate (, ) with the maximum
(, ) in as
(, ) = arctan ( )
Traffic
BasketballDrive
BQTerrace
B
Kimono1
ParkScene
FourPeople
C
Johnny
Average
= 3=0
(5)
3. Simulation Results
The proposed method is developed by modifying the
reference software for HEVC, HM-13.0. The
simulation is performed by applying the QP values of
22, 27, 32 and 37 for Class A, B, and C video
sequences.
To evaluate the reduction of computational time, it
calculates the average time saving (ATS) as
ATS(%) =
.(.).()
.()
100
(6)
BD-PSNR
(dB)
(4)
Sequence
-0.06
-0.03
-0.03
-0.01
-0.02
-0.08
-0.06
-0.04
BD-Rate
(%)
1.11
1.07
0.69
0.52
0.58
1.40
1.51
0.98
ATS
(%)
-20.31
-19.00
-18.66
-16.67
-15.28
-20.66
-19.70
-.18.61
4. Conclusion
The proposed method reduces the computational
complexity for HEVC intra coding computational
complex by reducing RDO complexity based on
regional edge information. The BD-PSNR decreases
about -0.04 dB, and the BD-rate increases about 1%,
while the encoding time is reduced about 19%.
Acknowledgements
This research was supported by Basic Science
Research Program through the National Research
Foundation of Korea (NRF) funded by the Ministry of
Education, Science and Technology (2011-0009426),
and by BK21 Plus. This research was also supported
by the IT R&D program of MSIP/KEIT (10044667,
Live encoder for 4K UHD and N screen real-time
broadcasting based on HEVC codec that reduce
transmission bandwidth doubled compared to H.264
codec).
References
[1] JCT-VC, High Efficiency Video Coding (HEVC) text
specification draft 10 (for FDIS & Consent), JCTVC-L1003,
Joint Collaborative Team on Video Coding (JCT-VC) 12th
Meeting: Geneva, Jan. 2013.
[2] G. J. Sullivan, J.-R. Ohm, W.-J. Han, T. Wiegand,
Overview of the high efficiency video coding (HEVC)
standard, IEEE Trans. Circuits Syst. Video Tech., vol. 22,
no. 12, pp. 1649-1668, Dec. 2012.
[3] J. Lainema, F. Bossen, W.-J. Han, J. Min and K. Ugur,
Intra coding of the HEVC standard, IEEE Trans. Circuits
Syst. Video Tech., vol. 22, no. 12, pp. 1792-1801, Dec. 2012.
[4] F. Bossen, B. Bross, K. Suhring, D. Flynn, HEVC
complexity and implementation analysis, IEEE Trans.
Circuits Syst. Video Tech., vol. 22, no. 12, pp. 1685-1696,
Dec. 2012.
- 710 -
P5-02
Abstract
This paper aims to understand how meaningful
information can be extracted from the acceleration
signals in order to use it as useful features to classify
or recognize the object surface texture efficiently. The
database includes recorded accelerations measured
during controlled and well-defined texture scans, as
well as uncontrolled human free hand texture
explorations for 43 different textures. Among the tested
features, best results are achieved using MelFrequency Cepstral Coefficients (MFCCs), leading to
a texture recognition accuracy of 80.2%.
3. Experimental results
To evaluate the audio features, one query is used as
test data and the other nine as training data and all of
the data are used in a tenfold cross validation. Each
feature is tested individually using the aforementioned
GMM model.
Audio Feature
MFCC
Rasta PLP
Spectrum Centroid
Spectrum Flatness
Chroma
LPCC
Spectrum Roll-off
1. Introduction
Recently, there has been a strong focus on
understanding the vibrations that occur during contact,
especially during sustained interactions with a textured
surface. Haptics is a tactile feedback technology which
recreates the sense of touch by applying forces,
vibrations, or motions to the user. The human haptic
perception is composed of the kinesthetic and tactile
submodalities, which are both crucial for the perception
of objects and surfaces. To start with, we recorded
texture signals under controlled and uncontrolled
conditions for 43 different textures.
Precision (%)
80.23
58.19
17.57
16.89
8.49
5.74
5.28
Acknowledgement
This research was supported by Basic Science
Research Program through the National Research
Foundation of Korea(NRF) funded by the Ministry of
Education,
Science
and
Technology(NRF2013R1A1A2007601)
References
[1]S. Lederman and R. Klatzky, Haptic perception: A
tutorial, Attention, Perception, & Psychophysics, vol.
71, no. 7, pp. 14391459, October
2009.
- 711 -
P5-03
1. Introduction
As car industry is growing, pedestrian traffic accident
is increasing. To reduce the traffic accidents and the
severity of the pedestrian injuries, there have been many
researches such as road infrastructure improvement, car
structure improvement, and advanced driver assistance
system (ADAS) based on sensor network. There are
many sensors to detect the pedestrian, for example, IR
sensor, LADAR, proximity sensor, temperature sensor,
image sensor, and so on. Among them, the image sensor
is the most intuitive and includes much more
information compare to the other sensors. Furthermore,
because vehicles equipped with image recording device
is generalized, it is essential to study the pedestrian
detection using an image sensor.
- 712 -
ICEIC 2015
not decrease the accuracy. Census transform accords
with our objective. In the results, the overall processing
time for detecting pedestrian in moving image is
drastically decreased.
R ( P) ( P, P [i, j ])
[ i , j ]D
(1)
Figure 4: Process of the proposed algorithm
( i , j )W
I1 (i, j ) I 2 ( x i, y j )
(2)
References
4. Conclusion
We present a pedestrian detection algorithm using
Gabor filter bank and Census algorithm. Our previous
work which uses GFB and linear SVM has high
accuracy but the processing time is relatively slow. To
improve the performance of the GBF based algorithm,
we should adopt fast matching algorithm which does
Proposed algorithm
Full image ROI based
180ms
36ms
- 713 -
P5-04
1. Introduction
HMM-based Speech Synthesis System (HTS) has
been widely used to generate synthesized speech.
Though it has its advantages over the traditional
corpus-based speech synthesizer, such as its
compactness and adaptability to hand-held devices, it
also has shortcomings such as over-smoothed spectra
and vocoded speech quality [1]. One of the
fundamental reasons for these limitations is that this
system is based on a probabilistic model. For many
cases, there are not enough training samples to
generate the probabilistic models differently for each
acoustic context. Consequently, the synthesized speech
hardly demonstrates the differences in formant energy
level and the speech quality becomes degraded.
Currently, smoothed formant is refined simply by
multiplying a constant to the spectral feature, Melgeneralized cepstral coefficient (MGC) at once. This
algorithm does not reflect the smoothing tendency of
each phone. Therefore in this paper, it is proposed to
apply the post-filtering in an optimal way for each
frame to model the spectral shape closer to that of
human voice and improve its naturalness and clarity.
wi ( f )
f fi bi
rt 1
bi
, for f d bi
, otherwise
(1)
- 714 -
ICEIC 2015
the matched codeword to the corresponding speech
frame t . rt does not vary for each of the three
formant in a codeword, since it is assumed that the
ratio between the formant peak energy is subsistent for
each codeword and need to be preserved. rt affects
50%
40%
30%
20%
10%
rt
max
t s d t d te
pc(1)
t
ps(1)
0%
Proposed
Conventional
No preference
for ts d t d te
(2)
pc(1)t and
3. Experimental result
Acknowledgement
References
[1] Kim, S. J., Kim, J. J., and Hahn, M. "HMM-based
Korean speech synthesis system for hand-held
devices." Consumer Electronics, IEEE Transactions on,
52(4), pp. 1384-1390, 2006
[2] Rabiner, Lawrence R., and Ronald W. Schafer.
4. Conclusion
- 715 -
P5-05
Abstract
This paper proposes a high-performance audio
fingerprinting system based on local peak-pairs in noisy
real-world applications. In the proposed method, audio
fingerprints are generated using the local peak-pairs of a
modulated complex lapped transformed spectrogram.
Experimental results confirm that the proposed method is
quite robust in different noise conditions and achieves
preliminary promising accuracy results.
Keywords: audio fingerprinting, local peak-pair,
modulated complex lapped transform.
1. Introduction
Audio fingerprinting techniques aim to identify short,
unlabeled audio clips in a fast and reliable way [1].
Various methods [1] have been proposed to satisfy the
several practical requirements for a successful audio
fingerprinting system. Among the various algorithms, the
system developed by Wang [2] has become a commercially
successful and widespread.
In this paper, based on the idea of Wangs method, an
effective audio fingerprint extraction method based on the
modulation complex lapped transform (MCLT) is proposed
to improve the robustness of the audio fingerprinting in a
real noisy environment for an audio-based content
identification system.
3. Experimental results
For our experiments, a database of 9,000 songs from
various genres (pop, rock, hip-hop, folk, jazz, and
classical) is used. The query sets are created by adding
various types of noise (babble noise, moving car noise,
white noise, street noise, and computer fan noise) from
clean to signal-to-noise (SNR) ratios 10 dB, 5 dB and 0 dB.
Table 1 shows the identification performance of the
proposed method for when the query length was changed.
The proposed scheme shows satisfactory performance with
4 and 5-second-long queries, showing the identification
rates above 90%.
Table 1: Performance evaluation
Averaged
identification
rate (%)
2 sec
Query length
3 sec 4 sec
5 sec
68.6
87.3
93.5
90.9
Acknowledgement
This research was supported by Basic Science Research
Program through the National Research Foundation of
Korea(NRF) funded by the Ministry of Education, Science
and Technology(NRF-2013R1A1A2007601)
References
The proposed method has three advantages: (1) MCLTbased spectral peaks are estimated and provided to
preserve the majority of the sound's peaks more
effectively than Fourier transform-based spectral peaks.
(2) Using emphasis filtering, the spectral peaks in the
high-frequency bin are enhanced for generating robust
peak pairs against attenuation distortions. (3) To obtain
salient peak pairs against different types of noise and at
different distances from the audio source, a dynamic
peak-picking threshold based on linear interpolation was
- 716 -
P5-06
Restricted Intra Block Copy Search for Fast Encoding of HEVC Screen
Content Coding
Jonghyun Ma, Xiangjian Wu, Youngwoong Kim, and Donggyu Sim
Kwangwoon University
mday519@kw.ac.kr, xjw0118@kw.ac.kr, mipjji@kw.ac.kr, dgsim@kw.ac.kr
Abstract
This paper proposes a fast Intra block copy (IBC)
search algorithm for fast encoding of high efficiency
video coding (HEVC) screen content coding (SCC). In
the proposed algorithm, one of block vector predictors
(BVP) is employed as an initial search point for early
termination of IBC block vector (BV) search. BV search
is firstly performed at the initial search point and if the
SAD value is less than a threshold, IBC BV search is
early terminated. Experimental results on SCM-2.0
show that the proposed algorithm reduces 14.65% of
IBC BV search time on average while the average BDrate loss is 0.30% under AI coding configuration of
HEVC SCC common test conditions.
1. Introduction
- 717 -
ICEIC 2015
Lastly, early termination method skips the IBC mode
based on the cost of the Intra coding mode. Although all
these methods are embedded in the current SCC
reference model (SCM) 2, the encoding time is still high.
Thus, to reduce the encoding time of Intra BC, the
following algorithm is proposed in this paper.
3. Proposed algorithm
4. Experimental results
BDR(%)
0.46
0.24
0.20
0.30
TS(%)
12.90%
14.20%
16.84%
14.65%
5. Conclusions
In this paper, the fast IBC search method is proposed
to reduce encoding time of SCM encoder. In the
proposed algorithm, one of BVPs is selected as an initial
search point for fast IBC BV search. The experimental
results show that the IBC BV search time saving is 14.65%
on average with the average BD rate loss of 0.30%.
Acknowledgement
References
[1] High Efficiency Video Coding, Rec. ITU-T H.265
and ISO/IEC 23008-2, Jan 2013.
[2] Rajan Joshi, and Jizheng Xu, "High Efficiency
Video Coding (HEVC) Screen Content Coding: Draft 1",
- 718 -
ICEIC 2015
JCTVC-R1005, 18th JCT-VC meeting, Sapporo, June
2014.
[3] Rajan Joshi, Jizheng Xu, Robert Cohen, Shan Liu,
Zhan Ma, and Yan Ye, "Screen content coding test
model 2 (SCM 2)", JCTVC-R1014, 18th JCT-VC
meeting, Sapporo, June 2014.
[4] Jonghyun Ma, Yong-Jo Ahn, and, Donggyu Sim,
"Analysis of Intra block copy technology to improve
screen content coding", 2014 Korean Society of
Broadcast Engineers Fall Conference, Nov 2014.
[5] H. Yu, R. Cohen, K. Rapaka, and J. Xu, "Common
conditions for screen content coding tests", JCTVCR1015, 18th JCT-VC meeting, Sapporo, June 2014.
- 719 -
P5-07
2)
Abstract
This paper proposes a method that automatically
clusters speech data according to the similar
environmental extralinguistic characteristics for
speech recognition. Inspired by the Google's clustering
method that uses the Kullback-Leibler (KL) divergence
measure between the adapted Gaussian mixture
weights, Euclidean distance measure between the
adapted Gaussian means is used in proposed
clustering process. Our experimental results show that
the proposed method performs slightly better than the
Google's clustering method.
Keywords: Clustering, speech recognition, adaptation.
1. Introduction
The performance of speech recognition system is
degraded by the mismatch between the acoustic
conditions of the training and the testing environments.
Sources of this mismatch include additive noise,
channel distortion, speaker characteristics and speaking
style. To alleviate this problem, many techniques have
been proposed such as speech enhancement,
dereverberation, model adaptation, and so on. These
techniques are partially effective in a limited task but
not in all the applications.
In this regard, enough amount of speech database
can be an alternative solution to relieve this mismatch.
Generally, the more speech database is available, the
better speech recognition performs, but the
performance converges to a certain level. If speech
database is clustered according to a similar acoustic
characteristics and then multiple acoustic models are
trained, the performance of speech recognition can be
further improved by selecting the optimal acoustic
model for test utterance. Previous studies on this issue
are classified into two approaches: supervised and
unsupervised clustering. We focus on the unsupervised
automatic clustering approach without any information
wUs ,m
wsC,m
(1)
- 720 -
ICEIC 2015
component of the s th GMM. Total KL divergence
DTotal ( pU | p C ) is determined by averaging the KL
100
Proposed clustering
Google's clustering
Multi condition
Clean condition
95
90
85
80
75
20 dB
15 dB
10 dB
5dB
SNR
5. Conclusion
In this paper, MLLR based clustering algorithm is
proposed considering both the speaker and the
environment information. Proposed method is carried
out without any information about the data acquisition.
The experimental results show that proposed clustering
method performs slightly better than Googles
clustering method.
Acknowledgement
4. Experimental results
References
- 721 -
P5-08
1. Introduction
Recently, the intelligent vehicle technology using the
image processing is rapidly receiving attention as a
future technology of vehicles industry and IT
technology. Especially, the technique for classifying the
road surface type using only the image processing is one
of the important issues [1-4]. This can improve the
performance of an active safety system for drivers
comfort at paved road like highway or off-road like
gravel road and capability of autonomous vehicles. In
this paper, we propose the road surface classification
algorithm generated by weight-based clustering.
In section 2, we describe weight-based classification
of road surface type using clustering, chapter 3 shows
the experimental results. Finally, the conclusion of this
paper is described in chapter 4.
2. Road
algorithm
surface
type
classification
(a)
(b)
(c)
(d)
Fig. 1. Road surface type images. (a) asphalt road, (b)
gravel road, (c) dirt road, (d) snow road.
First of all, the clusters are composed of the peak
position and distribution of histogram, amount of edge
in image, and saturation feature. These are extracted
from database (DB) images which include asphalt,
gravel, dirt and snow road images. Then, the features of
an input image are extracted in each road type. Finally,
weights are calculated by distances between features of
input image and features of DB images.
As a result, the surface road type of input image is
determined by cluster with highest value among the
calculated weights. Figure 2 shows the overall flow of
proposed method.
- 722 -
ICEIC 2015
= =1 (),
(1)
(2)
(3)
(4)
Where , , are pixel values of each Red, Blue, Green
channel. The average of saturation value is
obtained by
1
= =0 (),
(5)
- 723 -
ICEIC 2015
of four axes. Each axis means four type features,
respectively.
(10)
Asphalt
Gravel
Dirt
Snow
(6)
(7)
)2
(8)
Snow
0%
15%
0%
85%
4. Conclusion
In this paper, we proposed a method of weight-based
classification of road surface type using the clustering.
The proposed method can be separated to two parts,
generating of clusters of DB, and classification of road
type. The clusters are generated by four feature types,
(9)
- 724 -
ICEIC 2015
CITRC (Convergence Information Technology
Research Center) support program (NIPA-2014-H040114-1001) supervised by the NIPA (National IT Industry
Promotion Agency)
References
[1] Ionut Gheorghe, Weidong Li, Thomas Popham,
Anna Gaszczak, Keith J. Burnham, Key Learning
Features as Means for Terrain Classification,
Advances in Intelligent Systems and Computing
Volume 240, pp. 273-282, 2014.
[2] Shifeng Wang, Sarath Kodagoda, Ravindra
Ranasinghe, Road Terrain Type Classification
based on Laser Measurement System Data,
Proceedings of Australasian Conference on
Robotics and Automation, 2012.
[3] Raj, A., et al., Vision based road surface detection
for automotive systems, Applied Electronics (AE),
International Conference on. IEEE, 2012.
[4] Angelova, Anelia, et al., Fast terrain classification
using variable-length representation for autonomous
navigation, CVPR'07. IEEE Conference on. IEEE,
2007.
[5] Yang, Hun-Jun, et al., Classification Algorithm for
Road Surface Condition, IJCSNS 14.1, 2014.
[6] Canny, John., A computational approach to edge
detection, Pattern Analysis and Machine
Intelligence, IEEE Transactions on 6, pp. 679-698,
1986.
(a)
(b)
(c)
(d)
Fig. 6. Analysis result of road surface type images. (a)
road surface images, (b) histogram of road surface image,
(c) histogram of edge image (d) saturation of road surface
image.
the classification of a road type is determined by the
weights calculated by distance ratio between an input
image and DB features in 4D space.
In experiments, we confirmed that the proposed
method has the accuracy about 91.25% on average.
However, the proposed method is only available for day
time since no DB used in experiment includes the road
image at night time. In near future, we are planning to
implement the practical DB which is available for daynight time.
Acknowledgment
This research was supported by the MSIP (Ministry of
Science, ICT & Future Planning), Korea, under the
- 725 -
P5-09
1. Introduction
The infrared image is usually used to track object or
heat. Since the luminance histogram of the infrared
image has been distributed in a specific narrow region,
it is necessary to enhance contrast in the infrared image.
One of the most common way to enhance contrast is a
Histogram Equalization (HE) method. The HE method
enhances overall image contrast by equalizing the
histogram of the image and increasing the dynamic
- 726 -
SDR1
SDR2
SDR3
SDR4
Levels
2nd Iteration
SDR1
SDR2
3rd Iteration
SDR3
SDR4
SDR1
SDR2
SDR3
Levels
Frequencies
1st Iteration
Frequencies
Frequencies
ICEIC 2015
SDR4
Histogram Thresholding
SDR1
SDR2
SDR3
SDR4
Levels
Levels
SSD 1
SSD 2
SSD 3
SSD 4
SDR1
SDR 2
SDR 3
SDR 4
ARSD1
RSDR1
ARSD 2
RSDR 2
ARSD 3
RSDR 3
ARSD4
RSDR 4
3. Experiment Results
In order to evaluate our proposed approach, we
implemented an infrared imaging camera with a VGA
(640x480) resolution based on the DM6446 of TI DSP.
Fig. 3 shows the overall performance evaluation among
- 727 -
ICEIC 2015
contrast stretching, plateau equalization, and our
proposed approach (DRSHE with adaptive threshold
value). As shown in Fig. 3(c), our proposed method
provides a better image quality compared to the other
approaches,
contrast
stretching
and
plateau
equalization as show in Fig. 3(a)-(b). We also
compared the robustness of noise between plateau
equalization and our proposed method. The right side
image of Fig. 3(d) provides better image quality
compared to the left side image since our proposed
method suppresses noise and enhances contrast by
using adaptive threshold value.
4. Conclusion
In this paper, we proposed a contrast enhancement
algorithm by using adaptive threshold in an infrared
image environment. As shown in experiment results,
our proposed method can suppress the excessive
luminance change and reduce noise in an infrared
image system compared to conventional methods. It
results in getting a clear infrared image and enhancing
the image contrast definitely due to the adaptive
threshold value. Furthermore, the proposed method can
be applicable in a real infrared device since the
complexity of the proposed method is simple and the
threshold values is adaptive to a input video sequence.
References
[1] G.H. Park, et al., A Contrast Enhancement Method
using Dynamic Range Separate Histogram Equalization,
IEEE Trans. on Consumer Electronics, Vol. 54, No. 4, pp.
1981-1987, 2008.
[2] H.G. Cho, et al., Application of Local Histogram and
Plateau Equalization Algorithm for Contrast Enhancement of
Real Time Thermal Image, The Transactions of KIEE, Vol.
53, No. 2, p76-85, 2004.
[3] H. Yuan, et al., An Adaptive Infrared Image
Enhancement Algorithm for Enhanced Vision System,
Journal of Computational Information System, 7 (11), 40904097, 2011.
[4] C. Wang, et al., Brightness Preserving Histogram
Equalization with Maximum Entropy: A Variational
Perspective, IEEE Transactions on Consumer Electronics,
Vol. 51, No. 4, pp. 1326-1334, 2005.
[5] S. D. Chen, et al., Contrast Enhancement using
Recursive Mean-Separate Histogram Equalization for
Scalable Brightness preservation, IEEE Transactions on
Consumer Electronics, Vol. 49, No. 4, pp.1301-1309, 2003.
- 728 -
P5-10
"
!#
!#
!#
"
# !#
$
!
!
!
!
%!!
&#
!
!
&%!
#
!!
!
#
! !
&
!
!
!#
&
' #
$
! &
!"
" !#
$
$
!
$
%
$!
!'&()
!
$
!
$
$
!
$
!
$
*
#
$
!
*
+
!
*
+ #
,
!
*
-
#
(.
/
#
#
"
$ %
$
12
1!2
12
12
12
0
& 12 1!2 12
1
!
2 12
'&)12
1
!
2
% #
0
&
$
!#
!#0
*
-
$ %
$
$
!
13402 #
5"&
1&2
#
!
!
%
340 ! #
$
!#
5",
1,2
#
!
*
#
5"(
- 729 -
ICEIC 2015
1(2
-$
!
!
$
-
$ #
!
%!$
76
$
## "
$
"
$
%
!
$
#
0
&12*$
#
!
#
# #
$,(8
-$
76
'/)
* !
! #
5"
/
#"
#"
#" !
!
!
1/2
#" !
0
, * $
!
("
#
$
!
!
-
# $
$
!#
$
#
!
$
5"
/
-
#
0
,
!#
!
$
#
#% #
5"/
$ !!
-$
#
- !
&'
"
*$
$
# -
3
9 46 1
5-
: 52 ';) <
3=
!
-
=
-
'8)*
'&)$
&
-0
& #
$
!#
$
'&)
?*
#
! >1>
3*@0
2
3* 313$
*
32
1A%,B&/B/B&&/&BB&2
$
! A%1A
*
%2C
'&) D+
>
7 %# 6
E
E$+(
*
!
,(.*-=,,A(%3>,BB(
',) 6+ = E5-
E
*
! /
= ,( A ( 6#
!
,BB/
'() .$ * E4
$
$E$+(
*
!,(.*-,BBF
'/)>G
H
G > 0*
E%
3
3
3 3
E
*
!/
=,FA
I6#!
.,BBJ
'8) =
>
E !
E%
"
8I,&(I
&8/,BB/
';)
LKK$
K
!K KK
- 730 -
P5-11
Skin color segmentation using training set partitioning and multiple elliptical
Gaussian models
Young-Ji Yoon, Oh-Yeol Kwon, and Sung-Il Chien
School of Electronics Engineering, Kyungpook National University, Daegu, Korea
yoonyj@ee.knu.ac.kr, koy0606@ee.knu.ac.kr, sichien@ee.knu.ac.kr
Abstract
A Gaussian model is one of the effective methods of
modeling a skin color. We present a method of fitting
skin color by using multiple Gaussian ellipses, each
trained on partitioned training set. An input color pixel
is approved as a skin pixel or rejected as a non-skin
pixel by the use of multiple Gaussian ellipses, each
modeling a specific range of skin color.
Keywords: Skin color segmentation, Gaussian models
1. Introduction
Much research on the skin color detection has been
published [1-3]. Cheddad [1] proposes skin color
detection using an error signal (E) derived from the
gray and RGB values. The computation speed of this
method is fast but highly-saturated non-skin colors
tend to be detected as skin colors. Wang [2] proposes
skin color detection in HSV color space which consists
of hue (H), saturation (S), and value (V). This method
relatively shows good performance but it has a
problem of detecting a yellow-greenish non-skin color
as a skin color. Chai [3] proposes the skin color
detection method based on YCbCr color space.
However, this method has a problem to detect the
similar non-skin colors as the true skin colors.
For more effective skin detection, this paper
proposes we introduce an ES feature space in which
Cheddads error signal (E) is augmented by a
saturation (S) signal. To avoid the complexity of
training the Gaussian mixture model [4], we roughly
divide the training database into three partitioned
groups according to skin color tones, applying a single
Gaussian model to each the partitioned group in ES
feature space. With three elliptical Gaussian models,
the unknown input vector is compared to three centers
of Gaussian ellipses and will be classified as skin or
non-skin colors.
1
exp( 2)
2| |
2
12
2 = (x)t 1(x) .
(1)
(2)
- 731 -
ICEIC 2015
(a)
(b)
(c)
Figure 1: Representative images of training database. (a) normal skin color tone images (Group A), (b)
reddish skin color tone images (Group B), (c) yellow-greenish skin color tone images (Group C).
The skin colors are widely distributed on ES feature
space, because we can observe a variety of skin tones
due to the influence of the various illuminants. Here
we roughly define three types of skin tones according
to skin colors affected by illuminant colors. The skin
tones are reddish, yellow-greenish, and normal skin
tones, respectively. We divide 140 images of the
training database into three groups. Here, 60 images
with the normal skin tones belong to group A, 40
images with the reddish skin tones belong to group B,
and the remaining 40 images with yellow-greenish skin
tones belong to group C. The sample images of groups
A, B, and C are shown in Figure 1. The skin color
pixels in each group are modeled by the single
Gaussian model in ES feature space. We find that a
prediction ellipse of 90% performs best for all three
partitioned training databases. For groups A, B, and C,
the corresponding ellipses in Figure 2 are shown in
pink, red, and green colors, respectively.
When an input color vector is given, we should find
the suitable Gaussian ellipse from these elliptical
models by selecting the Gaussian ellipse with the
minimum Mahalanobis distance between the given
vector and three ellipse centers. If the given vector is
inside the ellipse, it is determined as a skin color and
1.0
0.8
0.6
S
0.4
0.2
0.0
-0.2
-0.2
0.0
0.2
0.4
0.6
0.8
- 732 -
ICEIC 2015
(a)
(b)
(c)
(d)
(e)
Figure 3: Segmentation results. (a) original image, (b) Wangs method, (c) Chais method, (d) Cheddads
method, (e) proposed method.
4. Conclusion
For a data set of testing 80 images with three
different skin color tones, our method shows the
highest accuracy rate (92.66%). The experimental
results show that our proposed method with more
subtle decision boundary is improved more than 2% in
the accuracy rate compared to other methods tested.
- 733 -
ICEIC 2015
(a)
(b)
(c)
(d)
(e)
Figure 4: Segmentation result of several people. (a) original image, (b) Wangs method, (c) Chais method,
(d) Cheddads method, (e) proposed method.
[3] D. Chai and K. N. Ngan. Face segmentation using
skin-color map in videophone applications, IEEE
Transactions on Circuits and Systems for Video
Technology, vol. 9, no. 4, pp. 551-564, 1999.
- 734 -
P5-12
1. Introduction
Single sensor digital cameras capture images with a
color filter array (CFA), and the most common CFA
pattern is the Bayer pattern shown in Fig. 1 [1]. For
each pixel position, only one color information of the
three color channels, red (R), green (G), and blue (B),
is captured. The color channels filtered out by the CFA
pattern need to be reconstructed exploiting the
originally captured color channels. The process of
generating a full three color image from a filtered
single channel input is called demosaicing or CFA
interpolation [2, 3].
Most of Bayer demosaicking algorithms first
interpolate G pixel values since G channel has the
double sampling rate compared with R and B pixels.
Most of the demosaicking algorithm exploits the color
differences between G and R or G and B. These color
difference information utilized in gradient calculation
j-2
j-1
j+1
j+2
i-2
i-1
i+1
i+2
j-2
j-1
j+1
j+2
i-2
i-1
i+1
i+2
(a)
(b)
- 735 -
ICEIC 2015
In this paper, we proposed a color filter array
interpolation method which uses the guided filter. We
adopt directional interpolation to increase the
performance of the interpolation. For G plane
interpolation, we use only G value as the reference
instead of using color difference which used in RI [13]
and MLRI [14]. The background and the details of the
proposed algorithm are given in section 2. In section 3,
experimental results tested on 18 IMAX images and
compared with 5 state-of-the-art demosaicking
algorithm and section 4 concludes the paper.
Vi , j = G iV, j Gi , j .
(3)
E
G=
G iH, j iH, j +1 ,
i, j
G= G iV, j Vi 1, j ,
N
i, j
S
G=
G iV, j Vi +1, j .
i, j
(2)
iH, j = G iH, j Gi , j
(1)
DiH, j = Z i , j Z f ,
(5)
DiV, j = Z i , j Z f T ,
(4)
1 1 1 1 1
=
f [ , , , , ],
4 2 2 2 4
H
=
i, j
DiH, j 1 DiH, j +1 ,
V=
i, j
DiV1, j DiV+1, j ,
(6)
i +1 j + 2
wW =1 / W mH, n ,
i 1 m =
j
m=
j
i +1
wE =1 / W mH, n ,
i 1 m =
j 2
(7)
m=
j +1
i
i + 2 j +1
wN = 1 / WVm , n , wS = 1 / WVm , n .
j 1
i m=
j 1
i2 m=
m=
m=
- 736 -
ICEIC 2015
Table 1: Average CPSNR of 18 IMAX images
VDI
LDINAT
No.
LPA
RI
MLRI
26.82
28.01
29.01
29.12
28.97
28.99
33.84
34.18
35.01
35.00
35.08
34.85
32.47
32.64
32.56
33.75
33.86
33.37
34.94
36.00
35.94
37.86
37.64
37.71
31.39
32.63
34.09
33.91
33.99
34.55
34.37
35.63
37.87
38.29
38.26
38.59
39.20
36.03
35.96
36.95
37.46
36.02
37.88
37.41
37.46
36.96
36.94
37.99
35.07
35.95
36.91
35.92
36.44
37.10
10
37.02
37.28
38.72
38.15
38.62
38.69
11
37.72
37.98
39.48
39.43
39.91
39.45
12
36.97
37.09
38.89
39.61
39.64
39.73
13
39.29
39.40
40.78
40.27
40.51
40.74
14
37.54
37.32
38.67
38.92
38.76
39.20
15
37.66
37.86
38.93
38.37
38.91
38.89
16
29.48
31.40
33.52
35.17
35.09
35.27
17
29.27
31.15
32.83
32.44
32.58
33.12
18
33.96
34.23
35.00
36.52
36.12
36.49
Ave.
34.72
35.12
36.20
36.48
36.60
36.71
Prop.
(a)
(b)
(c)
(d)
(e)
(f)
(g)
(8)
wT = wW + wE + wN + wS ,
(a)
3. Experimental results
To evaluate the performance of proposed CFA
interpolation algorithm, we compare the proposed
method with 5 state-of-the-art algorithms such as local
polynomial approximation (LPA) [9], local directional
interpolation and nonlocal adaptive thresholding (LDI-
- 737 -
(b)
(c)
(d)
(e)
(f)
(g)
ICEIC 2015
NAT) [16], voting based directional interpolation
(VDI) [12], residual interpolation (RI) [13], and
minimized-Laplacian residual interpolation (MLRI)
[14]. We use and IMAX dataset which contains 18
images with a size of 500 500 pixels. These image set
has similar color characteristic with images which are
captured in digital camera of today.
To evaluate the objective quality, table 1 presents
the average CPSNR results where CPSNR is the
average PSNR of three color planes. It can be seen that
the proposed algorithm gives the best average CPSNR
performance. It gives 0.11dB higher CPSNR than
MLRI which gives the best performance among the
reference algorithms, and 0.23dB higher than RI. LPA
gives the worst results because it is specialized in
different image characteristic.
Fig. 2 shows the visual comparison result of the
partially zoomed image. From the subjective results, it
is obvious that the proposed algorithm outperforms the
others. The proposed algorithm successfully reduces
the color artifacts at the boundary of the flowers.
Except proposed algorithm, all demosaicking
algorithms generate wrong color at the edge region.
Same results can be found in Fig. 3. At the boundary of
the object where color changes abruptly, wrongly
estimated color value in LPA, VDI, LDI-NAT, RI, and
MLRI. Only the proposed algorithm gives the clean
image. From the both objective and subject results, we
can found that proposed CFA interpolation techniques
can reduce the demosaicking artifacts effectively and
sharply interpolate the image.
4. Conclusion
This paper proposed a CFA interpolation algorithm
by exploiting guided filter. The residual data can be
calculated using guided filter output and original value.
The proposed four-direction interpolation algorithm
generates target pixels which can react more flexibly to
characteristic of neighboring pixels than two-direction
interpolation technique. The experimental results show
that the proposed algorithm gives clean edge
representation and better overall image quality. In
terms of objective quality, the proposed method shows
the best results.
Acknowledgement
This research was supported by the MSIP (Ministry
of Science, ICT & Future Planning), Korea, under the
"Establishing IT Research Infrastructure Projects"
supervised by the NIPA (National IT Industry
Promotion Agency)(I2221-14-1005)
References
[1] B. E. Bayer, Color imaging array, U.S. Patent 3 971
065, July 1976.
[2] B. K. Gunturk, J. Glotzbach, Y. Altunbasak, R.
W.Schafer, and R. M. Mersereau, Demosaicking:color filter
array interpolation, IEEE Signal Processing Magazine, vol.
22, pp. 44-54, 2005.
[3] X. Li, B. Gunturk, and L. Zhang, Image demosaic-ing: a
systematic survey, Proc. of SPIE, vol. 6822, pp.68221J68221J-15, 2008.
[4] J.F. Hamilton Jr and J.E. Adams Jr, Adaptive color plan
interpolation in single sensor color electronic camera, U.S.
Patent 5629734, 1976.
[5] B. K. Gunturk, Y. Altunbasak, and R. M. Mersereau,
Color plane interpolation using alternating projections,
IEEE Trans. on Image Processing, vol. 11, no. 9, pp. 9971013, 2002.
[6] Z. Dengwen, S. Xiaoliu and D. Weiming, Colour
demosaicking with directional filtering and weighting, IET
Image Process., Vol. 6, no. 8, pp. 10841092, 2012.
[7] W. Chen, P. Chang, Effective demosaicking algorithm
based on edge property for color lter arrays, Digit. Signal
Process., vol. 22, no. 1, pp. 163169, 2012.
[8] D. Paliy, V. Katkovnik, R. Bilcu, S. Alenius, and K.
Egiazarian, Spatially adaptive color filter array interpolation
for noiseless and noisy data, Int. J. Imaging Syst. Technol.,
vol. 17, no. 3, pp. 105122, 2007.
[9] I. Pekkucuksen and Y. Altunbasak. Edge strength filter
based color filter array interpolation, IEEE Trans. Image
Process., vol. 21, no. 1 pp. 393397, Jan. 2012.
[10] I. Pekkucuksen and Y. Altunbasak, Multiscale
Gradients-Based Color Filter Array Interpolation, IEEE
Trans. Image Process., vol. 22, no. 1, pp. 157165, Jan.
2013.
[11] X. Li, B. Gunturk, and L. Zhang, Image demosaicing: a
systematic survey, in Proc. of SPIE, vol. 6822, pp. 68221J,
2008.
[12] X. Chen, G. Jeon, and J. Jeong, Voting-based
directional interpolation method and its application to still
color image demosaicking, IEEE Trans. Circuits Syst. Video
Technol., vol. 24, no.2, pp. 255262, 2014.
[13] Kiku, D., Monno, Y., Tanaka, M., and Okutomi, M.,
Residual interpolation for color image demosaicking, in
Proc. of IEEE Int. Conf. on Image Processing (ICIP), pp.
23042308, 2013.
[14] Kiku, D., Monno, Y., Tanaka, M., and Okutomi, M.,
Minimized-Laplacian Residual Interpolation for Color
Image Demosaicking, in Proc. of SPIE-IS&T Electronic
Imaging, pp. 90230L90230L8, 2014.
[15] K. He, J. Sun, and X. Tang, Guided image filtering, in
Proc. of the 11th European Conf. on Computer Vision
(ECCV), vol. 6311, pp. 114, 2012.
[16] L. Zhang, X. Wu, A. Buades, and X. Li, Color
demosaicking by local directional interpolation and nonlocal
adaptive thresholding, Journal of Electronic Imaging, vol.
20, no. 2, pp. 023016023016, 2011.
- 738 -
P5-13
Receiver
ADC
USRP N210
Host PC
FPGA
MATLAB
1. Introduction
Radar systems have been employed in military
operation because of day and night and all weather
capabilities. Radar system is designed and implemented
considering system performance, the place and weight
available on platform, and power consumption. These
needs limit that radar is applied large application on
any platform.
In order to overcome constraints, software defined
radar is presented. It is a multifunctional system, where
most of the processing, like waveform generation, up
and down conversion etc. is performed by software.
Software defined radar (SDR) gives many advantages
such as multipurpose system, re-using the hardware,
easier implementation of signal processing algorithms,
faster development and cost reduction [1].
This paper presents SDR measurements by human
gesture using software defined radars. The hardware
descriptions are presented in section II. The test
environment is discussed and the results are analyzed at
time and frequency domain in section III, Finally,
conclusions are provided in section IV.
DAC
Transmitter
Antenna
SBX
Daughter
board
USRP
N210
Parameter
Value
Band
Gain
8.9 dBi
Beam width
83 (H)
Size (W x H)
118 x 78 mm
Frequency range
400~4400 MHz
Power Output
20 dBm
Bandwidth
40 MHz
Noise figure
5 dB
25 MS/s @ 16bit
Interface to host
1 Gigabit Ethernet
- 739 -
ICEIC 2015
18
16
Time (s)
14
12
10
8
6
4
2
-50
-40
-30
-20
-10
10
20
30
40
50
Frequency (Hz)
18
16
Time (s)
14
12
10
8
6
4
2
-50
-40
-30
-20
-10
10
20
30
40
50
20
30
40
50
Frequency (Hz)
3. Experiment
(b)
16
14
Time (s)
12
10
8
6
4
2
-50
-40
-30
-20
-10
10
Frequency (Hz)
4. Conclusion
The software-defined radar will make it easy to
apply multipurpose and high performance systems.
This paper will present the performance test results
about detection micro Doppler of moving human. For
performance evaluation, various scenarios will be
suggested and the results are analyzed by spectrogram.
The proposed method will be considered useful in
system for classification of human signature.
5. Acknowledgement
This work was partly supported by the ICT R&D
program of MSIP/IITP. [14911-01004, SDR Radar
Sensor Platform Development]
References
[1] D. Garmatyuk, J. Schuerger, and K. Kauffman,
Multifunctional software-defined radar sensor and data
communication system, IEEE Sensors Journal, vol. 11, no.
1, pp. 99106, 2011.
- 740 -
P5-14
1. Introduction
Recently, due to the widespread use of PC as
multimedia systems, the problem of illegal distribution
has become a social issue [1-4]. Especially, the videos
are distributed without the permission of copyrighter.
Detecting the scene boundaries is an important in video
processing. The common used methods are pixel
differences and histogram. Those are Simple and the
processing is faster than other methods, like
compression differences, motion vector and some
statistical etc. A shot is a series of frames that runs for
an uninterrupted period of time. A scene is a collection
one or more adjacent shots that generally thought of as
the action in a single location and continuous time.
There are many different types of boundaries between
with shots.
3. Proposed Method
The steps for our proposed scene boundary detection
method are given in the following:
1.
2.
- 741 -
1
2
=1 =1 | (, , ) +1 (, , )|
4.
ICEIC 2015
4. Experimental results
We use the video with 350 frames, 8 scenes and 7
scene boundaries. The Scene segmentation positions are
22-23, 89-90, 144-145, 166-167, 189-190, 260-261, and
282-283. Figure 1 shows the result of experiment. The
pick refers to the extracted scene boundaries
5. Acknowledgments
This research project was supported by the Ministry
of Culture, Sports and Tourism (MCST) and by the
Korea Copyright Commission in 2014.
References
Figure1. Detected scene boundaries
We have performed a series of experiments with
several types of video. Table 1 shows the information of
video sequence used in the experiments with Sequence
Type, number of frames and scenes.
Table 2 shows the value of Recall and Precision in
the experiments. As can be seen from Table 2, the recall
and the precision values are both above 70%.
Table 1: Video Sequence used in the Experiments
Sequence
Type
AD
News
Animation
Movie
Sports
Num. of
frames
520
365
755
653
1025
Num. of
Scenes
9
3
7
15
4
[1] J. Kim, N. Kim, N., Lee, D., Park, S., Lee, S.:
Watermarking two dimensional data object identifier for
authenticated distribution of digital multimedia contents.
Signal Processing: Image Communication 25, 559576 (2010)
[2] Y. Lee, J. Kim.: Robust Blind Watermarking scheme for
Digital Images Based on Discrete Fractional Random
Transform. Communications in Computer and Information
Science 263, 139145 (2011)
[3] Li, D., Kim, J. Secure Image Forensic Marking Algorithm
using 2D Barcode and Off-axis Hologram in DWT-DFRNT
Domain. Applied Mathematics & Information Sciences
(AMIS) 6(2S), 513520 (2012)
[4] Jin R., Kim, J.: A Digital Watermarking Scheme using
Hologram Quantization, SIP2012 Vol. 342 pp 3946, November 2012.
[5] X. Yi and N. Li, Fast ixel-Base Video Scene Chage
Detection, Circuits and Systems, 2005. ISCAS 2005, pp.
3443 - 3446, May 2005
[6] H. Zhang, A. Kankanhalli and S. Smoliar, Automatic
partitioning of full-motion video, Multimedia Systems, pp.
10-28, 1993
Miss
False
0
0
0
3
1
-
0
0
0
1
0
-
Recall
(%)
100
100
100
93.3
100
98.66
Precision
(%)
100
100
100
80
75
91.00
Fscore
100
100
100
86.1
85.7
94.36
4. Conclusion
In this paper we present an efficient method to detect
video scene boundary. In contrast to traditional scene
- 742 -
P5-15
Abstract
In this paper, we propose a new noise power
scheduling for online secondary path modeling in
multi-channel feedback ANC systems. The noise power
of the additive random noise signal is controlled in this
paper to reduce the residual noise in the error
microphones. Simulation results demonstrate the
effectiveness of the proposed approach.
(2)
(3)
(4)
k =1
(1)
(5)
1. Introduction
v Tj ( n) v j ( n) +
v j ( n) =
2
v max
j ( n) v j m ( n)
p j (n)
p j (n)
(7)
(8)
j ( n) =
(6)
(9)
(10)
(11)
+C
p j (n + 1) = p j (n + 1) + (1 )
v j (n)
v ( n) v j ( n) +
T
j
(12)
p (n)
- 743 -
ICEIC 2015
3. Simulation results
The performance of the proposed approach is
verified for an 1x2x2 multi-channel ANC system. The
noise signal comprises sinusoids of 100 Hz, 200 Hz,
and 300 Hz. The primary and secondary acoustic paths
are FIR filters of tap-weight lengths 256 and 128. The
control filters ( w1 (n) , w2 (n) ) and modeling filters
( s11 (n) , s12 (n) , s21 (n) , and s22 (n) ) are also selected as
FIR filters of tap-weight lengths 192 and 128, and
initialized by the values where the modeling error
reduces to -5dB [4]. Also, the mean square errors
(MSE's) of residual noises ( e1 (n) and e2 (n) ), as in Figs.
2-3, demonstrate that the proposed approach yields
better performance than the conventional method.
4. Conclusion
References
[1] S.M. Kuo and D.R Morgan, Active Noise Control SystemAlgorithms and DSP Implementations, New York: Wiley,
1996.
[2] B. Mazeaud and M.A. Galland, "A multi-channel
feedback algorithm for the development of active liners to
reduce noise in flow duct applications," Mechanical Systems
and Signal Processing, vol. 21, no. 7, pp. 28802899, Oct.
2007.
[3] N. Devineni, I. Panahi, and P. Kasbekar, "Predictive
multi-channel feedback active noise control for HVAC
systems," Proc. of the EIT2011, pp. 1-5, 15-17 May, 2011.
[4] H. Hassanpour and P. Davari, "An efficient online
secondary path estimation for feedback active noise control
systems," Digital Signal Processing, vol. 19, no. 2, pp. 241249, Mar. 2009.
[5] H.C. Shin, A.H. Sayed and W.J. Song, Variable stepsize NLMS and affine projection algorithms, IEEE Signal
Processing Lett., vol. 11, no. 2, pp. 132-135, Feb. 2004.
- 744 -
P5-16
1. Introduction
Surgical navigation provides the improvement in
the accuracy and safety of surgery during the preoperation or the intra-operation. A surgical navigation
system consists of a stereo vision system for image
acquisition and surgical tools. The stereo vision system
can be divided into passive and active system
according to the use of infrared light. The passive
system uses a spherical marker that reflects light with
the use of infrared light whereas the active system uses
a marker that emits light like a light emitting diode
(LED) without the use of infrared light. These two
methods generally obtain an image of markers in the
stereo camera and calculate their three-dimensional
coordinates [1].
Markers are attached to the surgical tools and it is
especially important to track the end position of the
surgical tool. This is because the medial image matches
with anatomically correct position based on the
position of markers situated on the surgical tools [2, 3].
Moreover, the surgical procedure such as biopsy and
suction performs in the end point. Endpoint of surgical
tools is estimated by the three markers attached to
surgical tool. It is a procedure to find the relationship
2. Methods
The experiment has been implemented by pivoting
the tool as shown in Fig. 1. Please note that the pivot
tool is made from acrylic to reduce the error during
machining process [2, 3]. The images were obtained at
six different pivot points by a stereo vision system and
the least square fitting algorithm was employed to
minimize the error of the stereo vision system [4-6].
- 745 -
ICEIC 2015
The least square fitting method used in this study is
shown in Fig. 2. In this method, we defined the first
frame as static state and the others frames as dynamic
state. The vectors between each marker were
determined with the center point of three markers as
the origin in the static state. The local coordinate
system was generated at the center point of three
markers in the dynamic state. Then the rotation matrix
and the translation vector between each local
coordinates were calculated. New marker centers
(Mdynamic) in each frame were estimated by the rotation
matrix (R), the translation vector (t) and the center
point of static state (pcenter).
References
[1] J. Collyer, Stereotactic navigation in oral and
maxillofacial surgery, British Journal of Oral and
Maxillofacial Surgery, vol. 48, pp. 79-83, Jan 2010.
3. Results
Fig. 3 shows six vectors produced from all set of
data. The area of each marker in the each pivot point is
calculated by cross product between two vectors. The
areas of a triangle formed by three markers at six
different pivot positions were not same before applying
least square fitting method. However, they were almost
the same after applying algorithm.
4. Conclusion
If the stereovision system has no errors, the
calculated area of a triangle formed by three markers is
always constant. However, it is inevitable to have a
systematic error and a random error in measurement
system [7]. Likewise, a stereovision system had these
- 746 -
P5-17
! #" "!$
%& &! ! ! && "
'! ! ! &
!! ! (" !
! & &! !
'!!*)'!!
!+',"!
" - " .
" &!& " "/
& '!"
"$&&!&"
' ("" " "& " !
! !& " & "
"!&,"
!
"$#!
&%'
$
#
#
!
"
!%
#
(')&*
$
!
#
!
#
+
,*
##
(-)&
#
#
./!
$
&0
/
.
$
!
*
1!
$
! #$ !
#
!
!
!
&
/
!
#
7
54.'-6&
! !
$
8
2*
!&
/#
!
8
2*
!&
!
#
*!
!
! #$ !
!
#
& 9/
! #$
!
#
!
##
3 #
! !
!
$
!
#
& 3/#
/ :
;!
& *
!
#$
#
(<)&
!
*!&
$
/ #.
!
#
54.'-6
$
*!
& 3!
.
# !
#
!*
-&
=
-
& 9
!
$
/
&
/
$
/
#
!
&
*
$
!
#
#
!
/
$
#
#
&
(%)
!
!
/!
*
/
#
& 2! !
!
& 3!
!
*
!
"
#
&
!
!
$
!
#
&
- 747 -
*(!
1
ICEIC 2015
& !
!
!
*!
!
!
##
!#
#
!
#
#.
$
#
!#
#
*
##/!
*
!
!
#
&&1
&2
##
!
##
(4)&
9
!
#
-
*!
&
/
*!
!
!
>1/&
8
/ /!
!
!
$
!
!
.
&
*
!
!
!
$
& 2
!
!
&3!
*
!
/
!
#.
#
$
!
$
/
!7
/+!
#
#
##
!
#.
<-,
*
/!
.
&!
>
*
!
/
#
/
/!
!
#
$
!
*
/& $
#
*!
!*
-&
*+!8#
#
#.
$
/%
*01
! #
#
*
##
! #
$
$
&
2!
!
7
!
**!
7
<-A.-4A54.'-6!
#.
$
/<-#.
#
$
!!*
#
#
4
&9!*
4
!
#.
$
*
'C
&
!
! ##
! #
#
!
#
#
&
#
*
$
!
&3!
#7
#
>
##
!
"
&
1#
*(!?
#
*!
3!
! *
/ ##
/ !
0/ B
+0B,
=
?
!
D
+=?, !! !
?
3
"
?
$
+=&
-A'-'F69-A-5''E,&
,%-.
3!
##
!!#
#
##
!
!!
.#
3 *
#
$
!
#
&
<&<7:
@*
$
!
&
*
$
<-A.-4A
$
&B.
!
3
*
!
#.
& !
!
!
!
##
!
!
!
#.
!
#
&
*
!
/ !
!
#
$
&9
*
!
!
! !
$
3&3!
!
3
!*
41'AA
#
!
BBB
#
8
/
#
%
?
$&'##&6656E<-AAC&
(<):
;!8!9$
0
!
!
D*
3
!
G
@
I
!
-AA5
BBB#
8
/
#
%
?
$&-##&'4E''4E6-AA5&
(4) & 1 G
!
J 9
*
/
.
!
#
I
#
%
?
+%?,-AAC
- 748 -
P5-18
!
!#" "
!$
% " & '
#! !
)*( " " +',
#! !
&$ )*( ! -
!
!!!
."
& !$
!! !
#! %
"!!
" !
%
/ &" ! "
10 ! &"
+',(
#!!
#$!"
!"#
$
&%'
!"%&
(')
!
$
% % $&
*
$ %
+
% $%
%
$
$$+
,
$ $ &
-
,$
$. +
% &
!" %
&
!
0 /% 0%
$
1+ 2
$% & %
$$
! & !
%+
0
, $
+
3 $
$ $, % $%
%
%
! !
(4)
%
$
$
+
) &"!&!
%$7
*%+
(%
0
&
%
!"
%(5)
% & *
+
$
& % $
% %
% & % & ,
%&& !"+
- 749 -
) ("$%!"%
&
%
ICEIC 2015
,
%
!"
& 5
$$
$$$
,%,+
$$%
$% & -
/-
%%
1
% /
1
%
$
64+
) *"
&&$
$
$
%&
/51
% /41
&
$,
%%+
/51
/41
0
$$ $!
!
!"
%
%+
$!
!
69
!,$
+
0 .
%
! & !"
%!"
!
$,%
! &%+
!
&
$$% !
> & ?%
/>?1
% @
6%
& =
/@61
"&
3,
/@+
5A'5'C85A5B''D1+
3
) ."%
&':
%
#6
&
!"#H
&3=???+,+'C+'$$+AA'
AAF>
+5A'9
(4)
=% ?!
G
$7
H @
@ 'DD:+ %+ 3???
3
-&,+9+$$+'D95
'D9C@,+
'DD:
(9)J
&-I
J+ G6
#
% >
$
H3
-& 3- 5AAF
=
,++$$+''
'C5AAF
(:) #
@+ + G
& % ;
%
&
#H -$
%
5AA: - 5AA:+ 3??? -$
-&,+'+$$+CCB
CD4'DD:
) 1" & 0
%
- 750 -
P5-19
transform,
Abstract
i.e.,
| X (k ) |
where
jIx ( k )
1. Introduction
- 751 -
ICEIC 2015
3. Problems Associated
Crystallography
with
X-ray
Aliasing
- 752 -
ICEIC 2015
X 0 (Z )
K (Z )
| Y (Z ) |2 | X (Z ) |2 | H (Z ) |2
E (Z )
1
e jM
)`
2 u max^H (Z
(Z )
(Z )
X
k
K k (Z )
X
k 1 (Z )
* (Z ) H (Z ) X
(Z ) H * (Z )
X
k
k
X k (Z ) E (Z )[ K (Z ) K k (Z )]
X k 1 (Z )
X k 1 (Z )
| X (Z ) | e
jI X ( Z )
X k 1 (Z )
xk 1 ( n)
F 1{ X k 1 (Z )}
xk 1 ( n)
k 1 (n)
x
T { xk 1 (n)}
k 1 ( n)
x
(Z )
X
k 1
k 1 ( n)}
F{x
(Z )
X
k 1
Atomicity
The crystals including molecule crystals, are
composed of numerous atoms. This may make the
phased retrieval problem in X-ray crystallography
somewhat easy because this may make a sparse
problem so that the problem can be solved uniquely [9].
In mathematical terms, the crystal molecules can be
represented as
4. Simulation
We have applied this algorithm to the same x-ray
crystallography model that used in [4]. We used the
same crystallographic data we had used in the paper [7],
which we showed in Table 1. In this table, we
presented types of atoms, their atomic numbers and
coordinates
x(r )
Z G (r r ) ,
i
i 1
Z i , and ri are a
ri .
- 753 -
ICEIC 2015
3
2
2
2
&
&
&
&
&
2
2
1
&
1
QXPEHU
[
DWRP
DWRPLF
DWRP
DWRPLF
[
QXPEHU
&
&
&
1
1
&
1
3
2
2
2
2
&
&
&
&
&
2
1
&
1
&
&
&
1
1
&
1
3
2
2
2
&
&
&
2
&
&
2
1
&
1
&
&
&
1
1
&
1
3
2
2
2
&
&
&
&
&
2
2
&
&
1
&
1
&
1
1
&
1
0J
:
:
:
:
0J
:
:
:
:
:
:
:
:
:
:
:
:
:
:
:
:
:
:
:
:
:
:
5. Conclusion
In this paper, we have applied a newly developed
iterative algorithm for phase retrieval problem to a Xray crystallography model that has an aliasing problem.
- 754 -
ICEIC 2015
Although this model is much simplified compared with
that of a real model, we think that this result might be a
starting point of the future research.
References
[1] G. N. Ramachandran and R. Srinivasan. "Fourier
Methods and Crystallography". Wiley- Interscience, 1970.
[2] M.H. Hayes, "The Reconstruction of a Multidimensional
Sequence from the Phase or Magnitude of Its Fourier
Transform," IEEE Trans. on ASSP, April 1982, ASSP-30,
No. 2,pp140 - 154.
[3] W. Kim and M. H. Hayes. Phase retrieval using two
Fourier transform intensities." JOSA, A:7(3) 441-449. March,
1990.
[4] W. Kim and M. H. Hayes. The phase retrieval problem
in X-ray crystallography Proceedings, ICASSP, Toronto,
Canada. May 14-17. ppD:1765-1768..
[5] W. Kim and M. H. Hayes. 1990. Iterative phase retrieval
using two Fourier transform intensities." Proceedings,
ICASSP, Albuquerque, NM. April 3-6. ppD:1563-1566.
[6] W. Kim, A Modified Iterative Algorithm for Phase
Retrieval, JKPS, Vol. 61, N0. 4, pp 536-543, August 2012.
[7] R. P. Millane, Phase retrieval in crystallography and
optics", Journal of Optical Society of America, 1990, Vol.7,
No.3, pp 394411, March,
[8] Iterative Phase retrieval of a Undersampled Signal, 2014
97th Canadian Chemistry Conference, Paper#: 01431,
Vancouver, B.C. canada, Jun. 01-05, 2014.
Acknowledgement
This research was supported by Basic Science Research Program
through the National Research Foundation of Korea (NRF) funded
by the Ministry of Education, Science and Technology (NRF2013R1A1A2010664).
- 755 -
P5-20
error distribution for each intra modes and set the mean
and deviation value. And considering the error pattern,
we set the different weighting factors to determine
compensation value.
This paper is organized as follows. In Section 2,
related works are introduced. In Section 3, we describe
how to determine the compensation value of the
thumbnail image extracting from the bitstreams
directly. Section 4 shows the experiment results and
Section 5 concludes the paper.
2. Related Works
Chen described an intra-prediction as a matrix
multiplication for 9 modes [4]. The prediction block of
current block
can be calculated by following
equation.
1. Introduction
Due to the development of the high resolution
images and video data, high coding efficiency is also
needed. H.264/AVC is one of the techniques which is
widely used for both of high and low bit rate
applications. And for fast searching and storing
purpose, some of generating thumbnails, which is the
reduced-size images, are proposed. It can give us
intuitive information of contents, so it is widely used
for video searching, browsing, and displaying.
The method that extracts thumbnails from the
bitstream directly is normally used. The DC coefficient
in the frequency domain is the representative pixel
value of a block, so the collection of them can be a
thumbnail of an image. Yeo and Liu [1] proposed a
method to make thumbnail images consist of DC
coefficients of a MPEG-1 frame, and it called DC
sequence. In the same way most thumbnail extraction
method from the bitstream of the MPEG-1/2 can make
various sizes images with reduced complexity [2, 3].
This paper proposes an adaptive error compensation
method for thumbnail generation. We consider the
(1)
(2)
- 756 -
(3)
(4)
ICEIC 2015
Because of integer operation of prediction,
transform, and quantization process in H.264/AVC,
floating point operation in thumbnail extraction
process makes some rounding errors. Yoon focus on
statistical pattern of truncated errors and set a random
variable r [5]. The compensation value s can be
determined that makes minimum variance of r. In the
same way, the representative value which is the mean
of distributed error will be determined.
3. Proposed Algorithm
Image
Album
Hirmer
Soccer
Beatles
TVshow
4. Experimental Results
The proposed method was evaluated by the
following conditions. We choose the test images
randomly and the five unofficial JPEG images (Album,
Hirmer, Soccer, TVshow, and Beatles) were used and
the size of the each images is shown in Table 1. We
generate three thumbnail images. FD (Frequency
domain) makes the thumbnail by extracting DC
coefficients and FFD (Fast generation method from
Frequency Domain) makes it by using the method
proposed in [5]. AFD (Adaptive FD) is the result of
our method.
Size
640x640
720x540
900x656
1024x768
1280x720
FFD[5]
34.24
33.69
33.74
32.45
34.45
AFD
35.27
35.02
34.32
33.35
35.76
+1.03
+1.33
+0.58
+0.90
+1.31
5. Conclusion
We proposed an adaptive error compensation
algorithm for thumbnail generation in H.264/AVC.
The method uses the error distribution data of each
intra prediction mode. It determines the compensation
value with the average, deviation value and the
weighting factor which is determined by considering
the location. It can successfully compensate the error
of thumbnail which is generated directly from the
bitstreams. It makes better result as shown in Table 2.
References
[1] B. Yeo and B. Liu, Rapid Scene Analysis on
Compressed Video, IEEE Trans. Circuits and Syst. Video
Technol., vol. 5, no. 6, pp. 533-540, Dec 1995.
[2] June-Hwa Song and Boon-Lock Yeo, Fast Extraction of
Spatially Reduced Image Sequences from MPEG-2
Compressed Video, IEEE Trans. Circuits Syst. Video
Technol., vol. 9, no. 7, pp. 1100-1114, Oct 1999.
[3] Sung-Joo Suh, Seong-Soo Chun, Myung-Hee Lee, and
Sang-Hoon Sull, Efficient Image Down-Conversion for
Mixed Field/Frame-mode Macroblocks, IEEE Electron.
Lett., Vol. 39(6), pp. 514-515, Mar 2003.
[4] Chen Chen, Ping-Hao Wu, and Homer Chen,
Transform-Domain Intra Prediction for H.264, IEEE
ISCAS, pp. 1497-1500, May 2005.
[5] Myung-Keun Yoon, Yeo-Song Lee, Chae-Bong Sohn,
Ho-Chong Park, Chang-Beom Ahn, and Seoung-Jun Oh, An
Efficient Error Compensation Method for Thumbnail
Extraction in H.264/AVC Bitstreams, THE KOREAN
SOCIETY OF BROADCAST ENGINEERS, vol. 13, no. 5, pp.
622-635, Sep. 2008.
- 757 -
P5-21
1. Introduction
Stereo vision is a technique to estimate the depth of real
scene like structure of the human vision system [1]. In
stereo system left and right views with parallax are
obtained. The goal is to determine disparities that are
indicating the difference in locating corresponding pixels.
The recovery of an accurate disparity map still remains
challenging, due to occlusion problems and sensor noise.
In addition dense stereo matching requires high
computation cost. This paper aims at computing more
reliable dense disparity map in real-time.
- 758 -
ICEIC 2015
3. Experimental results
We have experiment in Intel core i5-750 2.67GHz and
Geforce GTX580 graphics card. In order to evaluate and
compare the matching accuracy performances in
quantitative measure, the standard stereo images in
Middlebury test are used as Table 1. Figure 3 shows the
disparity maps by the proposed method.
(c)
(d)
Figure 3: (a) stereo images, (b) ground truths, (c)
disparity maps by proposed method, and (d) disparity
map of real image sequence.
Table 1: Middlebury test result
Methods
Proposed method
RDP[4]
RealtimeEDP[3]
1.22
0.97
1.29
0.29
0.21
0.25
4.49
4.84
5.67
2.38
2.53
2.27
4. Conclusion
Edge-aware disparity propagation and iterative voting
with reliable disparity are combined in crossed based
aggregation approach for real-time stereo matching. The
proposed method is implemented in GPGPU based CUDA.
Acknowledgments
(a)
References
(b)
- 759 -
P5-22
Abstract
Vision-based gesture recognition with RGB-D
sensors for the elderly and patients with chronic
diseases in exercise and rehabilitation is presented in
home healthcare environments. The research aims to
develop and design a novel system which allows
patients to do exercise and rehabilitation in their home
in order to enhance their compliance with the
rehabilitation exercise and their balance control. In
order to analyze balance, we proposed a human
gesture recognition technique using dynamic time
warping (DTW) that can be evaluated the similarity of
trainers activities and patients activities.
Keywords: DTW, Skeletal Information, Kinect RGBD Sensor, Healthcare System, Physical Rehabilitation.
1. Introduction
The human activity recognition systems have
become popular in computer vision research for
physical rehabilitation and exercise in home healthcare.
Impaired physical mobility is a condition in which an
individual has limited ability for independent physical
movement. It can be caused by a reduction in their
quality of life and a growing risk of serious diseases
and earlier death. In order to receive special care and
facilitate maximum recovery, patients need to travel to
the rehabilitation centers and may feel uncomfortable.
It is fundamental to find a way to improve the quality
of life of the patients facing their health problems
associated with decreased physical activity in their
body and increased health care costs. Rehabilitation
therapy can help decrease pain and enable patients with
chronic diseases to regain your independence in the
safest and most effective way possible. Previous works
have presented in the scientific evidence for physical,
cognitive and social health related benefits increased
exercise, especially in the elderly over the last few
decades [1].
- 760 -
ICEIC 2015
different length and sensitive to event small distortion
in time axis.
In this research, we employ DTW cost computation
using a weighting method in which each combination
of human body joint and gesture class is assigned a
specific weight. DTW costs to calculate between and
within class variations to find a weight for each body
joint according to each gesture. We assume that there
are two time sequences, and , of length n and m,
respectively, where = {1 , } and = {1 , }.
The matching cost for classification can be defined as
the minimal distance matrix between two sequences
and used for classification. To incorporate these
weights into the computation cost, the similarity
function is computed by:
( , ) = ( )
(1)
3. Experimental Results
The feasibility of the proposed Kinect motion
sensor based physical rehabilitation application is
tested in real time. To validate the overall performance
of the system, the proposed algorithm is applied to 216
gesture sequences including 32 test and 184 train
sequences. We have the optimal parameters obtained
from previous experiments. For each gesture class, we
(a)
(b)
(c)
(d)
(e)
(f)
4. Conclusions
This paper proposed a novel computer vision
algorithm which can evaluate a similarity between
trainers activities and patients activities using
dynamic programming with weight values. The system
is capable of identifying whenever the patient is doing
rehabilitation exercise correctly, warning them
otherwise and also recoding the statistics in a report for
a further professional analysis. This research aimed to
employ computer vision technology in designing a
system to help the elderly with motor disabilities or
patients with chronic diseases to increase the number
of exercises and improve the motor proficiency and
quality of life in their homes.
(g)
(h)
Fig. 2. Examples of a fully body tracking on our proposed
system for 8 rehabilitation exercises. (a) left single leg stance
type I, (b) left single leg stance type II, (c) left single leg
stance type III, (d) right single leg stance type I, (e) right
single leg stance type II, (f) right single leg stance type III,
(g) sit-to-stand, and (h) squatting.
References
[1] L. Bherer, KI. Erickson, and T. Liu-Ambrose, A Review
of the Effects of Physical Activity and Exercise on Cognitive
and Brain Functions in Older Adults, Journal of Aging
Research, pp. 1-8, 2013.
- 761 -
P5-23
1. Introduction
Electrical impedance tomography (EIT) is a
relatively new imaging modality where the conductivity
distribution is reconstructed based on current and
voltage data [1]. Even if EIT has some advantages such
as non-invasive and good temporal resolution, it still
remains a challenging problem to improve the
resolution of images due to its ill-posedness.
In order to solve the EIT problem, usually the
iterative Gauss-Newton (GN) method is employed to
estimate the conductivity distribution. It is known that
the GN method provides good performance compared
to other algorithms [2]. However, the performance of
the GN method depends on the selection of the
regularization parameter. Unfortunately, there is no
general way to determine the regularization parameter.
Usually, the regularization parameter is selected
empirically or is chosen by the L-curve method [3].
In this paper, to improve the resolution, multiple
adaptive regularization parameters are considered as
the diagonal matrix instead of the single parameter.
Adaptive regularization parameters are determined by
computing the conductivity norm errors at each
iteration step. The numerical experiment has been
performed to evaluate the performance of the proposed
method.
1
U ( ) V 2 + R
2
(5)
1
U ( ) V 2 + R
2
(6)
where
- 762 -
NN
(7)
ICEIC 2015
800
in
2
500
550
(8)
200
(a)
(b)
800
NK
)( ))
( ) ( )
N
CC =
300
450
400
600
600
400
A A
B B
4. Results
700
650
500
where B
and A
are conductivities in
the background and anomaly regions, respectively.
is mean of the conductivity. Moreover, to separate the
background and anomaly regions in the estimated
resistivity distribution, adaptive threshold value is
determined from Otsus method [4].
IE =
800
700
900
N(N-K)
900
750
i =1
((
700
700
650
600
600
550
500
500
450
400
400
300
350
(c)
(d)
Fig 1. Reconstructed image for case1. (a) true
image, (b) image by GNC, (c) image by GNL, and
(d) image by GNM.
CC
IE
0.16
0.9
0.15
0.85
0.14
0.8
0.13
0.12
0.75
0.11
0.1
0.09
0
GNC
GNM
GNL
2
GNC
GNM
GNL
0.7
Iteration number
0.65
0
10
10
Iteration number
(a)
(b)
Fig 2. Image error (IE) and correlation coefficient
(CC). (a) IE and (b) CC.
Acknowledgements
2 N
j
=j 1 =j 1
750
800
(9)
5. Conclusions
Generally, it is not easy to find proper
regularization parameter in the EIT problem. So, a
new method with multiple adaptive regularization
parameters based on conductivity norm errors is
proposed to improve the image resolution. From the
numerical result, it is found that the proposed method
can improve the resolution of the reconstructed image.
References
[1] D. S. Holder, Electrical Impedance Tomography:
Methods, History and Applications, IOP Publishing Ltd,
2005.
[2] T. J. Yorkey, J. G. Webster and W. J. Tompkins,
Comparing reconstruction algorithms for electrical
impedance tomography IEEE Trans. Biomed. Eng., Vol. 34,
pp. 843-852, Nov, 1987.
[3] P. C. Hansen, D. P. OLeary, The use of the L-curve in
the regularization of discrete ill-posed problems SIAM J Sci
Comput, Vol.14, pp.1487-503, 1993.
[4] N. Otsu, A threshold selection method from gray-level
histograms IEEE Trans. Sys. Man Cyber. Vol.9, pp. 62-66,
Jan, 1979.
- 763 -
P5-24
1. Introduction
CCTV to provide video information, has been
changed to intelligent. Rather than simply providing
only the video information and it includes a plurality of
functions which detect situations of risk through the
analysis of the behavior patterns of pedestrian tracking
with automatic detection of the vehicle and
pedestrian[1]. In these systems, the pedestrian is an
element of significant interest. Then, by classifying
individuals by pedestrians in the scenario that has
detected two or more persons, and to obtain the
necessary information, will be a great help in the
investigation and prevention of crime [2]. This paper
proposes the pedestrians tracking method in this
situation using wearing color, motion vector, and shape
information as feature information for identifying an
individual based on the characteristics of the pedestrian
[3-4].
In this paper, we use the area of the pedestrian ROI
instead of the block. The ROI area of the pedestrian is
obtained by searching the the matching pedestrian area
of the previous frame and the current frame ROI area.
Than with using a large area and is obtained Searching
Range Motion Vector finds You can check the current
2. Proposed Method
To detect the pedestrian many using the HOG. To
obtain a Shape information and wearing color in the
area of pedestrian longer detectable, and give out
Motion Vector pedestrian between each frame.
Tracking by detecting pedestrians by applying the
value of the pedestrian by ID using three pieces of
information. If it is in close proximity or overlap of the
pedestrian between, it becomes possible to determine
- 764 -
ICEIC 2015
3. Experiment Result
Looking the figure, an ID is given for each
pedestrian, to begin tracking. In situations where
pedestrians are close to each other, or the pedestrian
zone overlap is the HOG descriptor ultimately fail to
follow-up. However, after both pedestrians are
separated from each other, a distinction is possible by
using the feature data.
4. Conclusion
We used three different feature information to
classify each of the pedestrian in the context of
tracking the number of pedestrians. If pedestrians are
close or overlapped with each other close, and it
appears again without disappearing. The proposed
method distinguishes each pedestrian situation with
minimal confusion or failure in tracking. The proposed
method to improve more We proceed to the next can
be more precisely identify a pedestrian, each of the
study.
Acknowledgements
This research was financially supported by the
Ministry of Education, Science Technology (MEST)
and National Research Foundation of Korea (NRF)
through the Human Resource Training Project for
Regional Innovation (No. 2012-04-A-03-025-12010200). And this research was supported by the
MSIP(Ministry of Science, ICT and Future Planning),
Korea, under the Global IT Talent support program
(NIPA-2014-H0904-14-1003) supervised by the
NIPA(National IT Industry Promotion Agency).
References
[1] A. Alahi, Y. Boursier, L. Jacques, and P. Vandergheynst,
A Sparsity Constrained Inverse Problem to Locate People in
- 765 -
P5-25
1. Introduction
With the great advancement in technology, there has
been an increasing demand for a more enhancing videobased communication platform such as video conferencing
and personal video calls. One of the key issues making a
significant difference between face-to-face and human
computer interface communication is the lack of constant
gaze interaction in the latter case. The persistent feeling of
disconnectedness ultimately hinders the establishment of
rapport and effective conveying of messages.
Over the decades, there are relevant studies which aim
to address the aforementioned issue. Generally, gaze
correction method fall into two categories: 1) monocular
camera based method, and 2) stereo camera based methods.
The former predetermined the desired position of a virtual
camera and rendered synthesized images with the eyes
gazing at the specific location in the space [1, 2, 3]. The
latter generated output views with reference to input
images captured from cameras located at different
positions [4, 5].
For researches using a monocular camera, Yip and Jin [1]
proposed an approach which performed face re-orientation,
warped the eyelids for the purpose of eyelid correction,
and added glares to the pupil. To target the gaze deviated
problem, Wolf et al. [2] presented a solution of artificially
replacing eyes with the most similar image in the database
of direct gaze images acquired through an initialization
phase. Recently, a model-based method is proposed by
- 766 -
ICEIC 2015
shape variation, the training set of AAM is a set of images
annotated with landmark points. These points are generally
marked at borders, vertexes, and corners as well as
augmented along the boundaries for a sparse description of
the target object. With each -point shape represented as a
T
vector given by
1, y1, 2, y2, , n-1, yn-1, n, yn) ,
a set of N training samples of such vectors xj is first
aligned into a common coordinate frame by Procrutes
Analysis [8]. Principal Component Analysis (PCA) [9] is
then performed to build a statistical shape model. The
model of statistical shape variation is, therefore, be
modeled with
where
and
describe the modes of appearance
variation and c is a vector of appearance parameters
controlling both shape and grey-levels of the model. A
photo-realistic image can, thus, be generated for a given
vector, , by forming a synthesized texture, , and then
warping it using landmark points of the new shape, .
- 767 -
ICEIC 2015
,
where is the current pitch value and p determines the
decay rate of the exponential function. It was empirically
found that a value of 5 for p achieves the best result.
6. Experimental Results
With the current implementation on full 1280 x 720
input images on a 3.4 GHz Pentium PC, gaze corrected
video sequences has been produced at 17 frames per
second. Calibration only has to be performed once for a
given camera configuration. The duration of the
initialization process generally does not exceed three
seconds.
5. Algorithm Overview
The illustration in Figure 5 provides an overview of the
proposed algorithm. The range of head orientation is
determined in the initialization phase. Calibration is then
performed to rectify the image pair so that these images are
places on the same image plane.
The rectified top image will be presented without
alteration when face is not detected in the current image
pair. Otherwise, ROIs from both images is computed based
on the landmarks fitted to the face. Next, the current pitch
- 768 -
ICEIC 2015
As illustrates in the top row of Figure 6, the color
contrast between the modified region and the rest of the
image resulted in unnatural outcomes. A practical solution
is to bring the difference of color contrast of the ROIs
between the top and bottom images to the minimum, by
incorporating histogram specification, designed to alleviate
the illumination contrast before the alpha blending
algorithm. As a result, the difference in color contrast of
the ROIs with the surrounding is visibly reduced, leading
to prominent eye views.
1
9
2
10
3
8
4
6
5
10
6
9
7
7
8
9
9
8
10
10
Average
8.6
7. Conclusion
A real-time gaze correction algorithm is proposed to
address the limitations arisen from the offset between the
position of a camera and the monitor screen. By using head
pose estimation as a weighting factor on the extent of gaze
correction, alpha blending algorithm is implemented on
images pairs acquired from top and bottom cameras. The
proposed method presented refined and realistic gaze
corrected views, successfully attained the goal to maintain
eye contact during communication.
References
[1] Yip, B., and Jin, J.S., An Effective Eye Gaze Correction
Operation for Video Conference using Anti-Rotation Formulas,
In Proc. Of the 2003 Joint Conf. of the Fourth Int. Conf., pp. 699703, December 2003
[2] Wolf, L., Freund, Z., and Avidan, S., An Eye for An Eye: A
Single Camera Gaze-Replacement Method, In IEEE Conf. on
Computer Vision and Pattern Recognition, pp. 817-824, June
2010
[3] Waizenegger, W., Atzpadin, N., Schreer, O., Feldman, O.,
and Eisert, P., Model-Based 3D Gaze Estimation For Provision
of Virtual Eye Contract, In 19th IEEE Int. Conf. Image
Processing, pp. 1973-1976, October 2012
[4] Yang, R., and Zhang, Z., Eye Gaze Correction with StereoVision for Video-Teleconferencing, In Proc. 7th Europ. Conf. on
Computer Vision, pp. 479-494, May 2002
[5] Criminisi, A., Shotton, J., Blake, A., and Torr, P.H.S., Gaze
Manipulation for One-to-One Teleconferencing, Proc. Ninth
IEEE Int. Conf. on Computer Vision, pp 191-198, October 2003
[6] Edwards, G.J., Taylor, C.J., and Cootes, T.F., Interpreting
Face Images using Active Appearance Models, In Proc. Third
IEEE Int. Conf. on Automatic Face and Gesture Recognition, pp.
300-305, April 1998
[7] Dementhon, D.F., and Davis, L.S., Model-Based Object
Pose in 25 Lines of Code, In Int. Journal of Computer Vision, pp.
123-141, June 1995
[8] Goodall, C., Procrutes Methods in the Statistical Analysis of
Shape, In Journal of the Royal Statistical Society B, pp. 285-389,
1991
[9] Harold, H., Analysis of Complex Statistical Variables into
Principal Components, In Journal of Educational Psychology,
pp. 417-441, September 1933
[10] Shewchuk, J.R., Triangle: Engineering a 2D Quality Mesh
Generator and Delaunay Triangulator, In First Workshop on
Applied Computational Geometry, pp. 203-222, May 1996
[11] Martins, P., and Batista*, J., Accurate Single View ModelBased Head Pose Estimation, FG 08.8th IEEE Int. Conf. on
Automatic Face & Gesture Recognition, pp. 1-6, September 2008
- 769 -
P5-26
1. Introduction
In the area of evaluation of crops related to disasters,
humans have manually assessed the number of crops. As a
result, a lot of costs and time are incurred and the problem
of preciseness of evaluators has led to emergence of the
issue of fairness. However, image processing and machine
vision technologies have been developed and are presented
as alternatives for such problems. Most previous studies of
fruit area detection thus far have used pixel-based image
processing techniques to detect fruit areas and counted the
number of detected areas [1, 2, 3].
This study analyzed the shapes of apple trees with
edge-based preprocessing process and judged whether
there were apple trees, thereby recognizing apple trees.
The system in this study was designed as a structure to
detect apples on apple trees using learned data on apples
through AdaBoost Learning. When detecting apples on
apple trees, diverse detection errors occur unlike existing
- 770 -
ICEIC 2015
examine color range appropriate for apple areas. Among
them, CIE L*a*b* color space similar to human
visualization model most clearly classified apples and
background areas. Using the L*, a*, and b* condition of
CIE L*a*b* color space, color range of red apple areas
was defined. Color ranges under the defined condition are
as follows.
0 L* 100
(1)
25 a*80
0 b* 60
No. of
images
No.
of
fruits
30
502
Ref[1]
Detection
False
rate, %
detection
77%
143
Proposed
Detection
False
rate, %
detection
80.68%
18
4. Conclusion
An existing apple detection algorithm was analyzed to
result in very high false detection rates due to colors
similar to those of apples, light sources, and shadows.
In order to solve these problems occurring during apple
detection, this study developed an apple detection
algorithm which can improve detection rates and also
drastically decrease false detection compared to existing
research.
This algorithm was to recognize apple trees first with
preprocessing
stage,
extract
appropriate
colors
corresponding with apple areas, conduct MCT, and use
AdaBoost Learning algorithm.
5. Acknowledgement
(a)
(b)
(c)
(d)
3. Experiment
Apple detection performance was verified using about
30 apple images under diverse environments such as
different size and colors of apples and light sources.
References
[1] Hanbyul Yeon, SeongJoon Yoo, Dongil Han, Jinhee Lim,
Automatic Detection and Count of Apples Using N-adic
Overlapped Object Separation Technology, Proceeding of
International Conference on Information Technology and
Management, November 2013.
[2] Qi Wang, Stephen Nuske, & Marcel Bergerman, E.A., Design
of Crop Yield Estimation System for Apple Orchards Using
Computer Vision, In Proceedings of ASABE, July 2012.
[3] Raphael Linker, Oded Cohen, Amos Naor, Determination of
the number of green apples in RGB images recorded in
orchards, Journal of Computers and Electronics in
Agriculture, pp. 45-57 February 2012.
[4] Y. Song, C.A. Glasbey, G.W. Horgan, G. Polder, J.A. Dieleman,
G.W.A.M. van der Heijden, "Automatic fruit recognition and
counting from multiple images", Journal of Biosystems
Engineering, pp.203-215 February 2013.
- 771 -
P5-27
1. Introduction
With development of high speed wireless network
technology including Long Term Evolution and Mobile
WiMAX, mobile broadcasting market is growing
rapidly. Catching up with this trend, Video-on-Demand
service is also spread widely.
During Video-on-Demand service, bit stream switch
may be occurred due to network congestion, packet
error, user request or other reasons. In traditional Realtime Transfer Protocol system, whole network setup is
processed to handle these bit stream switch, causing
large delay of audio-video playback. The playback
delay reduces user comfortableness, therefore it is
encouraged to reduce the bit stream switch delay.
Previous work [1] has been proposed for low-delay
RTP bit stream change method. In this paper, the
method is modified to have a backward compatibility
with traditional RTP system. Then the proposed system
is evaluated and concluded.
3. Proposed Method
When bit stream change occurs during audio-video
playback, traditional RTP system stops and runs whole
network setup process. These processes may be omitted
by exploiting RTP PayloadType field.
- 772 -
ICEIC 2015
10
9
8
7
6. Conclusion
Count
6
5
4
3
2
1
0
50
60
70
80
90
100
Delay (ms)
method is
to have a
method is
and client,
Acknowledgement
4. Backward Compatibility
It is not always guaranteed that both the server and
the client implement the proposed method. There are
two possible scenarios. First, the client implements
proposed method but the server doesnt. This is trivial
case because the client can works as traditional decoder.
Second, the server supports the proposed method
but the client doesnt. At the stream switch situation,
the server tries to send a request to client, but the client
cannot understand it therefore the client ignores it. The
server waits for the clients reply, consequentially it
times out. Finally the server stops the streaming and
begins whole network setup process as traditional
encoder.
5. Experimental Result
The proposed system is implemented on the
Android device. Control session is implemented using
RTSP. The server and the client are connected within a
single WiFi network. Time delay between the last
frame of old RTP session and the first frame of new
This work has been supported by Institute of BioMedIT, Energy-IT and Smart-IT Technology (BEST), a
Brain Korea 21 plus program, Yonsei University
References
[1] D. Pak, S. Kim, K. Lim and S. Lee, Low-Delay Stream
Switch Method for Real-Time Transfer Protocol, Consumer
Electronics (ISCE 2014), The 18th IEEE International
Symposium on, pp.1,2, 22-25 June 2014
[2] H. Schulzrinne, S. Casner, R. Frederick and V. Jacobson.
RTP: A Transport Protocol for Real-Time Applications
[Online]. 2003, July.
Available: http://www.ietf.org/rfc/rfc3550.txt
[3] H. Schulzrinne and S. Casner. RTP Profile for Audio
and Video Conferences with Minimal Control [Online].
2003, July. Available: http://www.ietf.org/rfc/rfc3551.txt
[4] S. Wenger, M.M. Hannuksela, T. Stockhammer, M.
Westerlund and D. Singer. RTP Payload Format for H.264
Video [Online]. 2005, February.
Available: http://www.ietf.org/rfc/rfc3984.txt
[5] H. Schulzrinne, A. Rao, R. Lanphier. Real Time
Streaming Protocol (RTSP) [Online]. 1998, April.
Available: http://www.ietf.org/rfc/rfc2326.txt
- 773 -
P5-28
Depth
h Reliabilitty Measurrement forr Vehicle Stereo
S
Vision
Jaesu
ung Kim, Chhanghyun Paark,Junghyunn Kim and Sangyoun
S
Leee
D
Dept.
of Electrical and Electronic
E
E
Engineering,
Yonsei Univversity, Seouul, Korea
tusltifid@
@yonsei.ac.kkr,qkrckd20002@yonsei.aac.kr, jhkim__1012@yonssei.ac.kr,syleeee@yonsei.ac.kr
Ab
bstract
As the car
c spreadwid
dely, the imporrtance of driveers
and pedestrians safety is
i higher. Therre were alreaddy
many counntries where the vehicle equipped with a
vision systtem for Advancced Driver Asssistance System
ms
(ADAS) iss compulsory.. In the earlly day, vehiccle
detection and pedestria
an detection using a singgle
camera waas developed. Various methoodsusing stereeovision systtem have been
n studied nowaadays to preveent
accidents by detecting
g all objects with potentiial
r
However,, in the case of applicationns
collision risk.
using stereeo vision, the quality of deppth image is not
n
stable becaause of the matter
m
of data acquisition raate
and enviroonmental noise..
In thiss paper, we proposed Deepth Reliabiliity
Measurement (DRM) th
hat can improve the previouus
works whicch estimate thee road and minimize the erroor
rate of obsstacle detection
n.
n, Depth Reliaability
Keywordss: Stereo Vision
Measurement, ELAS, .en
npeda.. Database
1. Introd
duction
o ADAS, thee camera shouuld
In a larrge category of
have becom
me integral paart for protection of drivers as
well as peedestrian. With
h advances in camera sensinng
and patterrn recognition
n technologiees, vehicle annd
pedestrian detection usin
ng monocular vision
v
have beeen
mely active ressearch area inn the intelligeent
an extrem
vehicles. But,
B it was harrd to estimate the distance of
frontal obsstacle and hav
ve low detection rate. In thhe
field of veehicle industry,, it was also diifficult to acceept
on accountt of the high saafety standard.
In a recent years, a lot of researchers spotlighteed
f
solving these
t
problem
ms.
stereo vission system for
Typically, there was Daimlers
D
Stixxel[1] algorithhm
t form of veertical bars eveery
which wass expressed in the
obstacle acccording to sep
parate obstaclee boundary froom
road regioon. And the Digital
D
Elevatioon Map (DEM
M)
Figuure 1. 3D Geom
metry informatiion in stereo viision
( depth image
(a)
( Virtual imagee
(b)
- 774 -
ICEIC 2015
3. Depth
h Reliability
y Measurem
ment
w calculate thhe
As measurement of reliability we
Normalized Cross Correelation (NCC) metricsbetweeen
mage and thee virtual image foreachpixxel
the left im
intensity. The
T NCC is giv
ven by
,
1
| |
Ackn
nowledgemeent
Thiis work has been supportted by Instituute of
BioMeed-IT, Energyy-IT and Sm
mart-IT Technnology
(BEST
T), a Brain Korea
K
21 pluus program, Yonsei
Y
Univerrsity
Referrences
where and denote the means, and
a
and thhe
d
of each images. The set is a
standard deviations
subset of all
a pixel locatio
ons. It needs too be removed by
b
pixels haviing 0 intensity value of the deepth image.
4. Evaluation
In ordeer to evaluate the performannce of proposeed
algorithm, we used set 11 (wiper) stereeo images of thhe
Middleburry stereo websiite [4] and following computter
specificatioons (Intel core
c
i5 2500
2
CPU 3.330
GHz .3.600 GHz, RAM 4GB, 32 bit Window
W
7). We
W
choose wiper
w
sequencce representedd one of thhe
particular challenging scenarios.
s
In this paper we
w
pth image for stereo
s
matchinng,
obtained a generative dep
AS (Efficient Large-scale
L
Steereo) [5], whicch
called ELA
allows low
w computationaal complexity.
Figure 3 shows how the
t DRM welll represented thhe
state of unccertainty.
5. Conclusions
M method for outdoor vehiccle
We prooposed the DRM
stereo vision. This metriics used to minimize the fallse
positive obbstacle detectio
on and improvve previous roaad
estimation methods. By extension, it can be used for
f
t
frame hav
ving lots of errors value in
skipping the
obstacle traacking.
- 775 -
P5-29
2. Proposed method
Abstract
For the protection of information stored in mobile
devices, palmprint recognition is considered one of the
effective solutions because palmprint image can be
easily captured using the built-in camera of the mobile
devices. In this paper, a hand-shaped guide window
based palmprint recognition method for mobile devices
is proposed. With the use of the guide window, fast
image acquisition and region of interest (ROI)
extraction methods are proposed. Also, to cope with
the slight variation in ROI images, block-level
orientation feature is proposed. A mobile palmprint
database was constructed using Samsung Galaxy S3
for the experiment and the matching performance of
the proposed method showed the equal error rate
(EER) of 2.88%.
Keywords: Palmprint recognition, mobile, biometrics,
Image processing
1. Introduction
Security of the personal information stored in mobile
devices is an increasing concern and palmprint
recognition is one of the solutions for it as the images
of user's hand can be easily captured using the
embedded camera of the mobile device. Compared to
the traditional touch-based palmprint recognition [1
5], mobile palmprint recognition is much complicated
because hand images are captured in an uncontrolled
environment. Consequently, there are following
difficulties in mobile palmprint recognition: limited
processing power, various hand pose, and complex
background. In this paper, by using a hand shaped
guide window, hand pose variation is reduced and hand
images are rapidly captured in video mode. To extract
ROI from the palm, two valley points in between
fingers are located rapidly by the proposed valley point
detection method. Block-level orientation code is
proposed to compensate slight translation in ROIs.
(a)
(b)
(c)
Fig. 1. Guide window and profile signal (a) guide
window (b) profile line in red (c) profile signal
To obtain the ROI, two valley points are detected by
using multiple profile lines in the valley area as in Fig 1
(b). A pair of finger contour points is obtained on each
- 776 -
ICEIC 2015
profile line by using the Ngs adaptive thresholding
method. Midpoints of all contour pair are used to find
the line crossing the valley point between two fingers.
The signal on this line is again used to locate the valley
point by using the Ngs method. ROI is extracted in the
central area of the palm by referring two detected
valley points.
2.3 Block-level orientation feature
The orientation information of a palmprint was first
used by Kong in the competitive code [3]. The
orientation of palmprint is extracted and compared in a
pixel level and it showed a promising performance. For
mobile palmprint images, which contain relatively
larger amount of translation and rotation, pixel level
feature extraction method is not suitable and blocklevel orientation feature is proposed. In the proposed
method, each pixel in the ROI is filtered by using
Gabor filters with six different orientations and the
orientation of the pixel is decided by the competitive
rule [3]. If a Gabor filter response at a sample point is
too small, that pixel is defined to have no orientation.
The ROI region of a palmprint image is divided into
8x8 sub-blocks and the orientation histogram of each
block is used as a feature. Orientation histogram of
each block is then concatenated to be a feature vector.
Such block-level feature is suitable for mobile
palmprint recognition which contains slight translation
and rotation. A ROI image, orientation map, and
extracted feature from one sub-block are shown in Fig.
4.
4. Conclusion
In this paper, we propose novel palmprint
recognition method for mobile devices. By providing
the hand-shaped guide window, hand pose variation is
restricted and hand images can be captured rapidly.
Also, valley points are detected rapidly by multiple
checking profile lines only in the valley area of guide
window. Block-level orientation feature compensates
slight distortions in ROI images caused by valley-point
variation. We constructed mobile palmprint DB using
Samsung Galaxy S3 and EER of 2.88% was achieved.
Acknowledgement
This work has been supported by Institute of
BioMed-IT, Energy-IT and Smart-IT Technology
(BEST), a Brain Korea 21 plus program, Yonsei
University.
References
300
250
Number of Pixels
200
150
100
50
Orientation
(a)
(b)
(c)
Fig. 2. (a) Original ROI image (b) orientation map
and sub-block division (c) histogram feature from a
sub-block
3. Experiment
For the experiment, a mobile palmprint database is
collected by using a Samsung Galaxy S3. The database
consists of 8754 images from 120 hands and the hand
images are acquired with uncontrolled background and
hand posture. Embedded LED light is turned on to
provide the illumination. For verification, 1 palmprint
image is registered as a template image and compared
with the rest of the palmprint images. The matching is
regarded as genuine if both images are from the same
- 777 -
P5-30
Abstract
Recognizing a human face is very important issue in
computer application. To increase the performance,
innumerable research has been extensively studied.
Above all discriminant feature selection is the most
important process in face recognition system. In this
paper, we proposed a recognizing method by
concatenating pre-existing face descriptors on featurelevel. Our experimental result shows interesting results
about the recognition rate.
Keywords: face recognition, principal component
analysis
1. Introduction
Face recognition has been extensively researched in
the field of computer vision. Above them, effective
face feature extraction is one of key the issue in face
recognition. Among various studies, the appearancebased approaches added a new page to the history of
face recognition field. The traditional principal
component analysis (PCA) algorithm which uses
eigenface is one of the most famous methods [1].
However, the pixel intensity of PCA algorithm has
several limitations that it is sensitive to variations of
brightness and orientation.
To overcome conventional weaknesses, various
feature descriptors were developed. In recent years,
local binary pattern (LBP) [2] and histogram of
oriented gradient (HOG) [3] have been widely used to
represent human information. In comparison with pixel
intensity, these have many advantages about an
orientation and external light variations. Since the
traditional feature has only texture information.
In this paper, we proposed a new multi feature
combination method to overcome the mentioned
problem. We analyzed various feature-level
combinations for face recognition task. The
dimensions of each concatenated feature are reduced
2. Proposed Algorithm
In Section 2, we describe the process of our face
recognition approach.
Our proposal face recognition method can be
divided into two steps, feature extraction, feature
concatenation. Before extracting face features, we
normalized the image size to 50x50. In sequence, the
pixel intensity, LBP and HOG feature were extracted
from normalized image for concatenation. In Figure 1,
we selected 5 radius of LBP and 2x8 HOG cell size
after the several experiment.
(a)
(b)
Figure 1. The rank curve with variations of LBP radius
(a) and HOG cell size (b)
- 778 -
ICEIC 2015
Acknowledgement
This work has been supported by institute of
BioMed-IT, Energy-IT and Smart-IT Technology
(BEST), a Brain Korea 21 Plus Program, Yonsei.
University.
References
3. Experimental result
To evaluate our approach, the AT&T database [4]
composed of 400 images of size 112x92 is used in a
3.4 GHz CPU and 16.00GB RAM.
The recognition result in Figure 3 shows accuracy
of our proposal method. Compared to the single
feature, our proposal concatenated feature produce
similar effects except some case.
4. Conclusion
This paper suggests a new feature extraction
method for human face recognition. After the
- 779 -
P5-31
1. Introduction
Vision based hand gesture systems offer attractive
human computer interfaces (HCI) due to their noncumbersome and natural way of interaction. In vision
based HCI, a camera device is used to acquire data. A
gesturing object such a hand is then segmented from
the rest of the image. Dynamic hand gestures encode
information by temporal trajectories [1]. A performed
trajectory is assigned to one of gesture categories in the
vocabulary, by a recognition algorithm. When a gesture
is recognized, the corresponding description is used to
relay a command.
In this paper, we adopt particle swarm optimization
(PSO) to the problem of gesture recognition. PSO is a
pattern search method [2]. In general, a PSO algorithm
is initialized with a group of N particles. Each particle
is characterized by its personal best position, which is
updated according to its fitness value (likelihood).
Within the gesture recognition context, we assign a
particle to each gesture template and allow it to evolve
through a deterministic matching process.
i
Pbest Pbest
0
i 1
i
Pbest
1;
T i0
i
T i0
T
- 780 -
ICEIC 2015
using (2) to increase inter class separability. Notice that
we perform a segment matching instead of point
matching.
3. Experiment
To evaluate the performance of the proposed
method, we collected gestures video clips at 30 FPS
using Kinect v1, from five people, drawing in the air
digits 1~9 and nine HCI gestures, see Fig. 4. There
was no restriction made on gesturing speed or size.
Each video contains ten or more gestures. A gesturing
hand is segmented from the rest of the image by
thresholding depth histogram.
4. Conclusion
The proposed method has comparative recognition
rate to the state-of-the-art methods and requires less
computational resources. It runs in real-time without
delay. In the future work, we plan to derive a forward
gesture spotting method that might be more appropriate
for big size gesture vocabularies.
References
- 781 -
http://gabrielecirulli.github.io/2048/
P5-32
1. Introduction
It needs to be more sensitive to the critical risk of
accidents in major infrastructure facilities such as
stations power plants, substations. But it is difficult to
monitor and cut the risk of accidents because it is hard
to access to the facilities. This paper proposes real-time
monitoring system using multi-cameras. The system
can make the monitoring system more efficient
because the video image of the camera enlarges to
bigger screen when motion is detected. We tried to
apply a simple calculation method to motion detection
with low memory usage rates.
- 782 -
ICEIC 2015
4. Experimental result
Used
Available
1,014
1,468
530
93,296
46,648
11,662
Utilization
1%
3%
4%
5. Conclusion
Figure 3: The proposed HW architecture.
Acknowledgment
- 783 -
ICEIC 2015
References
[1] D. Guevorkian. A. Launianen, P. Liuha, and V.
Lappalainen, Architectures for the sum of absolute
differences operation, Proceedings of IEEE Workshop on
Signal Processing Systems, p. 57-62, Oct. 2002.
[2] Dong-kyun Park, Hyo-moon Cho, Sang-bok Cho, Jonghwa Lee, A fast motion estimation algorithm for SAD,
ISIC '07. International Symposium on Integrated Circuits,
p.528-531, Sep. 2007.
[3] Hyeon-Cheol Yang, Seongsoo Lee, Motion Estimation
Algorithm to Guarantee Hard Realtime Operation, Journal
of IKEEE.Vol.17, p.36-43, Mar. 2013.
- 784 -
P5-33
2. Proposed method
In our method, the contrast enhancement is
performed in the YCbCr color space. First, each
component is transformed into the wavelet domain as
follows.
1. Introduction
Images and videos have been used for private or
public purposes. However, in many case, we do not get
an image with desired brightness and resolution when
the illumination is low. Thus, many studies are being
progressed to improve the low luminance image using
various contrast enhancement and color adjustment
methods.
One of the popular contrast enhancement method is
to amplify sharpness at the edge by designing a
function that enhances contrast [1-2]. In [1], contrast
enhancement has been performed using entropy-based
approach. This method is based on the fact that the
maximum entropy can be obtained by uniform
histogram. The color image enhancement technique
using scaling the discrete cosine transform (DCT)
coefficients has been reported in [2]. This scheme
firstly changes DC components using well-known
enhancement methods and then adjusts AC
components according to the changed DC values.
In this paper, we present a new color image
enhancement method using histogram matching and
entropy-based approach in the wavelet domain. Our
method is performed at YCbCr color coordinate and
produce good enhanced image with low luminance.
, (1)
where
,
, and
is the transformed version
of ,
, and
, respectively. In (1),
indicates
wavelet subbands. For a given luminance image , the
histogram matching is performed to obtain an initial
enhanced luminance image. That is,
,
(2)
where
is an initially enhanced , and
is a
matching function. In this paper, we use
as a
Gaussian function.
There are various local contrast measures in the
transform domain [3]. In this paper, for a component,
we exploit a local contrast measure at a location
in the wavelet domain as follows.
,
, (3)
where
is the local contrast measure for
using the histogram matched luminance
.
In order to apply the entropy concept to contrast, we
divide image into
overlapping blocks. Then,
calculate the probability associated with contrast as
follows.
- 785 -
(4)
ICEIC 2015
(a)
(b)
(c)
(d)
(e)
Fig.1. Enhancement results, (a) Original image, (b) TW-CES-BLK, (c) DRC-CES-BLK, (d) SF-CESBLK, (e) proposed method
Table 1: Performance measure on enhanced
where is
block centered of
. From (4), we
image
can obtain the entropy
as the terms of
CEF [3]
GCF [4]
. Our aim is to amplify this
Proposed
method
2.3665
2.6724
entropy to change the local contrast defined in (3).
TW-CES-BLK
[2]
1.7341
1.6523
That is,
DRC-CES-BLK
[2]
1.8247
1.2165
,
(5)
SF-CES-BLK [2]
1.3282
1.4357
where is the changed probability associated with
contrast, and
is the amplifying factor. From the
4. Conclusion
equation (5), we will drop
, if there is no
confusion.
In this paper, we proposed a low luminance image
The value of shown (5) is calculated by the
enhancement method using histogram matching and
Newton method in this paper. From , we can find
entropy-based approach in the wavelet domain.
as
the value of a new contrast measure,
Simulation results showed that our method is effective
to enhance low luminance images.
),
(6)
where
and
. From (3) and (6), we obtain the
changed high frequency wavelet coefficient
using
, (7)
3. Experimental results
To verify the performance of our method, we
compared our results to the conventional method in [2].
As shown in Fig. 1, our method is superior to the
conventional method. Table 1 shows the two objective
measures such as the color enhancement factor (CEF)
[3] and the global contrast factor (GCF) [4]. In
conclusion, our method shows better performance both
objectively and subjectively than the previous method.
Acknowledgement
This work was supported by the National Research
Foundation of KOREA (NRF) and Center for Women
n Science, Engineering and Technology (WISET)
Grant funded by the Ministry of Science, ICT & Future
Planning of KOREA (MSIP) under the program for the
Potential female students with interest in Science
connecting with the community of Science and
Engineering.
References
[1] A. Khelaf, A. Beghdadi, and H. Dupoisot, Entropic
contrast enhancement," IEEE Trans. Medical Imaging, vol.
10, no. 4, p. 589-592, 1991.
[2] Mukherjee, Jayanta, and Sanjit K. Mitra, "Enhancement
of color images by scaling the DCT coefficients," IEEE
Trans. Image Processing, pp.1783-1794, 2008.
[3] S. Susstrunk and S. Winkler, Color image quality on the
internet, Proc. IS&T/SPIE Electronic Imaging: Internet
Imaging V, vol. 5304, pp. 118131, 2004
[4] K. Matkovic, L. Neumann, A. Neumann, T. Psik and W.
Purgathofer, Global Contrast Factor a New Approach to
Image Contrast, In Computational Aesthetics in Graphics,
Visualization and Imaging 2005, pp. 159-168, May 2005.
- 786 -
P5-34
Video Quality Assessment Method between DVD-Quality movie and CamQuality movie using Noise Detection
Hyungkwan Son, Yongseok Seo, Wonyoung Yoo
Contents Protection Lab, Electronics and Telecommunications Research Institute
{kwanson, yongseok, zero2}@etri.re.kr
Abstract
In this paper, we propose a new video quality
assessment (VQA) algorithm for estimating difference
between DVD-quality movie and Cam-quality movie.
This method is based on noise detection in the hue
channel of movie frames. Also, some image processing
method has been applied to detect the noise more
effectively. Experimental analysis has shown the
efficiency of the proposed algorithm.
1. Introduction
Figure 1: CamRip
Figure 2: DVDRip
The flow of our proposed VQA algorithm is shown
in Figure 3. The color of input frame is originally
composed of RGB space. RGB (red-green-blue) color
model considered as the base color space for various
application [1], but it is poor color space to determine
specific color for recognition. For this reason, we use
HIS (hue-intensity-saturation) color model which is
based on the idea of human visual system [2]. Among
them, hue channel is used for our algorithm, since it
shows the unity of color very well. The hue can be
simply transformed from RGB space. The formulas
are:
- 787 -
ICEIC 2015
.
3. Experimental result
We calculate the noise ratio for the both movie
types and compare the two results of the noise ratio
about the same movie. The same section (about 5
minutes) of each of four movies are used for our
experiment. The experimental results of our algorithm
are shown in Table. 1
Table 1: Average noise ratio of DVDRip and
CamRip
Movie A
Movie B
Movie C
Movie D
DVDRip
0.2650
0.0824
0.1871
0.3326
CamRip
0.4748
0.1684
0.2751
0.4741
4. Conclusion
This paper presented a novel video quality
assessment algorithm between CamRip movie and
DVDRp movie. The experimental results show that
our algorithm has a nice advantage of finding the
difference between DVDRip and CamRip.
Acknowledgment
This research project was supported by the
Government Fund from Korea Copyright Commission
in 2014.[2012-cloud-9500:Development of contentbased usage control technology for clean cloud]
References
[1] Ibraheem, Noor A., et al. "Understanding Color Models:
A Review." ARPN Journal of Science and Technology 2.3
(2012): 265-275.
[2] Cheng, Heng-Da, et al. "Color image segmentation:
advances and prospects." Pattern recognition 34.12 (2001):
2259-2281
[3] Nahar, Mahbubun, and Md Sujan Ali. "An Improved
Approach for Digital Image Edge Detection."
- 788 -
P5-35
Abstract
This paper presents a gesture recognition systems
based on Dynamic Time Warping (DTW) for game
interface. In order to improve the recognition rate of
DTW, we add penalty term in DTW algorithm. The
penalty term is based on the orientation histogram of
body joint's velocity obtained from Kinect. We also
organize the gesture set in subsets to reduce the
computational cost based on active joint.
Keywords: Dynamic Time Warping, Gesture
Recognition.
1. Introduction
Generally used game interface are mouse, joystick
or the keyboard, but for a more realistic gaming
experience, often special input device (For example,
light gun for shooting game and steering wheel for
racing game) are used. In the game environment where
devices are used for input a variety of actions is limited.
However using gesture recognition based on vision,
more varied and intuitive gesture can be accepted. This
paper presents a gesture recognition system using the
DTW algorithm [1] and Kinect. DTW is a widely used
template matching algorithm [2] and Kinect is a depth
sensor that is suitable for game interface. To improve
accuracy of DTW, we suggest Penalty added DTW.
And our clustered gesture set defeat computation cost
problem proportionally associated with size of gesture
database [3].
2. Gesture Recognition
The flowchart of the algorithm is shown in Fig. 1.
We first obtain and normalize the input sequence, and
then generate a velocity sequence. By calculating the
velocity in each sequence of joint, the active joint is
found, and then select clustered gesture set which
corresponds to active joint. The selected gesture subset
and input are compared using penalty added DTW.
pn = hn / hn
(1)
n =1
- 789 -
ICEIC 2015
g (i, j 1)
g=
(i, j ) d (i, j ) + min g (i, j )
g (i 1, j )
(2)
+ min g (i, j )
g (i 1, j )
(3)
hist _ An hist _ B n
Fig. 2. shows gestures from database red ones and blue ones are
input.
(4)
TABLE I.
n =1
Method
Measurement
Average
Average
process time
Accuracy
DTW
101ms
0.943
107ms
0.995
19ms
0.917
21ms
0.991
3. Experimental Results
If we only use very simple gesture, at that time the
original DTW is enough to archive the task, but if
added some more complex gesture (for example,
number gesture) the DTW accuracy in not good. When
input gesture is exaggerated, or too faintheartedly,
DTW show wrong result. See Fig. 2. Red gesture is
from database and blue one is the given input which is
the digit 3. Morphologically (c) show good similarity
between input and database but DTW distance of (a) is
smaller than in other cases, so the DTW gives a wrong
result. Using penalty based on orientation histogram,
the problem is relieved. Table I show Penalty added
DTW with improve accuracy and average process time
due to effective gesture clustering.
4. Conclusion
This work proposes a gesture recognition system
using Penalty added DTW. Experiment result show that
Penalty added DTW improved accuracy. Both penalty
term and gesture clustering strategy are the key points
References
[1] Sakoe,H. and Chiba, S. Dynamic programming
algorithm optimization for spoken word recognition,
IEEE Trans. on Acoust., Speech, and Signal Process.,
ASSP 26, 43-49, 1978.
[2] Lawrence Rabiner and Biing-Hwang Juang,
"Fundamentals of speech recognition", Prenticle Hall,
1993.
[3] Berkhin, Pavel. "A survey of clustering data mining
techniques." Grouping multidimensional data, Springer
Berlin Heidelberg, pp. 25-71, 2006.
[4] Webb, Jarrett, and James Ashley. Beginning
Kinect Programming with the Microsoft Kinect SDK,
Apress, 2012.
[5] Bhattacharyya, A. "On a measure of divergence
between two statistical populations defined by their
probability distributions". Bulletin of the Calcutta
Mathematical Society 35: 99109, 1943.
- 790 -
P5-36
1. Introduction
According to the development of digital image
processing techniques, anyone can easily manipulate
an image using image editing tools such as Photoshop
and Light-room. Therefore, image forgery detection
has become an important subject to prevent from
misapplication of an image. Color manipulation, which
change hue component of an image is one of the
popular image forgery method. However, the research
on forgery of digital images by color modification is
very rare.
Recently, a color modification detection method for
measuring the change in the color filter array (CFA)
pattern [1] has been reported. This method presented
an intermediate value counting algorithm for
estimating the extent of color modification. It can
detect not only the changed change values but also the
changed color regions. However, this method has
demerits when the color interpolation is performed
using a wide range of pixels such as bi-cubic
interpolation [2] and median filtering [3].
In this paper, we present a new color change
detection method using CFA decomposition. Our
method is based on the variance ratio of the original
pixels and the interpolated pixels. Simulating results
will show that our proposed method can efficiently
detected the changed color regions.
The rest of this paper is organized as follows.
Section 2 explains the proposed method. Experimental
2. Proposed method
Because one pixel in the charge-coupled device (CCD)
or complementary metal oxide semiconductor (CMOS)
has a wave value for only one color, the information on
the other two colors has to be estimated from the
samples of the neighboring pixels. This estimation
process is called demosaicing. Our method is based on
the fact that the variance of the original pixels is larger
than that of the interpolated pixels.
Let be a color component of an image, that is,
{, , } . The color component can be divided
into four decomposed images shown in Fig. 1.
Decomposition is achieved by means of a polyphaselike transform. Therefore, can be expressed as
= 1 + 2 + 3 + 4 .
(1)
- 791 -
ICEIC 2015
(a)
(b)
(c)
(d)
(e)
Fig. 2. (a) Original mage (bilinear interpolation), (b) Color forged image, (c) Forged region, (d) Previous
method [1], (e) Proposed method.
(a)
(b)
(c)
(d)
(e)
Fig. 3. (a) Original mage (bicubic interpolation), (b) Color forged image, (c) Forged region, (d) Previous
method [1], (e) Proposed method.
In general, the variance of the interpolated pixels is
smaller than that of original pixels. The following rule
is assumed when there is no image forgery. That is,
2
,
2
,
> 1,
(2)
2
2
where ,
is the variance of original
and ,
pixels and the variance of interpolated pixels,
respectively. When the color change is performed, the
relationship shown in (2), will be broken. Based on this
fact, we will present an effective color change
detection method.
First, we perform a high-pass filtering on a
suspicious image to reduce interpolated values. Next,
we divide the image into non-overlapped blocks.
For each block ( = 1, , ) , the color change
indicator () is obtained. () for each color
channel is defined by
() = {
1,
0,
2
,
()
2
,
()
(3)
otherwise
(4)
3. Experimental results
To prove the validity of our proposed method, we
perform CFA interpolation using bilinear and bi-cubic
interpolation methods. Next, we generate two color
changed image shown in Fig. 2 and Fig. 3. To detect
4. Conclusion
In this paper, we presented a new color
modification detecting method using CFA pattern
decomposition. To detect forged image region, we
exploited the variance the ratio of the interpolated
pixels and the original pixels. Simulation results
showed that our method is effective to detect color
modification region.
References
[1] C. H. Choi, H. Y. Lee, and H. K. Lee, "Estimation
of color modification in digital images by CFA pattern
change, Forensic science international vol. 226, pp.
94-105, 2013.
[2] R. Keys, "Cubic convolution interpolation for
digital image processing IEEE Transaction on
Acoustics, Speech, and Signal Processing, vol. 29, no.
6, pp. 1153-1160, 1981.
[3] W. T. Freeman, "Median filter for reconstructing
missing color samples," U.S. Patent No. 4,724,395.
Feb 1988.
[4] B. E. Bayer, "Color imaging array," U.S. Patent No.
3,971,065. Jul, 1976.
- 792 -
P5-37
Abstract
We compare the downlink (DL) sum rates of
precoding schemes to cancel inter-device-interference
in full duplex two user multiple-input multiple-output
(MIMO) DL channel. The precoding schemes such as
null space projection (NSP), regularized zero forcing
(RZF), and maximum ratio combining at transmitter
(MRT) are applied. The simulation result shows that
the NSP precoding scheme has higher performance
than other two schemes.
Keywords: Full duplex, Inter device interference, Null
space projection, Regularized zero forcing, Maximum
ratio combining.
1. Introduction
Full duplex (FD) wireless communication system
that transmits and receives signal simultaneously has
potential to achieve double spectral efficiency.
However, a self interference coming from its own
transmitter degrades the performance of FD systems.
Thus, one of the main issues of FD communication
system is how to cancel the self interference [1].
Though the power of the self interference is much
higher than that of desired signal, many researches has
been focusing that the power of the self interference
can be decreased to the noise power [1-2]. In contrast
with bi-directional FD system, the inter-deviceinterference (IDI) is also main problem reducing the
performance in multi-user FD communication system.
Since DL users suffer from interference caused by the
signals of uplink (UL) users, then the signal to
interference and noise ratio (SINR) of DL user is
decreased. Thus, we apply precoding schemes to
manage IDI at UE, and investigate the DL performance.
Rx
Tx
UE1
F1,UE
H1
s k , BS
Fk , BS
H 2,1
H1,2
H2
Rx
Tx
UE2
F2,UE
M r Mt
M r Nt
yk
P
PDL
H k Fk , BS s k , BS UL H k , j Fj ,UE s j ,UE n k ,
Nt
Mt
where Fj ,UE
Mt Mt
and Fk , BS
Nt N s
(1)
are precoding
- 793 -
ICEIC 2015
transmitted symbols at UE j and at BS for UE k ,
respectively, where N s means the number of DL data
streams to each UE. The PUL and PDL indicate the
power of UL and DL signal, respectively. The vector
nk M r 1 denotes zero mean complex Gassian noise
with variance N 0 , i.e.
0, N0 .
RZF
0
I
H F
k , DL k , BS , A Nr
where H
, and RZF
0M r
0
Hk , j
is the power normalization factor. Then, the DL sum
rates of the schemes are
2
H F FH HH
CNSP log 2 det I k , DL k , BS k , BS k , DL , (5)
N0
k 1
2
H k , DL Fk ,BS FkH, BS H kH, DL
CRZF log 2 det I
N0 H k , j Fj , RZF FjH, RZF H kH, j
k 1
(6)
4. Conclusion
In this paper, we investigate the performances of
precoding schemes in FD MIMO two user DL channel.
The simulation result shows the NSP precoder has
highest performance because perfect IDI cancelation is
possible. The MRC precoder has lowest performance
since the MRC precoding scheme not consider IDI
channel but maximized SNR of desired channel only.
The RZF has middle performance out of those three
schemes.
, and
2
H k , DL Fk ,BSFkH, BS H kH, DL
CMRT log 2 det I
N0 H k , j Fj , MRT FjH, MRT H kH, j
k 1
(7)
3. Simulation results
In this section, we compare the DL performance of
the precoding schemes at FD two user system. Fig. 2
shows the sum rates of each precoding scheme, where
Nt 12 at BS and M t 6, M r 2 at each UE. The
DL power of BS is fixed with 30 [dBm] and the noise
power is 104 [dBm]. We set the path loss model as
[3]. The cell coverage is assumed 1km, and each user is
distributed uniformly. The NSP precoder has the same
performance with the non-IDI FD case because NSP
References
[1] J. I. Choi, M. Jain, K. Srinivasan, P. Levis, and S.
Katti, Achieving single channel, full duplex wireless
communication, in Proc. 16th Int. Conf on Mobile
Computing and Networking, 2010.
[2] D. Bharadia, E.McMilin, S. Katti, Full duplex
radios, Preceeding of ACM SIGCOMM, page
375~386, 2013.
[3] J. Xu, L. Qiu, and C. Yu, Improving energy
efficiency through multimode transmission in the
downlink MIMO systems, EURASIPJ. Wireless
Comm. and Network, vol. 2011, no. 1, p. 200, 2011.
- 794 -
P5-38
Abstract
The general vehicle black box recognizes only the
general surrounding environments. It is difficult to
recognize the images about a sudden illumination
change and low illumination. In this paper, we
propose a method to restore vehicle number plate
images to correspond to harsh road conditions in low
illumination circumstances and moving vehicles. This
paper describes firstly a normalized numeric image
descriptor used to assess the luminance and contrast
of the image. The proposed numeric image descriptor
can be used in the adaptive gamma process because it
suggests an objective basis of the gamma value
selection. Secondly, the image is restored number plate
based on motion estimation in a moving vehicle.
According to measurement results, the vehicle number
plate that camera in moving vehicle and low
illumination could not be identified is capable to
estimate by a proposed method.
Keywords: Vehicle black box; illumination; number
plate; gamma; motion estimation.
1. Introduction
The study for IoT (Internet of things) [1] has been
expanded to M2M (machine to machine) and V2V
(vehicle to vehicle) communication in intelligent
transport systems (ITS) using image processing based
on image information. Accurate image sensing is
required for this kind of technology. A typical camera
recognizes only the general surrounding environment.
But it is difficult for a camera to recognize the images
in a situation of illumination change and low
illumination. Further, in this situation, in case of an
accident is difficult to obtain the accurately
surrounding image information. Therefore, in this
L1
rk nk
0
(1)
k
NoL
1 L1
r nk
, 0 d NoL d 1
LN k 0 k
(2)
- 795 -
ICEIC 2015
CD
B 1
cl bl
l 0
(3)
1 B 1
c b
, 0 d NoC d 1
L l 0 l l
NoC
(4)
Sample
Clip 2
(lowillumination)
(6)
x1,h
cos T1 sin T1
,R
x
cos T1
sin T1
1,v
4. Conclusion
f x e
j 2 SuT x
dx
f r R( x x1 ) e j 2 Su x dx
T
(7)
e j 2 Su
x1
f r Rx' e j 2 Su x dx'
T '
Weight
Clip 3
(lowillumination)
f r R x x1 ,
xh
, x1
xv
x 2 x 1 y 2 y1 T 2 T1
2
Result
(5)
( NoL , NoC )
Original
Clip 1
(highillumination)
(8)
3. Experimental Result
The image used in the experiment was used 1.3Mega pixel CMOS image sensor and black box system
of dual-core processor based on ARM-9. Also, we
implemented this system using the environment of
5. Acknowledgement
This work was supported by the Industrial Core
Technology Development Program (10049009,
Development of Main IPs for IoT and Image-Based
Security Low-Power SoC) funded by the Ministry of
Trade, Industry & Energy.
6. References
[1] Wikipedia,http://en.wikipedia.org/wiki/Internet_of_Things.
[2] Alfredo Restrepo (Palacios), Giovanni Ramponi, Word
Descriptors of Image Quality Based on Local Dispersionversus-Location Distributions, 16th EUSIPCO, pp.25-26,
2008.
[3] P.Vandewalle, S.Susstrunk, M.Vetterli,A Frequency
Domain Approach to Registration of Aliased Images with
Application to Superresolution, 16th EURASIP Journal,
pp.1-14, 2006.
- 796 -
P5-39
Li-ion
Battery
Buck
Converter
LDO 2
LDO
3
(Application
Processor)
1. Introduction
The clock speeds of the mobile processor are
progressively speeding up, but because of this very
progress, the power consumption is increasing and the
heat created in IC generates leakage of current and
thermal damage. Therefore, to realize the high
performance of the Application Processor, it is
necessary to utilize Thermal control and the Processor
management of DVFS for the TMU (Thermal Control
Unit) of the Battery Power. Recently, the
implementation of the Application Processor which
uses low Operating voltage and HKMG (High-k Metal
Gate) process and the efficiency of the Battery are
being raised through the combination of DC-DC and
LDO converter as shown in Figure 1. [1]
Conventional thermal control method is heat
monitoring by the thermal sensor on the major heating
points and then Thermal Throttling control at the TMU.
Load
LDO 1
Temperature
Abstract
Thermal
Throttling
Burn-out
Throttling
Thermal Regulation
Tripping
Power-off
Thermal Safe
Time
- 797 -
ICEIC 2015
PMIC
Regulator
(DC-DC, LDO)
Load
(AP)
PMIC Control
N:1
Current Measure
OCP
Current
Mirror
ADC
I2C
VREF
DC-DC converter
Output
MPOWER
+
-
Pass
Transistor
1:N
RLOAD
MSENSE
+
_
Error
Amp
Sense
Transistor
COUT
4. Conclusion
In this paper, by applying the Mirror circuit, the
Load current of the LDO was measured directly.
Through the proposed circuit, the Body effect
regarding the Accuracy loss is calculated and the
measured data is used to make faster thermal throttling
possible. Additionally the LDO Current Protection
circuit utilization is possible and the set development of
LDO block in Power Budget review application is
possible.
+
_
Acknowledgement
VSENSE
3. Experimental results
The accuracy of the proposed circuit is 5%,
therefore by the level, the actual Processor of the
Temperature trend prediction is possible. However,
because of the low load current, the low noise
sensitivity allowed adjustments to the Mirror rate to be
confirmed.
In Blue line of Figure 5 (a), the OP-Amp is not used
for compensating the results from the experiment The
Red line of Figure 5 (a) is the final proposed circuits
measure results. Figure 5 (b) is the Sensing voltage
level at low load current with 200:1 scale.
References
[1] L. Yi-Ting, W. Chia-Ling, and C. Chin-Hong, An
integrated step-down DC-DC converter with low output
voltage ripple, in Industrial Electronics and Applications
(ICIEA), 2010 the 5th IEEE Conference on, 2010, pp. 13731378.
[2] Y. Se-Hyun, L. Seogjun, L. Jae Young, C. Jeonglae, L.
Hoi-Jin, C. Dongsik, et al., "A 32nm high-k metal gate
application processor with GHz multi-core CPU," in SolidState Circuits Conference Digest of Technical Papers
(ISSCC), 2012 IEEE International, 2012, pp. 214-216.
- 798 -
P5-40
1. Introduction
A new real-time vision inspection technology was
developed and tested for sealant error detection. It is
used for remote and automated measurement of sealant
injection in the car body manufacturing process. To
prevent corrosion and assemble car body plates, the
perfect monitoring of sealant injection is very important
for the car underbody and side body. The thickness of
sealant injection changes by some operation conditions
by sometimes. A constant thickness control of the sealant
is very required in the car body manufacturing process.
The fault sealant beam causes serious problems of weak
adhesion of body panels, water penetration and car body
corrosion.
In the case of sealant injection fault, the car body must
be abandoned in most case of sealant problems. It causes
a large financial lost in car manufacturing. For the first
research step process, possibility of reducing sealant
error was experimentally carried out. The continuous
measurement of the accuracy of sealant thickness from
sealant nozzle was designed newly and applied in lab.
The real time vision system and c-program algorithm were
developed and tested with sealant injection model of the
car body manufacturing process.
- 799 -
ICEIC 2015
laid across the image. The coin diameter is known and
fixed before experiment.
This distance measurement method by using one pixel
distance multiplied by the object pixel in image showed
effective and precision enough for the quality
control of sealant injection. The image processing
program to measure was developed from the scratch.
3. Conclusion
The remote measurement device to detect the sealant
injection thickness on the car body was developed initially.
A cable wire was found as a good reference object in first
step instead of real sealant injection every experiment time.
The vision process showed the enough precision result of
the calculated thickness. And the measurement data were
transferred through internet with TCP/IP protocol
functions to the main system computer.
The result showed only 0.011 % thickness error
comparing to the real object wire diameter value. By
upgrading the image processing algorithm, this error can
be reduced more. The vision device will be developed
continuously. This system application would reduce the
big financial lost efficiently in the car manufacturing.
Acknowledgement
This research was supported by the Korea Research
Foundation project, Improve the Accuracy of Glucose
Concentration Measurement Using Raman Spectroscopy
Serial Number 201410312004 and [RCMS] the smart
base gas leakage detection equipment and performance
assessment technology development Serial Number
201411072001.
References
- 800 -
1)
ICEIC 2015
Author Index
Author Index
A
Abhik Das
Achmad Basuki
Adhitya Bhawiyuga
Aditya Bhawiyuga
Ajish S
Ajish Sreedharan
Akihiro Terashima
Alberto S. Baacia
Alquliyev Rasim
Mahammad
Apriana Toding
Artem Lenskiy
Ary Syahriar
Assim Sagahyroon
Atsushi Kinoshita
134
355
202
20
187
279
206
342
276
349
623
199, 294, 334
78
287
B
Baekseok Ko
Basel Safieh
Ben M. Chen
Beom-Hun Kim
Beom-mu Kim
Beomjin Kim
Biao Wang
Bingquan Shen
Biplab Sikdar
Bo-Kyung Seo
Bo Kyung Choi
Bo Wang
Bokyung Seo
Bong Seok Kim
Boon Leng Lee
Byeong-Ho Park
Byeong-Ju Park
Byeungwoo Jeon
Byoungha Park
Byung-Gook Park
Byung-Gyu Kim
Byung-Hee Son
Byung-Hun Yoon
Byung Joo Oh
Byung Ok Kang
ByungCheol Lee
Byunghwa Lee
Byungjin Chung
459
78
91
526
659
576
91
242
72
147, 249
720
136
153
762
590
551
749
260
497
234, 307, 374, 376, 386,
395, 425, 441
643
481, 621
536
28
720
618
451
709
C
C.H. Je
Cao Zhenbo
Chan-hee Park
Chan-Hong Park
Chan Hak Yu
Chan Yuk Park
Chang-Gun Kim
Chang-Hee Kim
ChangHee Park
228
668
427
551
449
600
481
397
795
Chang-Hyun Bae
Chang-In Park
Chang-Ki Kim
Chang-Wook Baek
Chang Kyu Lee
Chang Min Eun
Chang Shi
Changhoon Yim
Changhwan Shin
Changhyun Park
Changkyoung Eem
Changsik Yoo
Chanho Yoon
Chanhyun Park
Chee-Meng Chew
Chee Khiang Pang
Cheolung Cha
Cheon Won Choi
Chi-Ho Lin
Chi-Hsiung Wang
Chi-Shih Chao
Chia-Wei Lim
Chih-Shun Hsu
Chihoon Choi
Chikara Ohta
Choong Ki Kim
Chouljun Kang
Christy A. Caete
Chul-Heung Kim
Chul-Ho Won
Chulho Chung
Chulsoon Hwang
Chung-Hwan Kim
Chung-kwang Lee
Chungmu Oh
Chungyong Lee
Chunsu Park
Clementine Nyirarugira
232, 461
481
643
625
407
274
605
709
223, 405
772, 774
758
232, 461
618
182
242
9
592
56
704, 706
310
572
766
115, 123
305, 401
287
449
513
342
397
397
674
459
495
499
184
793
613
780, 789
D
D.-H. Lee
D.-W. Chung
D. Choi
Dae-yeol Yoo
Dae Hwan Kim
Dae Woong Kwon
Daeha Lee
Daehee Kim
Daehyun Pak
Daesik Hong
Dahee Lee
Dal-Hwan Yoon
Dam Yoon
Dawoon Han
Deepak Bhatia
Deog-Kyoon Jeong
Dibash Basukala
Do-Bin Kim
Do-Gu Kang
676
676
676
499
472, 475
234, 395, 425
613
635, 639
772
33
260
690
797
415
45
399, 511
764
395
696
- 802 -
Do-Kywn Kim
Dokyung Lee
Dong-Gyu Sim
Dong-Ho Choi
Dong-Hoon Lee
Dong-Hyun Yoon
Dong-Jin Shin
Dong-Jo Park
Dong-Kyoung Kye
Dong-Kyu Jung
Dong-seok Lim
Dong-Soo Lee
Dong Keon Lee
Dong Ki Lee
Dong Myong Kim
Donggi Kim
Donggyu Sim
Dongha Lee
DongHyun Yoon
Dongil Han
Dongjun Lee
Dongwan Kim
Doo-Gun Kim
Doo-San Baek
Doohwan Oh
Doohyung Cho
DooSan Baek
Dwi Astharini
397
735
254
461
415
507
580
633
249
140, 507
485
389, 409, 797
487
594
472, 475
770
717
417, 419
142
770
87
257
468, 470
147
184
439, 451
149, 153
334
E
E. Lim
Edward Namkyu Cho
Eko Sakti P.
Eunah Choi
Eun-Dong Lee
Eung-Kyeu Kim
Eung-Kyu Park
Eungyeol Song
Eun-ja Jo
Eun-Ja Jo
Eunjung Chae
Eun-Jung Lee
EunSang Jang
Eunsung Lee
676
437, 445
355
758
147
611
393, 415
264
704
706
94, 98, 100
684
682
94, 98, 100
F
Fadi Aloul
Fadjar Iftikhar
Fei Qiao
Fei Wang
Fenfang Xiao
Feng-Cheng Chang
Feng Lin
Firdaus Akbar
78
339
262
91
22
180
91
199
G
Ga Hui Lee
Gang Li
720
590
ICEIC 2015
Garimella Rama Murthy
Garimella Rama Murthy
Gi Doo Kim
Gil-Cho Ahn
Gil-Mo Kang
Gi-Poong Gwon
Gong Chen
Gon-Woo Kim
Goo-Rak Kwon
Guem-Yoon Oh
Gun-Hyun Lee
Gyumin Oh
Gyun Woo
Gyu-Seob Jeong
324
371
799
230, 538
54
147, 149, 153, 155, 249
244
519
526, 764
470
582, 584
149, 153, 249
562
511
H
H.-M. Yang
H. Jin Kim
H. S. Kim
H. S. Moon
Ha-Jun Kim
Haeryoung Park
Hagyoung Kim
Hai-Nguyen Nguyen
Haiyue Zhu
Hak-Suh Kim
Hamin Park
Han-hee Cho
Han-Hsien Wang
Han-Sol Kim
Han-You Jeong
Hanbyeol Bae
Hang-Geun Jeong
Hang Yu
Hanmin Lee
Hano Wang
Hanseul Lee
Haoyong Yu
Hara Kang
Haram Ju
Haya Hasan
Hea-Sook Park
Hee-Jin Kang
Heein Yang
Heeseung Choi
Heewon Seo
Hi-Seok Kim
Hie Sik Kim
Hiesik Kim
Hiroaki Minami
Hiroyuki Yamauchi
Hisashi Tamaki
Hiuk Jae Shim
Ho-Joon Kim
Ho-Jung Kang
Ho-Young Cha
Hoirin Kim
Hojin Song
Hong-Seung Kim
Hong-Shik Park
Hong Chang Yeoh
Hong Kai Yap
676
89
641
641
526
67
547
87
9
645
431
499
310
483, 485
20
778
487
102, 110
501
33
249
244
472
511
78
645
536
252
158
56
455
799
544, 574
287
378
287
260
429
314
475
170
299
468, 470
680, 684
140, 321, 507
5
Hongchul Choi
Hongrae Lee
Hoon-Kyeu Lee
Hoon Kim
Horng-Yuan Shih
Hosung Lee
Hsiang-Cheh Huang
Hsin-Jou Yu
Hsin-Tsung Lin
Huazhong Yang
Hui-Seon Gang
Hwan-Gue Cho
Hwan-jong Jeong
Hye-In Lee
Hye-Rim Cheon
Hye-Seung Cho
Hyeok-Kyu Kwon
Hyeon-Kyeong Seong
Hyeon Seok Yang
Hyeong-Guen Yu
Hyeong-Woo Cha
Hyo-Haeng Lee
Hyo-Jun Son
Hyo-Rim Choi
Hyoung-Gook Kim
Hyuck-In Kwon
Hyun-Ki Jung
Hyun-Su Kim
Hyun-young Kim
Hyun Hak Cho
Hyun Soon Nam
Hyun Woo Kim
Hyung-Do Yoon
Hyung-Jin Choi
Hyung Gu Park
Hyung Ki Kim
Hyung Soon Kim
Hyungbo Shim
Hyungjin Kim
Hyungjun Lim
Hyungkwan Son
Hyungmin Kim
Hyungtak Kim
Hyungwoo Kim
Hyunjae Lee
HyunJin Kim
Hyunjong Na
Hyunki Hong
Hyunseung Choo
Hyunsoo Kim
Hyunsoo Yang
770
643
611
618
310
607
180
213
213
262
526
562
659
495
626
716
487
551
168
633
455
607
483, 712
789
711, 716
321
631
30
499
274
517
425
491
285
403
130
720
4
441
170
787
722, 729
475
225
405
566
793
758
637
33
87
I
Ick-Joon Park
IEEE
Iickho Song
Ik-Jae Hyeon
IlSuek Koh
Il Hwan Cho
Il Kyu Eom
Ilgu Yun
Ilku Nam
431
28, 176, 244
659
625
698
307
609, 785, 791
437, 443, 445
305, 401
- 803 -
Imen Arfaoui
In-O Choi
In-Su Cho
In-Sub Yoo
In-Tak Cho
In S. Woo
In Seong Kim
Indah Juningtiaz Devayani
Inhye Yoon
Insoo Jun
327
694
14
147, 149, 153, 249
397
739
411
294
94, 98, 100
56
J
J. Alexander Bae
J. Lee
J. S. Kim
J.B. Seo
Jae-Heung Lee
Jae-Ho Han
Jae-Hong Kwon
Jae-Hyoun Park
Jae-Hyoung Kim
Jae-Hyun Kim
Jae-Jin Lee
Jae-Koo Park
Jae-Roul Park
Jae-Young Pyun
Jae-Young Pyun
Jae Hong Joo
Jae Hong Lee
Jae Hoon Shim
Jae Hur
Jae Wook Jeon
Jaechun No
Jaeho Lim
Jaehong Kim
Jaehoon Choi
Jaehyung Bae
Jaejin Ko
Jaekeun Yun
Jaemin Rwoo
Jaesoek Kim
Jaesung Choi
Jaesung Jo
Jaesung Kim
Jaihie Kim
James Cho Hong Goh
James S. Harris Jr.
Jang-Kyoo Shin
Jang Hyun Kim
Je-Chang Jeong
Jechang Jeong
Jemin Kim
Jeong-Muk Kim
Jeong Cho
Jeong Seok Kim
Jeongbae Yun
JeongJu Yoo
Ji-Geun Kim
Ji-Hak Yu
Ji-Ho Lee
Ji-Hoon Kang
Ji-hoon Shin
61
228
641
743
747, 749
605
58
491
393
128, 252, 626, 628, 631
254
429, 463
523
526
764
574, 799
52
28
449
12, 528, 530, 532, 564
560
568
613
686, 696, 770
680
513
635, 639
459
674
778
223
67, 774
158, 776
5
374, 386
523
376, 425
756
735
540
764
459
435
668
643
795
457
58, 666
797
427
ICEIC 2015
Ji-Hwan Kim
Ji-Su Kim
Ji-Won Lee
Ji-Young Lee
Ji Hoon Hwang
Ji Hoon Kang
Jiang Liu
Jihaeng Heo
Jihye Kim
Jin-Ki Kim
Jin-Tae Kim
Jin-won Jang
Jin Ho Sung
Jin Hwan Kim
Jin Kyung Park
Jin Su Kim
Jin Wook Park
Jinbeum Jang
Jinfu Li
Jing Lin
Jinho Jeong
Jinhwan Kim
Jinqiang Cui
Jinsuk Seong
Jinwoo Kim
Jinwook Burm
Jinyoon Jang
Jong-Cheol Lee
Jong-Dae Park
Jong-Ho Lee
Jong-Hwa Yoon
Jong-Il Park
Jong-Koo Park
Jong-Min Baek
Jong-sang Back
Jong-Uk Yoon
Jong-Yeol Kim
Jong Ju Jeon
Jong Seob Jeong
Jong Won Park
Jongbok Lee
Jongheon Kim
Jonghwa Kim
Jonghyun Kim
Jonghyun Ma
Jongmin Shin
Jongweon Kim
Jongyeon Lee
Joo-Ho Jung
Joo-Seong Oh
Joo-Young Chun
Joo young Chun
Joo Yun Seo
Joon Woo Lee
Joong-Duk Oh
Joongseok Song
Joonki Paik
Joonsuk Kim
Joowon Kim
Ju Eon Kim
JuEon Kim
Jun-pyo Hong
Jun-Yong Lee
393, 415
628
477
465
28
521
289
33
782
628
523
712
600
521
56
776
168
97
242
91
678
65
91
659
417, 421
417, 419, 421, 423
421, 423
523
551
307, 314, 397
690
722, 726, 729
30
447, 463
653
690
479
609
596, 598, 600
568
554
97
472, 475, 497
225
717
397
556, 741
419, 423
694
653
389, 391
403
234
121
551
722, 726, 729
94, 96, 97, 98, 100
698
459
140
299
711
Jun-young Jang
Jun-Young Park
Jun Ryung Seo
Jun Tae Jang
Jun Yeong Lim
Jun Yin
Jung-Hee Lee
Jung-Hyuk Koh
Jung-Woo Ha
Jung Han Kang
Jung S. Jung
JungHun Kim
Junghyun Kim
Jungje Ha
Jungjin Park
Junhyung Kim
Juri Lee
704
465
791
472
443
481
397
65, 477, 580, 582, 584,
586
797
445
739
382
774
700
441
668
391, 403
K
K. C. Nam
K. Y. Shin
Kang-Il Choi
Kang-Yoon Lee
Kang Yoon Lee
Kangli Wang
KarAnn Toh
Katsunori Yamaoka
Kay Soon Low
KeeWon Kwon
Kenyu Ling
Keuchul Cho
KeunKwan Ryu
KeunSeon Ahn
Keunseok Cho
Khalifa Trimche
Khuder Altangerel
KiBum Ahn
Ki Doo Kim
Ki Yeop Kim
Kihyun Kim
Kijun Han
Kilsoo Seo
Kim DoYoung
Kim YeongJin
Kitsuchart Pasupa
Konjeti Viswanadh
KuangWei Su
Kuduck Kwon
Kukjin Chun
Kun Li
Kunzhi Xie
KuoHsiang Hsu
KwangChun Go
Kwangdeok Seo
KwangHyun Baek
KwangIl Han
KwangJin Kim
KwangMo Jung
KwangRyul Baek
KwangSeok Hong
745
745
566
389, 391, 403, 409, 521,
797
407, 411
91
615
206
102
429, 447, 463
130
668
423
232
714
327
268
423
574
274
225
668
225
570
570
166
324
115
305, 401
509
91
262
310
626
643
140, 142, 144, 507
483
481, 621
497
483, 485, 712
607
- 804 -
411
439, 451, 453, 558
439
540
33
130, 354, 625
647, 650
538
397
128, 631
509
254
756
2
664
694
578, 594
762
225
285
264
772
L
Li Luo
Liang Zhang
Lifen Liu
Lilian del Consuelo
Hernandez Ruiz Gaytan
262
262
110
289
M
M. Irfan Anis
Magdalena Trie P.
Mahmoud A. M. Albreem
Marcelo H. Ang Jr.
Marco Gomes
Marie Kim
Member
Menghan Guo
MiJeong Hoh
MidEum Choi
MinHo Park
MinHyeong Cho
MinKi Jeon
MinKi Kim
MinWoo Kwon
Min A Jeong
Min Choi
Min Goo Lee
Min Ho Jeon
Min Su Kim
Mingming Li
Minkyu Lee
Minseo Kim
Minseong Kim
Minsoo Hahn
Minsu Jang
Minyeong Yoo
Mohamad Mahmoud
Al Rahhal
Mohamed AbdelRahman
Mohammed Alturaigi
297
355
160
151, 246
194
558
244
102
626, 631
147, 153
415
455
461
14, 536
441
653
758
578, 594
762
272
7
778
100
182
714
613
630
77
316
316
ICEIC 2015
MoonSeog Jun
Moonsoo Ra
Moonsuk Yi
Murad Khan
Myeongyun Cho
MyeongJin Kim
Myeong S. Park
Myeongjin Cho
MyoungBeom Chung
MyungOk Shin
Myung Jong Kim
Myungok Shin
67
766
427
668
602
58
739
182
637
147
170
153, 249
N
Nag Yong Choi
Naif Alajlan Mansour
Abdulaziz Al zauir
NakYong Ko
Nakhyun Kim
NamHo Lee
Namho Lee
NamJin Oh
Namho Lee
Nathnael Gebregziabher W.
Nattapon Pantuwong
Neel Narasimman
Neelakantan Narasimman
NgocSon Pham
Ngoc Le Ba
Noureddine Boudriga
314
77
30
67
479
534
433
493
128
166
134
138
142
132, 136
327, 363, 635
O
Ockgoo Lee
Octarina Nur Samijayani
OhSoon Shin
OhYeol Kwon
Ok Hyun Jeong
401
339
54
731
274
P
Paul Chiedozie Uzoh
Paulo Montezuma
Pedro Bento
Peidong Liu
PhilSeong Ghang
PiChung Wang
PinJie Wang
Prathibhavani P. M
Pyung Choi
Pyungkang Kim
668
194
194
91
56
213
123
35
523
2
Q
QingFu Han
Qingfu Han
711
716
R
Rachmad Nafisholeh
Raehong Kim
Ramesh Kumar Lama
Raye ChenHua Yeow
Rishabh Berlia
20
487
764
5
16
Roger K. Ahiadormey
Rui Dinis
Ruichen Jin
Rumadi
650
194
741
334
S
S.G. Lee
S. K. Yoo
S. O. Jin
S. Pyo
S.Q. Lee
S.W. Nam
Saad Haj Bakry
SangBock Cho
SangGug Lee
SangHo Lee
SangHong Park
SangHoon Ji
Sanghoon Jung
SangHoon Lee
SangHun Jeong
SangHun Song
Sangjo Kim
SangKook Han
SangMin Jung
SangMoo Lee
SangYun Kim
Sang Ho Park
Sang Wan Kim
Sanggi Kim
Sanghoon Jung
Sangjun Park
Sangyoun Lee
Sangyub Lee
Sarthak Dubey
Scott Pendleton
SeIl Oh
SeJin Baik
Se Eun Kim
Semi Jeon
Seok Hwan Jeong
SeokJin Yun
Seon Mi Ji
Seon Wook Kim
SeongDong Kim
SeongIl Jin
SeongWoo Kim
Seong Jin Cho
Seong Jin Oh
Seong Joon Yoo
Seong Ro Lee
SeongHwan Cho
Seonghyun Paik
Seongjae Cho
Seongsoo Lee
Seongwan Kim
Seonhee Park
Seoyeon Won
Seulgi Sim
Seulkee Jung
SeungBaek Park
228
745
745
676
228
743
77
795
495
234
694
30
534
523
479
321
427
688
688
30
447, 463
609
376
439
493
714
264, 772, 774, 778
513
16
246
409
463
785
94, 98
2
544
596
182
497
558
147, 149, 151, 153, 155,
249
12, 528, 530, 532, 564
407
770
659
61
374
307, 374, 376, 386
782
772
100
544
453
782
457
- 805 -
SeungBeom Yu
SeungMin Yang
SeungNam Kang
Seungsoo Ha
SeungTak Choi
SeungWoo Seo
Seung Beom Lee
Seung Ho Han
Seung Hyun Yun
Seung Jae Lee
Seungbae Ji
Seungha Yang
Seunghan Choi
Seungjun Baek
Seungwon Lee
Sewon Lee
Shigeru Shimamoto
Shikhaliyev Ramiz Huseyn
ShinIl Lim
Shoushun Chen
Shubham Kandoi
Shupeng Lai
Shuzhi Sam Ge
Sohaib Majzoub
Son N. Pham
Songyi Kim
SooIk Chae
SooWon Kim
Soo Chan Kim
Soo Jin Adrian Koh
Soohwan Yu
Soomok Lee
Soonuk Seol
Soonwoo Choi
SoYoung Kim
Srikanth Munjuluri
SuJeong Yun
SuYeon Song
Su A Lee
SuckChang Yoon
Suehye Park
Sukseung Hwang
Suk In Kang
Sumaila Mahama
SunMi Oh
SunMog Hong
Suncheol Yang
SungChan Kim
SungChan Rho
SungIl Chien
SungIL Hong
SungJin Choi
SungKyung Jo
SungYong Cho
SungYool Choi
Sung Il Hwang
Sung Min Ban
Sung Min Kim
Sung Woong Shin
Sunghee Jung
Sungjoon Lim
Sungju Choi
Sunil Roe
605
688
147
427
147, 153, 249
276
653
574, 799
12, 528, 530, 532, 564
799
605
260
570
401
272
712
289
276
14, 536
102, 106, 110
16
91
7
316
144
497
176
457, 459
596
237
96
249
623
176
382
371
704
706
598
690
445
526, 764
762
647
726
588
487
423
14
731
706
472, 475
664
399
431, 449
594
720
596, 600
568
714
130, 354, 625, 630
475
176
ICEIC 2015
Sunshin An
257, 363, 576, 635, 639
Suseong Kim
89
Suthasinee Nopparit
166
SwSoC Open Platform Team 517
Swee King Phang
91
Syed Irtaza Haider
316
T
T. G. Basavaraju
TaeKyeong Lee
TaeRyong Kim
TaeYeoul Yun
TaeYong Kuc
Tae Joong Kim
Tae Yong Kim
Taegeun Yoo
Taegu Lee
Taehoon Kim
Taehoon Kwon
Taejae Jeon
Taejun Lim
Taewook Chung
Taeyeop Kwon
TaeYong Kim
Takki Yu
Tao Pang
Tat Joo Teo
Tetsushi IKEGAMI
Theja Ram Pingali
TingHsuan Wang
TongYing Juang
Tony T. Kim
Truong Thi Kim Nga
35
468
468, 470
465
30
517
780
140, 507
540
560
121
264
702
674
678
789
33
91
9
204
16
180
115
132, 134, 136, 138
389
U
Ung Hwang
735
V
Vardhman Hada
Vigil Varghese
Vinay Chamola
Vitor Silva
45
106
72
194
W
W.S. Yang
WaiChi Fang
Walid Abdallah
WanYoung Chung
Wan Choi
Waqas Bukhari
WeiBin Yang
Wei Liu
WenLiang Ma
WhoiYul Kim
WonHo Lee
WonJin Lee
WonJune Hwang
228
180
363
590, 760
299
588
310
151
447, 463
766
455
756
144
Wonok Kwon
Won Jun Hwang
Won Woo Ro
Wonha Jeon
WonJune Hwang
Wonjune Lee
Wonseok Kang
Wonseok Lee
Wonyoung Yoo
WooSeok Kang
Woo Young Choi
Woorham Bae
Wooshik Kim
Woosuk Jung
Wooyoung Jang
Worawit Somha
547
285
184, 272
509
158
96
686
787
586
376
511
751
176
544
378
X
Xiang Li
Xiang Zhao
Xiangjian Wu
Xiangxu Dong
Xiao Liu
Xiaochi Chen
Xiaotong Shen
Xinyuan Qian
Xun Jin
91
690
717
91
562
386
246
102
556
Y
Y.G. Kim
Y. Y. Ko
Yakoub Bazi
YangKyu Choi
Ye Li
YeonJea Cho
YeonKeun Jeong
Yeoneo Kim
Yeonjun Lee
Yesool Cha
Yijie Huo
Yijie Ke
Ying Shi The
Yohan Hong
YongHee Lee
YongJin Choi
Yongjin Im
YongJo Ahn
YongMin Lee
YongSang Kim
Yongseo Koo
Yong Choi
Yong He
Yong Ho Moon
Yong Hyeon Shin
Yong Kuk Park
Yong Sin Kim
Yonghoon Kim
Yongseok Seo
228
641
77
449
621
633
485
562
249
497
386
91
237
144, 507
694
321
427
254
682
393, 415
499
538
22
785
437, 443
578, 594
435
735
787
- 806 -
Yongshik Lee
Yongyun Choi
Yookwang Kim
Yoonjong Yoo
Yoonki Hong
Yosub Park
YouSun Won
YoungChai Ko
YoungGwan Hwang
Younggwan Hwang
YoungHo Lee
YoungHoon Kwon
YoungJi Yoon
YoungJo Cho
YoungJong Jung
YoungJoon Han
YoungJun Kim
YoungJun Park
YoungJune Choi
YoungMin Jang
YoungMin Kim
YoungMin Lim
YoungOuk Kim
YoungSeon Moon
YoungSook Lee
YoungWan Choi
YoungWoong Kim
Young Chul Noh
Young Ho Choi
Young June Shin
Young K. Kwag
Young Min lm
Young Shik Moon
Young Woo Kim
Youngcheol Lee
Youngchoong Park
Youngchul Chung
Younggwan Hwang
Youngjin Kang
Youngsun Han
Youngwoong Kim
YuLung Lo
YuYao Lin
Yu Feng Goh
YuhShyan Chen
Yumee Kim
Yumi Takaki
YunGu Lee
Yun Hee Kim
Yuseok Ban
Yusuke DOHI
Z
Z. Y. Kevin Ang
Zhaolin Yang
Zhenhe Cui
Zhongjian Li
Zolboo Damiran
91
91
22
7
268