Вы находитесь на странице: 1из 19

Sistemas Digitales

Informe Previo Biestables Asncronos y Sncronos

I.

Introduccin

En este informe se dar a conocer conocimientos previos que sirvan de base para la experimentacin en
laboratorio donde se desarrollara el anlisis funcional de los Biestables asncronos tambin llamados
LATCH y los Biestables sncronos tambin llamados Flip Flop; estos dos tipos de Biestables
conforman los dispositivos fundamentales para el diseo de contadores, registros, memorias, mquinas
de estados y todo tipo de circuito secuencial que se desee disear.

II.

Objetivos

Conocer los conceptos bsicos, tipos y anlisis de funcionamiento de los Biestables Asncronos
y Sncronos, para poder tener una base slida antes de la experiencia en laboratorio.
Realizar las tablas de verdad y anlisis funcional de los Latch y Flip Flop en base a los
manuales tcnicos de los IC TTL y CMOS.
Reconocer las principales diferencias entre los Latch y Flip Flop.

III.

Marco Terico

Un Biestable, es un multivibrador capaz de permanecer en uno de dos estados posibles durante un


tiempo indefinido en ausencia de perturbaciones. Esta caracterstica es ampliamente utilizada en
electrnica digital para memorizar informacin. El paso de un estado a otro se realiza variando sus
entradas. Dependiendo del tipo de dichas entradas los biestables se dividen en:
Asncronos: slo tienen entradas de control. El ms empleado es el biestable RS.
Sncronos: adems de las entradas de control posee una entrada de sincronismo o de reloj.
Si las entradas de control dependen de la de sincronismo se denominan sncronas y en caso contrario
asncronas. Por lo general, las entradas de control asncronas prevalecen sobre las sncronas. La entrada
de sincronismo puede ser activada por nivel (alto o bajo) o por flanco (de subida o de bajada). Dentro
de los biestables sncronos activados por nivel estn los tipos RS y D, y dentro de los activos por
flancos los tipos JK, T y D.
Los biestables sncronos activos por flanco (flip-flop) se crearon para eliminar las deficiencias de los
latch.

Pgina
1

Sistemas Digitales

IV.

Desarrollo

1. Describir el concepto de biestable asncrono, analice su funcionamiento y mencione los


tipos de latch.
Biestable Asncrono
Biestable asncrono o latch es un multivibrador capaz de permanecer en uno o dos estados posibles
durante un tiempo indefinido en ausencia de perturbaciones o de reloj (clock). El latch o cerrojo (En
Ingles) es un tipo de dispositivo de almacenamiento temporal de dos estados que se suele agrupar en
una categora diferente a la de los flip flop. En palabras ms sencillas son aquellos Biestables que
cuando cambia de estado, evoluciona a otro estado sin la seal de reloj; este dispositivo es muy
utilizado en la electrnica digital como memoria de informacin. Y solo vara su estado variando sus
entradas de control.
Bsicamente, los latch son similares a los flip-flop, ya que ambos son tambin dispositivos que
permanecen en su estado gracias a su capacidad de realimentacin. Entre los tipos de latch que existen
tenemos el R-S y el D.
Biestable S-R
Es el tipo de biestable ms usado en la electrnica digital se pueden activar con entrada en alto o en
bajo, si se activan con entrada en alto estn compuestas por compuertas NOR y si se activan con
entrada en bajo estn compuestas con compuertas NAND.

Para el anlisis tomaremos el latch S-R con entrada en alto obteniendo la siguiente tabla que muestras
el comportamiento del latch segn los estmulos o entradas.

Pgina
2

Sistemas Digitales

S
0
0
0
0
1
1
1
1

R
0
0
1
1
0
0
1
1

Qn

n
Q

Qn+1

n+1
Q

0
1
0
1
0
1
0
1

1
0
1
0
1
0
1
0

0
1
0
0
1
1
NV
NV

1
0
1
1
0
0
NV
NV

Ecuacin Caracterstica: Qn+1=S+ R Q n


Biestable D
El latch tipo D se diferencia del latch S-R en que solo tiene una sola entrada de control y tambin tiene
otra de habilitacin (enable), cuando la entrada D esta en alto y enable tambin, el latch se pone en
estado set, y si D esta en nivel bajo y en enable en alto pasa a estado reset.

Tabla de Verdad
S

NV

NV

Pgina
3

Sistemas Digitales

0
1
1

1
0
1

0
1
Q

1
0

2. Describir el concepto de biestable sncrono, analice su funcionamiento y describa los tipos


de flip flop convencionales.
Biestables Sncronos
Los flip flop son dispositivos sncronos de dos estados, tambin conocidos como multivibradores
biestables. En este caso, el trmino sncrono significa que la salida cambia de estado nicamente en un
instante especfico de una entrada de disparo denominada reloj (CLK), la cual recibe el nombre de
entrada de control. Esto significa que los cambios en la salida se producen sncronamente con la seal
de reloj; tambin cuentan con otras entradas que tienen mayor prioridad que las entradas de control
sincronizadas por reloj llamadas controles asncronos y en ella no interfieren los pulsos del reloj.
Entre los tipos de flip flop convencionales son el R-S, el J-K y el D.
Flip Flop S-R
Las entradas S y R de un flip flop S-R se denominan entradas sncronas, dado que los datos en estas
entradas se transfieren a las salidas del flip flop solo con el flanco de disparo del impulso del reloj.
Cuando S esta en alto y R en bajo la salida Q pasa a estado SET con el disparo del reloj. Cuando S esta
en bajo y R esta en alto la salida Q pasa a estado RESET con el disparo del reloj. Cuando S y R estn
en bajo el flip flop almacena el estado anterior. Y cuando S y R estn ambos en alto es un estado no
permitido y las salidas dependern de la velocidad con la que fluye la seal desde la entrada a la salida.

Pgina
4

Sistemas Digitales

Tabla de Verdad
S

CLK

Qn+1

Qn+1

Observaciones

Qn

Q n

No cambio

Reset

Set

No Valido

Ecuacin Caracterstica: Qn+1=S+ R Q n


Flip Flop tipo D
Es un dispositivo muy til cuando se necesita almacenar un nico bit de datos (1 0). Tiene el mismo
diseo que un flip flop S-R pero que ha unido las entradas R y S con un inversor. Si cuando se aplica
un pulso del reloj la entrada D esta en alto el flip flop se activa (Set) de caso contrario si durante un
pulso la entrada D esta en bajo el flip flop pasa a estado Reset.

Pgina
5

Sistemas Digitales

Tabla de Verdad
D

CLK

Observaciones

Set

Reset

Qn+1=D

Ecuacin Caracterstica:

Flip Flop J-K


Es el flip flop ms usado en la electrnica digital. El flip flop J-K es idntico a un flip flop S-R en las
entradas de control, pero la diferencia se encuentra en que el flip flop J-K no tiene condicin no valida
en sus salidas.

Tabla de Verdad
J

CLK

Q(t+ 1)

Q(t+
1)

Observaciones

Q(t)

Q(t)

No Cambio

Reset

Set

Pgina
6

Sistemas Digitales

Q(t)

Basculacin

Q(t)

Ecuacin Caracterstica: Q n=J Q n + K Q n

3. De los manuales tcnicos obtener los IC TTL Y CMOS que realizan la funcin de latch y
flip flop, analice su tabla de verdad y funcionamiento.
Latch S-R
TTL 74LS279
Composicin del integrado

Tabla de Verdad
Entradas
S
0
0
1
1

0
1
0
1

Pgina
7

Salidas
Q
1
1
0
Q0

Sistemas Digitales

CMOS MC14043B
Composicin del integrado

Tabla de Verdad
S

X
0
0
1
1

X
0
1
0
1

0
1
1
1
1

Alta impedancia
No Cambia
0
1
1

Latch tipo D
TTL 74LS75
Composicin del integrado

Pgina
8

Sistemas Digitales

Tabla de Verdad
Entradas
D Estrobo
0
1
X

1
1
0

CMOS4042B
Composicin del Integrado

Tabla de verdad
Pgina
9

Salidas

Q
Q
0
1
Q0

1
0
Q 0

Sistemas Digitales

E0

E1

1
1

0
1

Latch
D

Latch

Latch

Latch

Flip flop R-S


TTL 74L71
Composicin del integrado

Tabla de Verdad

PRE

Entradas

CLK
CLR

Salidas

0
1
0

1
0
0

x
x
x

x
x
x

x
x
x

1
0
1

0
1
1

Q0

Q 0

Pgina
10

Indeterminado

Sistemas Digitales

Flip flop J-K


74HC112

Tabla de Verdad

CLR

Entradas

J K
PRE

Salidas

CLK Q
Q

Funcion

0
1
0
1

1
0
0
1

X
X
X
0

X
X
X
0

X
X
X

1
0
0
Q0

1
CLEAR
0
PRESET
1
Q 0 No cambio

Q 0

Q0

Q0

Q 0 No cambio

-------

4. Cul es la diferencia principal entre un Latch y el Flip Flop?


Pgina
11

Sistemas Digitales

Si bien es cierto los latch y los Flip Flop son multivibradores biestables y se usan mucho en la
electrnica digital como memorias para el almacenamiento de datos. El latch solo cuenta con entradas
de control en cambio los flip flop aparte de estas entradas de control asncronas cuenta con una entrada
especial para un reloj (clock) esto hace que los cambios de estado sean al ritmo de las pulsaciones del
reloj (flancos).

5. Analice el funcionamiento del Flip flop Maestro-Esclavo; investigar sus ventajas.


Flip flop Maestro-Esclavo
Un flip flop maestro-esclavo se construye con dos flip flop, uno sirve de maestro y el otro de esclavo.
Durante la subida del pulso de reloj se habilita el maestro y se deshabilita el esclavo. La informacin de
entrada es transmitida hacia el flip flop maestro. Cuando el pulso baja nuevamente a cero se deshabilita
el maestro lo cual evita que lo afecten las entradas externas y se habilita el esclavo, entonces el esclavo
pasa al mismo estado del maestro. El comportamiento del flip flop maestro- esclavo que acaba de
describirse hace que los cambios de estado coincidan con la transicin del flanco negativo del pulso.

Pgina
12

Sistemas Digitales

Flip flop S-R Maestro-Esclavo


Los flip flop maestro-esclavo han sido ampliamente utilizados hasta la aparicin de los disparados por
flanco, que poco a poco los van sustituyendo. La razn fundamental es que funcionan de forma idntica
y los disparados por flanco necesitan menos puertas lgicas. La construccin de un flip flop maestro
esclavo S-R se realiza a partir de dos cerrojos S-R con entrada de habilitacin conectados en cascada,
de forma que la seal del reloj entra al cerrojo maestro y la seal de reloj complementada entra al
esclavo. Solo el cerrojo maestro est habilitado cuando el reloj es 1. Durante todo ese intervalo de
tiempo, sus salidas irn acorde con sus entradas. Si se produce una variacin, la salida ir acorde con
sus entradas. Si se produce una variacin, la salida actuara en consecuencia. Cando llega el lanco
negativo de reloj, se habilita el cerrojo esclavo (y se deshabilita el maestro), que toma la salida del
maestro (que ya no pueden variar porque se encuentra deshabilitado). Por tanto, en un tiempo igual al
tiempo de propagacin del cerrojo esclavo justamente despus del flanco negativo del reloj, la salida
del cerrojo esclavo acta en consecuencia.
Flip flop J-K Maestro-Esclavo
Construiremos un flip flop J-K a partir de un S-R (esta vez en su versin maestro esclavo),
realimentando las salidas hacia la entrada tal como se muestra en la figura, que tambin muestra el
smbolo lgico asociado.

Tabla de Verdad
J

CLK

Qn+1

Qn+1

Qn

Q n

Q n

Qn

Pgina
13

Sistemas Digitales

6. Describir las caractersticas de disparo de flip flop por pulso y por flanco.
Los flip flop disparado por flanco cambian de estado con el flanco positivo (flanco de subida) o con el
flanco negativo (flanco de bajada) del impulso de reloj y es sensible a sus entradas solo en esta
transicin de reloj.
Los flip flop disparados por pulsos cambian de estado en su salida nicamente con las entradas preset
(PRE) y clear (CLR) independientemente de la entrada de reloj, poniendo a set al flip flop cuando est
en preset y a reset cuando est en clear.

7. Utilizando flip flop J-K, desarrollar los circuitos para convertir a:


a. Flip Flop R-S.
Primero recordemos la tabla de verdad de J-K:
J

CLK

Qn+1

Qn+1

Qn

Q n

Q n

Qn

0
0
0
0

0
0
1
1

Qn+1

Qn+1

0
1
0
1

0
1
0
0

Pgina
14

Sistemas Digitales

1
1
1
1

0
0
1
1

0
1
0
1

1
1
1
0

Tabla de transicin de datos:

Qn+1

Qn+1

0
0
1
1

0
1
0
1

J
0
1
X
X

K
X
X
1
0

CLK

Qn+1

Qn+1

Qn

Q n

Qn

Qn+1

0
1
0
1
0
1
0
1

0
1
0
0
1
1
X
X

Tabla de verdad del Flip Flop R-S


S

0
0
0
0
1
1
1
1

0
0
1
1
0
0
1
0

Pgina
15

0
X
0
X
1
X
X
X

X
0
X
1
X
0
1
0

Sistemas Digitales

Usando karnaugh, tomando como variables (S, R,

Qn

) para las salidas J y K; J=S y K=R; con estas

relaciones adaptamos el Flip Flop R-S partiendo de un Flip Flop J-K

b. Flip Flop D.
Tabla de Verdad del Flip Flop D
CLK

Qn+1

Qn+1

Pgina
16

Sistemas Digitales

Ahora relacionamos el comportamiento de los flip flop (D, J-K):


D

0
0
1
1

Qn

Qn+1

0
1
0
1

0
0
1
1

Haciendo karnaugh para relacionar ambos flip flop:


y K =R S
J =S R

c. Flip Flop T.
Pgina
17

0
X
1
X

X
1
X
0

Sistemas Digitales

Tabla de verdad del flip flop T.


CLK

Qn+1

Qn+1

Qn

Q n

Q n

Qn

Ahora relacionamos el comportamiento del flip flop (T, J-K):


T
0
0
1
1

Qn

Qn+1

0
1
0
1

0
1
1
0

Haciendo karnaugh para relacionar ambos flip flop:


J =T y K =T

Pgina
18

J
0
X
1
X

K
X
0
X
1

Sistemas Digitales

Pgina
19

Вам также может понравиться