Вы находитесь на странице: 1из 6

UNIVERSIDAD TCNICA DE AMBATO

FACULTAD DE INGENIERA EN SISTEMAS, ELECTRNICA E


INDUSTRIAL
INFORME FINAL DEL ALU

MICROPROCESADORES
Carrera:
Electrnica y Comunicaciones
Nivel:
Sexto Electrnica
Alumnos Participantes:
Docente:
Fecha envo: 25/12/2014
Fecha presentacin: 11/12/2014
INFORME
1. TEMA:
Unidad Aritmtica Lgica (ALU)
2. OBJETIVOS:
Objetivo General:
Disear e implementar una unidad lgica (ALU). que tenga como operaciones a la
suma, resta, multiplicacin

FACULTAD DE INGENIERIA EN SISTEMAS, ELECTRONICA E INDUSTRIAL

UNIVERSIDAD TCNICA DE AMBATO

F . I . S . E E. INDUSTRIAL
I
FACULTAD DE INGENIERA EN SISTEMAS, ELECTRNICA
PERODO ACADMICO: ABRIL/2014 AGOSTO/2014

Objetivos Especficos:
Implementar operaciones bsicas del ALU (suma, resta y multiplicacin).
Establecer dos nmeros A y B de 2 bits decodificados para las operaciones
bsicas.
Obtener el resultado de la operaciones en displays de 7 segmentos.
3. RESUMEN:
El ALU Es un circuito digital que realiza las operaciones bsicas como suma,
resta, multiplicacin, con la utilizacin de compuestas lgicas decodificadores
multiplexores donde el resultado se visualizara en un display y el signo de la
operacin en un diodo led.
4. MARCO TERICO:
Martha Parada (2013) Procesadores, Unidad Aritmtica lgica ALU.
Recuperado de: http://paradamartha75.blogspot.com/2012/09/es-un circuitodigital-realiza-las.html
Es un circuito digital realiza las operaciones bsicas como suma, resta,
multiplicacin
Estos circuitos se encuentran incluso dentro de un reloj, para lo cual est
realizando una operacin bsica, es decir est sumando el tiempo. Todos los
ncleos de los procesadores contienen mltiples unidades de ejecucin las cuales
contienen mltiples unidades ALU.
Unidad Aritmtica Lgica, (2013) uned.es. Recuperado desde:
http://www.uned.es/cabergara/ppropias/Morillo/web_etc_II/4_alu/transp_al
u.pdf
Son elementos que en base a introducirle dos nmeros de n bits (x , y) entregan a
su salida mediante tres seales el valor de la comparacin M (x>y), I (x=y) y m
(x<y).
La ALU se compone bsicamente de:

Un circuito operacional.
Registros de entradas.
Registro acumulador.
Registro de estado.

Estos son los que hacen posibles la realizacin de las operaciones, para la cual la
mayora de acciones de la computadora son realizadas por la ALU (Unidad
Aritmtica/Lgica).
La ALU toma los datos del registro de los registros del procesador, estos los
procesa y luego los almacena en registro de salida de la ALU.
2

FACULTAD DE INGENIERIA EN SISTEMAS, ELECTRONICA E INDUSTRIAL

UNIVERSIDAD TCNICA DE AMBATO

F . I . S . E E. INDUSTRIAL
I
FACULTAD DE INGENIERA EN SISTEMAS, ELECTRNICA
PERODO ACADMICO: ABRIL/2014 AGOSTO/2014

Otros mecanismos mueven datos entre estos registros y la memoria.


La unidad de control, es la que controla la ALU, ajusta los circuitos y seala que
operaciones debe realiza.

5. MATERIALES O EQUIPOS:
CIRCUITO 1(SUMA):
CIRCUITO 2 (RESTA):
CIRCUITO3 (1MULTIPLICACIN):
6.

PROCEDIEMIENTO Y DESARROLLO:
SUMA:
Un sumador completo es un circuito cornbinacional que forma la suma aritmtica
de dos bits de entrada. Este consiste en dos entradas {a bits) y una salida {a bits).
Dos de las variables de entrada denotadas por A y B representan los nmeros a
operarse.
Las entradas son proporcionadas por los bits de los sumandos, mientras las
salidas son producidas por la suma y el bit de acarreo.
RESTA:
La resta de dos nmeros binarios puede lograrse tomando el complemento del
sustraendo para agregarlo al minuendo' Mediante este mtodo, la operacin de
sustraccin se convierte en una operacin de suma que necesita sumadores
completos para su ejecucin.
Es un circuito combinacional que realiza una resta entre cuatro bits, tomando en
consideracin que se ha prestado un 1 de un estado menos significativo.

MUTTIPLICACIN:
La operacin de multiplicacin se lo hace a travs de contadores de dcada y
comparadores los cuales inician su conteo y se detienen al recibir una orden de
comparacin siendo este el resultado de dicha operacin.
El ingreso de datos se lo hace a travs de interruptores comunes los cuales
ingresan a compararse con cierto patrn. Las salidas se obtienen en forma visual
gracias a decodificadores.
DIVISION:
La divisin se la obtiene por el mismo principio de funcionamiento que la
multiplicacin con la diferencia de que al existir indeterminaciones (divisiones
para 0) el circuito muestra un mensaje de error.
3

FACULTAD DE INGENIERIA EN SISTEMAS, ELECTRONICA E INDUSTRIAL

UNIVERSIDAD TCNICA DE AMBATO

F . I . S . E E. INDUSTRIAL
I
FACULTAD DE INGENIERA EN SISTEMAS, ELECTRNICA
PERODO ACADMICO: ABRIL/2014 AGOSTO/2014

COMPARADOR:
La comparacin se realiza por medio de compuertas XOR que alimentan a un full
adder para realizar la comparacin, una vez obtenido esto se procede a la
visualizacin en displays de nodos comn para poder apreciar de una mejor
forma la comparacin.
CONVERSOR:
La conversin se realiza de un numero decimal de cuatro bits en los cdigos
Gray, Exceso 3, y Aiken, en el cual mostrara la conversin de uno por uno los
nmeros y tambin el resultado final de alguna operacin bsica dentro del ALU.
Entonces se visualizar en el displays la conversin a los tres cdigos antes
mencionados de uno en uno los nmeros que dieron como resultado de dicha
operacin que genero el ALU, sea esta suma, resta, multiplicacin, etc.
7. ESQUEMAS:
SUMA
RESTA
MULTIPLICACION
DIVISIN
COMPARADOR
CONVERSOR
8. RESULTADOS ESPERADOS:
En la unidad aritmtica/lgica en su primera etapa suma, al ingresar dos nmero s
de 8 bits (4 para parte entera y 4 para parte decimal) se obtiene como resultado
otro numero de 8 bits.
En la etapa de resta se ingresa dos nmeros de 8 bits, se obtiene la subastacin
con su respetivo signo decodificado.
En la unidad aritmtica lgica en su etapa de multiplicacin, al ingresar dos
nmeros de 8 bits (4 para parte entera y 4 para parte decimal) se obtiene como
resultado un numero de 16 bits.
En la etapa de divisin se ingresa dos nmeros de 8 bits, se obtiene su resultado
adems su respectivo control para indeterminaciones.
En la unidad aritmtica lgica en su etapa de comparacin, al ingresar dos
nmeros de 8 bits (4 para parte entera y 4 para parte decimal) se obtiene como
resultado la comparacin de los dos nmeros de 8 bits.
Mediante el ingreso de un pulso podemos realizar la comparacin de dos
nmeros de 8 bits (4 para parte entera y 4 para parte decimal).
4

FACULTAD DE INGENIERIA EN SISTEMAS, ELECTRONICA E INDUSTRIAL

UNIVERSIDAD TCNICA DE AMBATO

F . I . S . E E. INDUSTRIAL
I
FACULTAD DE INGENIERA EN SISTEMAS, ELECTRNICA
PERODO ACADMICO: ABRIL/2014 AGOSTO/2014

9. RESULTADOS OBTENIDOS:
En la etapa suma cuando se realiza el ingreso de los nmeros A y B con su parte
entera y su parte decimal respectivamente los procesa para realizar dicha
operacin la cual es visualizada en display con su parte entera y decimal.
En el restador los nmeros tambin son ingresados como en la suma, pero aqu
se hace uso de un comprador el cual permite que el mayor se reste con el menor
y poner el signo de la respuesta que tambin es visualizada en un display.
En la etapa de la multiplicacin cuando se realiza el ingreso de los nmeros A y
B con su parte entera y su parte decimal respectivamente los procesa para
realizar dicha operacin la cual es visualizada en display con su parte entera y
decimal.
En la etapa de la divisin los nmeros tambin son ingresados como en la
multiplicacin, pero aqu se adiciono un control para indeterminaciones
(divisiones para 0).
Un ALU recibe en su entrada datos los cuales son comparados para realizar una
operacin bsica.
Tanto entradas como salidas de la operacin fueron visualizadas a travs de los
displays un entero con un decimal para un nmero A y B, mientras que para la
salida se observa en otro display.
10. CONCLUSIONES:
Un ALU recibe en su entrada datos los cuales son cornparados para
realizar una operacin bsica.
En la etapa suma a travs de lgica combinacional MSI y la ayuda de
decodificadores, se puede sumar dos o ms nmeros de n bits.
Se puede trasformar una resta en suma utilizando complemento a la raz
con lo que se utiliz sumadores para operacin.
Tanto entradas como salidas de cada operacin fueron visualizadas a
travs display un entero con un decimal para un nmero A y B, mientras
que para las salidas dos enteros con dos decimales tomando en cuenta que
en la resta se hace uso del bit de signo.
En la etapa multiplicacin a travs de lgica combinacional MSI y la
ayuda de decodificadores, se puede multiplicar dos o ms nmeros de n
bits.
Tanto entradas como salidas de cada operacin fueron visualizadas a
travs de los displays un entero con un decimal para un nmero A y B,
mientras que para las salidas dos enteros con dos decimales.
5

FACULTAD DE INGENIERIA EN SISTEMAS, ELECTRONICA E INDUSTRIAL

UNIVERSIDAD TCNICA DE AMBATO

F . I . S . E E. INDUSTRIAL
I
FACULTAD DE INGENIERA EN SISTEMAS, ELECTRNICA
PERODO ACADMICO: ABRIL/2014 AGOSTO/2014

Tanto entradas como salidas de la operacin fueron visualizadas a travs


de los displays un entero con un decimal para un nmero A y B, mientras
que para la salida se observa en otro display.

11. RECOMENDACIONES:
En la implementacin de cada una de las etapas del ALU los cables se
deben colocar a nivel protoboard para evitar interferencias
electromagnticas y ruido.
No conectar una salida a varias entradas directamente porque puede
ocasionar errores. Lo recomendable es utilizar buffer.
Se debe utilizar una fuente de alimentacin que suministre la suficiente
corriente para la operacin del circuito en el modo permanente.
12. BIBLIOGRAFIA:

[1] Martha Parada {2013} Procesadores, Unidad Aritmtica/Lgica ALU. Recuperado de:
http://paradamarthaT5.blogspot.co m/2An/A9/es-un-circuito-digital-re aliza las.html /.

[2] Lilliam I. Lugo Ramrez (2013) Introduccin a las computadoras. Recuperado de:
http://www.uprm.edu/cti/docs/manuales/manuales-espanol/vaxvms/manuales/Intcomp.pdf.

[3]Unidad
Aritmtica
Lgica,
(2013)
uned.es.
Recuperado
http://www.uned.es/cabergara/ppropias/Morillo/web_etc_II/4_alu/transp_alu.pdf

[4]J. Breckman. Encoding Circuit, 31 de enero de 1956 (archivado en dic 1953). Patente USPTO
n 2733432

desde:

Вам также может понравиться