Вы находитесь на странице: 1из 3

OBJETIVO

Com base no roteiro, o experimento consistia em projetar, compilar e simular vrios


programas em VHDL, a fim de se familiarizar com a interface e com o mtodo de linguagem
utilizado.

INTRODUO
Em eletrnica, uma linguagem de descrio de hardware ou HDL (Hardware Language
Description) qualquer linguagem de uma classe de linguagens de computador, linguagem
de especificao ou linguagem de modelagem para uma descrio formal e design de
circuitos eletrnicos, e mais comumente, a lgica digital. Pode descrever o funcionamento do
circuito, a sua concepo e organizao, e ainda test-lo para verificar seu funcionamento por
meio de simulao. HDLs so padres de expresses baseados em texto, da estrutura
espacial, temporal e comportamental dos sistemas eletrnicos. Como outras linguagens de
programao, HDLs incluem anotaes explcitas para expressar a simultaneidade bem como
sintaxe e semntica prprias.
Linguagem cuja nica caracterstica a de expressar a conectividade do circuito entre uma
hierarquia de blocos que esto adequadamente classificadas como uma linguagem netlist
utilizada no computer-aided design (CAD). HDLs so usados para escrever especificaes
executveis de algum pedao de hardware. Um programa de simulao, concebido para
implementar a semntica subjacente das declaraes de linguagem, juntamente com o
progresso da simulao de time, fornece o designer de hardware com a capacidade de
modelar uma pea de hardware antes de ser criado fisicamente. isso que d
executabilidade na LDH a iluso de serem linguagens de programao, quando eles so mais
precisamente, classificados como linguagens de especificao ou linguagens de modelagem.
A VHDL ou VHSIC Hardware Description Language -- Linguagem de descrio de hardware
VHSIC "Very High Speed Integrated Circuits" -- uma linguagem usada para facilitar o design
de circuitos digitais em FPGAs e ASICs. A linguagem VHDL foi originalmente desenvolvida sob
o comando do Departamento de Defesa dos Estados Unidos (DARPA), em meados da dcada
de 1980, para documentar o comportamento de ASICs que compunham os equipamentos
vendidos s Foras Armadas americanas. Isto quer dizer que a linguagem VHDL foi
desenvolvida para substituir os complexos manuais que descreviam o funcionamento dos
ASICs. At aquele momento, a nica metodologia largamente utilizada no projeto de circuitos
era a criao atravs de diagramas esquemticos. O problema com esta metodologia o fato
de que desenho tem menor portabilidade, so mais complexos para compreenso e so
extremamente dependentes da ferramenta utilizada para produzi-los.
Uma vez que o projeto VHSIC era de alta prioridade militar e havia dezenas de fornecedores
envolvidos, o DoD estava preocupado principalmente com as questes de portabilidade,
documentao e compreensibilidade dos projetos. Desta forma o DoD optou por buscar
desenvolver uma linguagem que, independente do formato original do circuito, pudesse servir
como uma descrio e documentao eficientes do circuito, possibilitando os mais diferentes
fornecedores e participantes a entender o funcionamento das outras partes, padronizando a
comunicao.
VHDL serviu inicialmente aos propsitos de documentao do projeto VHSIC. Entretanto,
nesta poca buscava-se uma linguagem que facilitasse o projeto de um circuito; ou seja, a
partir de uma descrio textual, um algoritmo, desenvolver o circuito, sem necessidade de
especificar explicitamente as ligaes entre componentes. A VHDL presta-se adequadamente
a tais propsitos, podendo ser utilizada para as tarefas de documentao, descrio, sntese,
simulao, teste, verificao formal e ainda compilao de software, em alguns casos.
MATERIAIS UTILIZADOS

Todo o experimento foi realizado em computador, usando o programa Quartus II, com o uso
da interface VHDL description e Vector Waveform.

PROCEDIMENTO

Orientados por projetos em vhdl exibidos em laboratrio, implementou-se tais


exerccios para constatar a validade do cdigo na tela de formas de onda. A cada etapa, nos
eram antecedidos fundamentos para compreenso do seria realizado. Fora isso, foi pedido
que se escrevesse um multiplicador binrio de 2 dgitos por meio de meio-somadores, o qual
foi necessrio tambm uma anlise de logica de funcionamento pois no havia para o grupo
cdigo equivalente a ser exibido.
De inicio, o projeto foi bool, Figura X, seguido dos projetos table e demux
apresentados nas Figuras X e Figura X, respectivamente.
Depois encaminhou-se um projeto de multiplicador para dois nmeros de dois bits
cada, Figura X; para este, o grupo realizou algumas anotaes e rascunhos a parte, pois a
inteno era justamente a colocao do que havia sido visto at ento; no havia cdigo
equivalente para orientao. Pois ento para o projeto do multiplicador era necessrio que
outros projetos fossem criados, procedimento esse muito anlogo sub-rotinas de
programao em C++, por exemplo. Estes projetos foram requeridos e consistem na
construo de uma porta logica AND, gate-and e um meio somador, half-adder.
Para finalizao do experimento, a construo em vhdl de um contador.

RESULTADOS
Como explicado no procedimento, de inicio apenas testamos o funcionamento de
alguns projetos previamente escritos, e a forma de onda do projeto bool, table e demux
so apresentados respectivamente nas figuras x1, x2, x3:
Figuras
Para implementarmos o multiplicador, foi necessrio utilizar meios somadores e portas
AND, onde suas formas escritas e simulao so apresentados na figura X
And e halfadder
E com o uso desses dois projetos, escrevemos o projeto do multiplicador, conforme
figura x, onde verificamos o uso dos components
Figura multiplicador
Atravs da simulao, conforme figura x, do multiplicador compilado, podemos ver que
de fato o projeto funcionava, escolhendo nmeros arbitrrios para a contestao da
capacidade operativa do circuito
Figura forma de onda do multiplicador

CONCLUSES
Utilizamos nesse experimento a representao por cdigos VHDL para projetarmos
alguns circuitos solicitados e com esta tcnica obtivemos resultados satisfatrios e
semelhantes aos obtidos na representao grfica o que caracteriza bem a aplicao dessa
tcnica. Observamos pelo experimento que a implementao de circuitos lgicos por cdigos
VHDL oferece algumas facilidades alm de simplificar a visualizao do projeto.
Em um circuito sequencial, ou seja, um circuito que obrigatoriamente segue uma
determinada sequencia, podemos represent-lo em VHDL tambm como uma sequencia de
condies (estruturas IF), o que evita a utilizao de vrias funes e componentes.
Atravs da representao por VHDL podemos implementar um projeto determinado por
uma tabela verdade sem realizar a simplificao desta tabela utilizando mapas de Karnaugh
por exemplo. Esta linguagem permite que apenas definindo esta tabela verdade se crie um
bloco cujo funcionamento obedece essa tabela sem no entanto definir o circuito interno a
esse bloco.
Porm, h situaes em que o uso de componentes como funes pr-definidas
podem ser de grande utilidade, principalmente quando se tem uma estrutura do projeto
definida para fazer a ligao de blocos complexos. Representamos cada bloco separadamente
e depois utilizando a estrutura Component utilizamos esses blocos como funes prdefinidas dentro do projeto.

Вам также может понравиться