Вы находитесь на странице: 1из 10

INSTITUTO POLITCNICO NACIONAL

Escuela Superior de Ingeniera Mecnica y Elctrica


Unidad Ticomn
Ingeniera en Aeronutica
Diseo y construccin
DISPOSITIVOS ANALGICOS Y DIGITALES
Prctica 4: El contador digital
_____________________________________________________________________
Integrantes:
Cruz Hernndez Eduardo Alberto
Ortiz Cruz Anna Karen
Romero Chvez Luis Ashley

Grupo: 5AM2

Calificacin: ___________________

Fecha de elaboracin: 8 de Diciembre del 2015


Fecha de entrega: 15 de Diciembre del 2015

Profesor:
Ing. Jimnez Martnez Julio Csar

Objetivo

Disear un contador digital.


Construir y experimentar el contador digital para la observacin de su
comportamiento.

Material

Hoja de datos (datasheet) del 74LS47, 74LS93 y display de nodo comn


2 decodificadores 74LS47
2 contadores 74LS93 (o 74LS90)
1 display nodo comn de 7 segmentos
1 Protoboard
Cable telefnico.
Osciloscopio digital de dos canales con un ancho de banda de 40Mhz
Fuente de alimentacin de 5v
Regulador de frecuencias

Marco Terico
Contador electrnico. Un contador es un circuito secuencial construido a partir
de biestables y puertas lgicas (flip-flops) conectados en cascada, cuyo nmero
varia en dependencia de la escala de conteo que se necesita. Cuando un
contador tiene n flip-flops y avanza por todos los estados posibles antes de
regresar a su estado inicial, su mdulo es 2 n y decimos que su base es 2n o
que es un contador de n bits.
Un contador digital es constituido exactamente en igual forma que un divisor
de frecuencia. El contador binario, en si es un contador que muestra el
resultado en numeracin binaria o de base dos, donde a cada salida del
contador se le denomina bit, este bit pude tomar solo dos valores 1 lgico
(valor de voltaje alto) o 0 lgico (valor de voltaje aproximadamente
cero).Adems estos bits de salida del contador binario tienen un orden
establecido donde tomando de derecha a izquierda el primero es el bit menos
significativo y el ltimo es el bit ms significativo. Adems este contador ha
sido implementado para poder poner sus salidas a cero cuando sea requerido
como tambin la funcin de poder desconectar las salidas del, circuito
integrado encargado de realizar el conteo.
Tipos de contadores
Contadores asncronos o de propagacin
Son aquellos cuya seal de reloj viene de la salida Q del Flip-Flop anterior. Su
principal desventaja es el retardo en la propagacin. Cuando se conectan
muchos FF en serie, se va acumulando un retardo que puede llegar a ser
significativo

Contadores Sncronos
Todos los FF cambian con respecto a una seal de reloj. No tienen retardo de
propagacin

Un registro de desplazamiento a la derecha (contador anillo) es aquel en el que


la salida Q del Flip-Flop ubicado en el lado derecho o menos significativo; se
realimenta a la entrada D del Flip-Flop de la izquierda o ms significativo, est
configuracin recibe el nombre de contador de anillo.
Un contador de anillo tiene una cantidad de estados igual al nmero de FlipFlop y tiene un solo bit 1 y los dems bits son 0.
Un registro de desplazamiento a la derecha en el que la salida Q del Flip-Flop
ubicado en el lado derecho o menos significativo; se realimenta a la entrada D
del Flip-Flop de la izquierda o ms significativo se llama contador Johnson. Un
contador Johnson tiene una cantidad de estados igual al doble del nmero de
Flip-Flop.
Las instrucciones de corrimiento, que son parte de la capacidad lgica de la
computadora, pueden realizar las siguientes acciones:
1. Hacer referencia a un registro o direccin de memoria.
2. Recorre bits a la izquierda o a la derecha.
3. Recorre hasta 8 bits en un byte, 16 bits en una palabra y 32 bits en una
palabra doble.

4. Corrimiento lgico (sin signo) o aritmtico (con signo).


Los contadores que estn diseados para aprovechar al mximo los cuatro bits
del medio byte de salida, se llaman contadores HEXADECIMALES (representan
desde el 0000 hasta el 1111).
Conteo Programable
En algunas aplicaciones es importante poder programar diferentes bases de
conteo en un mismo contador por medio de conmutadores o de datos en las
entradas de reset.
Tiempo de acarreo en contadores
El acarreo en un contador es el tiempo requerido por el mismo para
complementar la respuesta a un pulso de entrada. El tiempo de acarreo para
un contador, es el tiempo mximo que toma la respuesta del mismo al pulso de
entrada.
Contadores monolticos
Contadores construidos a base de integrados con distintas bases de conteo
para ser usados en los sistemas digitales, por ser ms confiables, ms
econmicos y ms pequeos. La familia TTL es la ms utilizada.
Aplicaciones

Relojes y temporizadores
Divisores de frecuencia
Frecuencmetros

Desarrollo

Arme el contador digital que se muestra en el siguiente diagrama, el


cual es capaz de contar de 0 a 99 y reestablecerse a cero terminando el
ciclo.

En la tablilla de experimentacin puede montarse de esta manera.

Con ayuda de un generador de ondas, inyecte pulsos cuadrados de 10Hz


en la patilla 14 del circuito integrado 74LS93, para que este inicie el
conteo. Nota: se puede sustituir el generador de ondas con la seal del
circuito integrado 555 flip-flop o con pulsos manuales.

Descripcin del circuito: En cuanto se energiza el circuito, este comienza a


contar de 0 a 99 y reinicia el ciclo, contando los pulsos que el generador de
ondas introduce en el primer circuito 74LS93, este emite seales en sus 4
salidas que son interpretadas por el circuito 74LS47, el cual se comporta como
conversor de sistema BCD a decimal y las traduce en seales que se pueden
presentar en el sistema decimal por medio de los displays, el primer conjunto
de circuitos integrados (un 74LS93 y un 74LS47) cuentan las unidades,
mientras que el segundo conjunto cuenta las decenas.

Utilizando el osciloscopio obtenga la seal de Q1, Q2, Q3, Q4, del primer
contador y despus Q1, Q2, Q3, Q4 del segundo y registre las formas de
onda.
Del Primer conjunto de circuitos integrados

Q1 (La seal de arriba), Q2 (La seal de abajo)

Q3 (La seal de arriba), Q4 (La seal de abajo)

Del segundo conjunto de circuitos integrados

Q1 (La seal de arriba), Q2 (La seal de abajo)

Q3 (La seal de arriba), Q4 (La seal de abajo)


Como se puede observar en las imgenes, todas las seales tienen frecuencias,
periodos y amplitudes diferentes, esto es debido a que el circuito 74LS93 emite
seales de salida de acuerdo al cdigo BCD, por lo que las seales que emite
cambian de valor bajo a alto y viceversa contando en binario de 0000 al 1001
para posteriormente reiniciar el ciclo.

Desconecte el contador 1 del contador 2.

Observaremos como el contador solo puede contar en decimales, con un solo


display.

Retire la conexin entre la terminal 1 y 12 del contador 1.

Observaremos que el contador solo cambia entre 0 y 1.

Conclusiones
Cruz Hernndez Eduardo Alberto
El uso de contadores es un claro ejemplo de uso de flip-flops ya la funcin
primordial de estos es dar cambios de seal o dar impulsos que se puedan
demostrar digitalmente, el uso de flip-flops prcticamente es en base al
intercambio de valores altos o bajos, ahora, si se implementa una secuencia de
flip-flops que estn conectados entre s en forma de que cambien sus salidas
dependiendo de los valores de salida de otros precedentes a uno se puede
realizar una secuencia en ciclos ms conocida como una serie numrica en
binario donde cada flip-flop representa 1 bit y se puede expresar de varias
formas. En la prctica se mostr la experimentacin de estos circuitos
mediante los cuales se comprueba el uso de flip-flops al tener como entrada un
voltaje, la seal de reloj que fue implementada por el regulador de frecuencias,
donde tambin pudo haber sido sustituida por un circuito integrado 555 como
en la prctica pasada, y sobre todo se vio el resultado de los cambios en el
mismo display.
El uso de estos circuitos, principalmente por el uso de flip-flops, puede
extenderse al llegar a otras aplicaciones como lo son en relojes digitales,
conteos extendidos, semforos, reguladores de seales, indicadores de
velocidad, entre otras muchas aplicaciones con lo cual es de gran importancia
saber su uso ms adecuado para la industria.

Ortiz Cruz Anna Karen


El contador binario, en si es un contador que muestra el resultado en
numeracin binaria o de base dos en la prctica lo juntamos con otro para que
la numeracin creciera hasta el numero 99 adems estos bits de salida del
contador binario tienen un orden establecido donde tomando de derecha a
izquierda el primero es el bit menos significativo y el ultimo es el bit ms
significativo. El desfasamiento del primero que era el de la unidades con el
segundo de las decenas al ser de 1:10 realizaba el conteo del 00 al 99 el
problema de esta prctica adems de los cambios de las conexiones fue el no
controlar la frecuencia ya que para nuestra vista era difcil saber si el circuito
funcionaba adecuadamente pero con una de video logramos saber que estaba
bien ese cambio de frecuencia se puede cambiar colocando en vez de un
generador de seales agregar el circuito de la practica anterior del 555 para
que este sea nuestro pulso de reloj, la base de estos contadores son una escala
de flip-flops y vimos lo tiles que son para los dispositivos elctricos que
tenemos hoy en da.

Romero Chvez Luis Ashley


En la prctica se pudo observar el funcionamiento de un circuito integrado que
es capaz de contar los pulsos que le son introducidos y transformarlas en
salidas de cdigo binario en BCD, el cual fue el 74LS93 y otro circuito, el
74LS47 que es el intrprete de estas seales y las convierte en seales que se
pueden representar en el sistema decimal.
Este tipo de circuitos son muy empleados en una gran cantidad de dispositivos
electrnicos, por no decir en todos, ya que este tipo de circuitos nos permite
contar tiempos, pulsos, por ejemplo en una lnea de produccin, sirven para
contabilizar la cantidad de artculos que salen de la lnea, o en un DVD nos
permiten visualizar el tiempo que se lleva reproduciendo una pelcula, adems
se pueden seleccionar ciertas combinaciones de salidas a los display para que
cuando se llegue a esa configuracin otro circuito diferente sea activado, la
versatilidad del contador lo hace indispensable en cualquier dispositivo
electrnico. En nuestro caso el circuito tena unas dimensiones muy grandes
para las que normalmente se llegan a usar por ejemplo en relojes, celulares,
computadoras, etc.

Bibliografa

Microelectronics, Jacob Millman, 1979

Electrnica Digital. Julio Daz Calvo. Editorial Pueblo y Educacin, 1989

http://www.ecured.cu/Contador_electr%C3%B3nico

http://mikitronic.blogspot.mx/2013/09/contador-binario.html

Вам также может понравиться