Вы находитесь на странице: 1из 10

State of the Industry Report to the Oregon Innovation Council

Compiled by Skip Rung, ONAMI

Computers and Electronic Product Manufacturing, Semiconductors (NAICS 334, 33441)

Industry context, overview and key issues


Electronics in Oregon still our latest (and biggest) industry wave
Inflection: Applications and Markets
Inflection: Manufacturing Technology
Outlook and Oregon Assessment

At 6% of GDP, durable goods manufacturing is the 5th largest major sector of the US Economy (behind
real estate leasing, state/local government, finance/insurance, and health/social care; and ahead of
retail trade, wholesale trade, and nondurable manufacturing including oil and gas). Manufacturing,
especially advanced manufacturing, continues to have the highest wages, economic multipliers and
trade impact. Computer and electronics manufacturing (NAICS 334), represents 20% of all durable
goods manufacturing revenues and 8-9% of total manufacturing employment - reflecting much higherthan-average wages and productivity.
The most dynamic and R&D-intensive segment of the electronics industry is semiconductors (NAICS
33441), which is the industry that makes the existence and expectations of advancement - in all of
electronics, computing and information technology (including e-commerce, Internet of Things,
virtual/augmented reality, smart/electric vehicles and advanced biomedical devices) possible. Global
semiconductor sales in 2016 are about $335B, with related capital equipment sales of $50B and
materials sales of $27B. By 2019, these categories are expected to total more than $0.5T.
The semiconductor industry, long accustomed to rapid growth, now joins other giant sectors in
sensitivity and exposure to larger economic trends. But unlike most other basic industries, its R&D and
change intensity remains very high due to the combined effects of Moores Law (not exactly dead, as we
will see) and the growing multiplicity of interface and software standards/layers.
Nevertheless, the industry faces an unprecedented combination of challenging inflections:

Increasing sectoral and geographic penetration combined with slowing global growth
Decline/slowing of important legacy markets (PCs, tablets, mobile; but data centers are growing
rapidly) and uncertainty regarding the next big thing
Slowing of the classical Moores Law progress in device cost vs. time (but not, as pointed out by
Mentor Graphics CEO Wally Rhines, in terms of the learning curve, i.e. cost vs. cumulative
volume)
Continued escalation in capital and tooling costs, leading to consolidation and concentration of
manufacturers and a shift toward foundries and standard products (e.g. field programmable
gate arrays).)

Disruptive new manufacturing technologies outside classical scaling (More than Moore) e.g.
stacked NAND, 3D Xpoint from Intel/Micron, 2.5/3DIC packaging, optical interconnect

The net outlook for the U.S. semiconductor industry (in which Oregon has a vitally important stake) is
that commodity manufacturing and product development will continue to migrate to Asia (where
markets for end products are growing fastest), but advanced subsector growth based on cutting edge
innovations in both applications (Internet of Things, virtual/augmented reality, automobiles, robotics,
biomedical devices) and manufacturing (process, equipment, materials) remains a vital innovation and
growth opportunity for highly developed regions (chiefly the US, Japan, Germany, Netherlands, Korea,
France, Italy, Korea) that invest in R&D, infrastructure and talent development.
Semiconductors is, and remains, Oregons big all-time win
Oregon industrial and economic roots lie chiefly in forest products (since the 1800s), shipbuilding
(1940s, WWII), electronic instruments (Tektronix, ESI 1940s-60s), specialty metals (1950s),
footwear/outdoor goods (1900s to present), semiconductors/electronics supply chain (Intel, HP, Japan
Inc., Silicon Forest 1970s to present), and perhaps craft beer and other beverages (~1990s to present).
There really has been no major new Oregon industrial trend since the electronics boom, although
Portlands growing recognition as a software hub may indeed be an important echo of it.
The cutting edge of electronics and computer manufacturing, specifically semiconductors and electronic
components (NAICS 33441) is, by any measure (jobs, wages, R&D, capital investment, exports) the
dominant industrial sector in Oregon, 3rd nationally in absolute size (behind only 2 larger states CA,
TX), and with extraordinarily high location quotients of 6.33 (employment) and 8.50 (wages),
http://data.bls.gov/cew/apps/table_maker/v4/table_maker.htm#type=0&year=2015&qtr=4&own=5&in
d=33441&supp=0, a combination that exceeds that of every other state (only Idaho comes close) and
probably all but two countries in the world (South Korea, Taiwan). Oregons position in the larger NAIC
334 category is very nearly as strong (employment and wage LQs of 2.82 and 3.24).
As the appended Oregon Employment Department table (from https://www.qualityinfo.org/edewind/?at=1&t1=0~4101000000~50~3~1013~00~00000~2015~00) shows, Oregons electronics
manufacturing industry has revenue more than 4 times as large as any other (food processing and
forest products are next) , employment 33% higher than the next largest sector (food processing),
average wages almost double the manufacturing average and nearly 3 times the all-sector average.
Oregon has global industry leaders (Intel, Mentor Graphics a rare Oregon-started/HQ major
company), wafer fab assets (Intel several in Hillsboro/Aloha, Qorvo - Hillsboro, nLight Hillsboro, ON
Semiconductor-Gresham, Microchip - Gresham, Maxim - Beaverton, Avago - Eugene, HP - Corvallis,
Rogue Valley Microdevices - Medford) and organizations. SEMI (Semiconductor Equipment and
Materials International), the leading global electronics supply chain industry organization, has a thriving
(they say model) and growing Pacific Northwest (entirely Oregon) chapter that supports local talent
development, and puts on semiannual mini-conferences on hot topics (e.g. IoT, sensors, automotive
electronics): http://www.semi.org/en/node/39831. The Techcet Group (semiconductor materials

consulting) CTO office is in Hillsboro, having recently taken over from Sematech the annual CMC Fabs
conferences most recently standing-room-only in Hillsboro May 5-6, 2016.

Not surprisingly, Computers and Electronic products (e.g. Intel and other IDMs chips) dominate
Oregons exports, see http://www.trade.gov/mas/ian/statereports/states/or.pdf:

Electronics represented $8.97B (44.6%) of Oregons $20.1B 2015 merchandise exports


(followed by $2.52B machinery, $1.67B chemicals, $1.64B agricultural products)
Oregons 2015 exports supported 86,157 jobs, probably including a majority of the 37,545 in
electronics manufacturing

Finally, Oregon does have successful and emerging startup/spinout companies in the semiconductor and
electronics space, originating in large part from anchor companies such as Tektronix, ESI, Intel, HP,
Siltec/Mitsubishi/Sumitomo, Planar, FEI, Mentor (the latter two being Oregon startups themselves).
More recent startups include NexPlanar (chem-mechanical polishing pads), Voxtel (sensor materials),
Perpetua/Thermogen (thermoelectrics), Inpria (advanced photoresist), Amorphyx (display backplane
devices) Lattice Gear (failure analysis tools), and Nano3D Systems (metal plating and 3D packaging
solutions), all of which address critical strategic needs for industry customers around the world.
Semiconductor Industry Inflection: Applications and Markets (cursory overview)
Perhaps Wally Rhines (CEO of Mentor Graphics, a/the leading electronic design automation company,
based in Wilsonville, OR) says it best (http://semiengineering.com/broader-shifts-more-uncertainty/):
The semiconductor industry
lives on waves of new
applications, (Mentor
Graphics CEO Wally) Rhines
said. We just got through
wireless, where there were
more than 50 chip companies
and maybe 100 cell phone
manufacturers. A bunch of
them have been eliminated.
Unit volumes have not
declined, but prices fell. And
now were at the tail end of
the PC market. Everyone is
waiting for the next phase to
take off. In the short-term, it
will be a tough market.
The chart above shows the estimated 2016 breakdown of the semiconductor (chips) market by
technology/product type, with the mainstream MOS (Metal Oxide Semiconductor) integrated circuits
segment divided into 3 large pieces memory (e.g. Micron/Intel), processors (Intel - dominant), and
logic (e.g. Microchip). Analog (ON Semiconductor, Qorvo) and sensors (Avago/Broadcom) are also
categories of importance to Oregon.
The top chart on the following page illustrate the current problem: the big mainstream markets of the
last 20 years are declining (computer, except high end data center processors) or decelerating (wireless,
mobile), leaving future growth at the mercy of markets that have considerable excitement, but not yet
significant revenue growth (consumer, automotive, industrial/other; all to some extent IoT).

Source: SEMI http://www.semi.org/eu/sites/semi.org/files/data15/docs/03_Heinz%20Kundert_SEMI.pdf

The next chart shows the view from Intel Corporation, the semiconductor industrys manufacturing and
MPU technology leader (and Oregons largest employer), whose presence in computing applications

Source: Intel Corporation 2015 Form 10-K (DCG = Data Center Group), CCG = Client Computing Group

(PCs, workstations, servers) has been and remains dominant, but who largely missed the
mobile/smartphone market even after pioneering WiFi. Intels main success story today is the one

segment of computing chips that is still solidly growing, which exploits their manufacturing technology
leadership, and which has strong margins: high performance chips for data center servers.
As can be seen in numerous recent organization moves, initiatives and partnership announcements,
Intel does not intend to miss the next big opportunity, whether that is the Internet of Things
automotive electronics (especially self-driving vehicles), wearables or virtual/augmented reality
headsets/devices . Not mentioned prominently in recent Intel announcements is the potential
integration of electronics and biosensing/biochips, which could be a major development, whether as a
result of on-chip integration and/or multichip package integration. Intel showcased some internal R&D
work on protein sensing in 2007 (http://www.theinquirer.net/inquirer/news/1012752/intel-shows-offbiosensor-chip) and more recently (2011) published a short review paper on Integrated Biosensors in
CMOS (IEEE, restricted access). While this is not likely to be a fast-developing market, it could be a very
large one.
Finally, a major development that will provide a significant boost to both mobile/wireless and Internet
of Things market opportunities, is the emergence of 5G network standards based on separation of
network hardware and software resources (Software Defined Networks, Network Function
Virtualization), enabling lower latency, lower power, and both higher speed and reliability wireless
connectivity. The Next Generation Mobile Networks 5G white paper can be downloaded from
http://ngmn.org/fileadmin/ngmn/content/downloads/Technical/2015/NGMN_5G_White_Paper_V1_0.pdf.

In summary, the stable world of profitable growth based on components for PC sand smartphones is
over, and it remains to be seen which follow-on growth visions resonate with high-volume customers.
Is the Industrial Internet of Things driven by customer demand for things like Smart Homes and Smart

Cities, or by technology push? Will increased penetration of electronics into increasingly sophisticated
automobiles be offset by higher vehicle utilization and therefore lower vehicle volume/ownership? Will
consumers want to adopt or migrate in a big way from phones to watches and other wearables,
including AR/VR headsets (where there is a big need for display technology improvement)? What is
Apple coming out with next that we cant live without, but just dont know it yet?

Semiconductor Industry Inflection: Manufacturing Technology and Economics


Slower growth rates and future market uncertainty coincide with what appears to be the first time
Moores Law doubters wont be proven (entirely) wrong. The worlds longest running exponential
has, for 50 years, meant that decreases in linewidth dimensions (now measured in nanometers)
coupled with increases in both wafer diameter and patterning layers, delivered high device densities
(still true), faster clock rates (no longer true) and lower power per device (soon no longer true).
Delivering these advances has required more expensive fabrication facilities (now $10Bs) and machinery
(now up to $100Ms per tool). All of this has resulted for the last two node transitions (32nm to
22nm, 22nm to 14nm) in longer delays (i.e. 3 years instead of 2) between major silicon technology
introductions. The problem is less a matter of technical feasibility (which remains an astounding
accomplishment, with Intel Hillsboro still solidly in front) but economic affordability.
Another implication is structural change in the fab industry, with fewer companies (now 4 or 5) able to
participate in the latest generation, and a shift in business model from building ones own branded
products (as Intel and Samsung mostly do) toward foundry service (building for mostly other
companies, as TSMC does, and Intel has more recently begun to do). Giant fabs running immaculately
controlled processes at high capacity utilization is the key to profitable operation.

As mentioned earlier, Moores Law is ending in the usual sense of transistor dimension and cost vs. time,
but when viewed as a learning curve (cost vs. cumulative volume, separating technical advancement
effects from market growth rates) linear progress reappears (on a log-log scale). It is also interesting
that memory (chiefly NAND flash) is returning as the technology driver in this sense, as 97+% (and
increasing) of transistors/devices are in memory. The literal rise of stacked NAND devices built
entirely in the wafer fab accomplishes this (as well as the continued growth in smartphone memory
capacity). Perhaps extending this trend is the intriguing Intel/Micron announcement of 3D Xpoint
technology, which promises to be a step function advance over Flash denser (cheaper) and faster.
Public demonstrations have been made by Intel, major customers have been sampled, and volume
shipments from Micron are expected soon.

Vertical NAND cross-section

Major materials and process technologies enabling leading edge semiconductor wafer manufacturing
(for both processors and memory) and which are accordingly growing in volume and sales are:

Chem-Mechanical Polishing (CMP) is a mainstay technology for planarizing/removing


metallization layers (as many as 9 or more) and some post-wafer processing. NexPlanar of
Hillsboro is a major supplier of CMP pads, and was acquired in 2015 by Cabot ,a major supplier
of CMP slurries (abrasive materials used with pads). http://www.oregonlive.com/siliconforest/index.ssf/2015/09/hillsboro_semiconductor_compan.html
Atomic Layer Deposition (ALD) is increasingly used for critical transistor insulating and
conductive layers. The technique was pioneered in part by Planar Systems of Beaverton, and
provides a high degree of film composition and thickness control by means of surfaceconditioning precursors that limit deposition to one atomic layer per chamber cycle
Extreme Ultraviolet Lithography (EUV) is one of the biggest and riskiest bets on squeezing
the last bit of scaling out of Moores Law. Essentially, it improves lithography resolution and
feature sharpness by lowering the exposure wavelength to 13.5nm (soft X-rays) vs. the current
Deep UV 193nm, but in the process trades one set of costs and difficulties for another. The
current consensus (by no means unanimous) is that EUV has finally turned the corner and will
see first production use in the 7nm node, with Intel and Samsung expected to adopt first, and
TSMC later at 5nm their July 2016 announcement of this suggests that they may see it as
matter of competitive credibility. A leading supplier of EUV photoresist (the light-sensitive

sacrificial layer patterned in the EUV machine) is Inpria Corp, an OSU spinout backed by over
$20M in funding and investment from ONAMI, OSU, NSF, Intel Capital, Oregon Angel Fund,
Applied Materials, Samsung, Air Liquide, TOK and individual investors.
Wafer manufacturing is not the end of the story. Of approximately equal (and increasing) importance
for achieving advances in electronics miniaturization, performance and cost are advanced packaging and
interconnect technologies, which are increasingly integrated with wafer processing as opposed to steps
that only occur after a wafer is sawn into individual dice which subsequently go into single-chip
packages. In all cases the objectives of packaging and interconnect are:

Product performance - influenced by number and required bandwidth of signals between


components, e.g. CPU and memory
Product design/size/form factor influenced by number and functions of components. The
ideal would be everything on a single SoC (System on Chip) but feasibility and cost for complex
systems (such as phones) dictate otherwise
Acceptable cost and reliability

A key trend in packaging is fan-out packaging, which comes in various 2D (substrate with added
interconnect to handle many IOs), 2.5D and 3DIC forms. A good primer on the latter two is at
http://www.eetimes.com/document.asp?doc_id=1279540.

An important common factor in 2.5D and 3D approaches is the need to establish electrical connection
directly from the front to the back of a chip (and the front of the chip below). To do this requires
through-silicon-vias (TSVs), which is an area of opportunity for novel approaches (such as that of
Nano3D Systems of Corvallis/Tigard) which reduce cost, increase density and achieve good yields.
Beyond improving electromechanical interconnect approaches is the longstanding vision of optical
communication at the chip-chip level (with fiber optics, it is already in use at the macro level). To
achieve the advantages of very high frequency and spatial integration without electrical interference,
silicon photonics requires miniaturized conversion to light (laser diodes), optical transmission, and

reconversion to electrical signals. There is still a lot of development required, but very high data rate
requirements (e.g. in data centers, cars, robotics) may make it worthwhile within several years.
Summary: Outlook and Oregon Assessment
Computer and Electronic Product Manufacturing (NAICS 334) and its subset Semiconductor and
Electronic Component
Manufacturing (NAICS
33441) remain the worlds
most dynamic large
manufacturing industries,
and remain Oregons
largest sector and area of
greatest competitive
advantage.
While this mature (in
aggregate) industry is now
growing only a little faster
than the worldwide
economy, the things that
still set it apart are high
capital and R&D
investment rates, rapid internal change and innovation, criticality to hot product categories (consumer,
transportation, home and industrial connectivity and automation) and high wages compared to other
sectors, though these depend heavily on the technical skill requirements and innovation content of the
work performed.
Oregons position in the electronics manufacturing and semiconductor industries remains very strong
(especially due to Intel) but future developments will erode this if it doesnt remain at the forefront of
innovation in high-growth/impact areas such as the most advanced wafer manufacturing nodes and
advanced materials, 2.5D and 3DIC packaging/interconnect, industrial internet of things (IIoT)
technology and applications, as well as a few longer term bets that could prove to be major
opportunities, such as photonics and integration with biomedical devices and sensors .
Slowing advancement in wafer manufacturing may be the biggest threat over the next decade, and past
history suggests that manufacturing maturity will be accompanied by more investment and employment
shifting to Asia, which is also where consumer markets are growing fastest. Fortunately, Oregon also
has a solid and broad position in electronic design automation (especially due to Mentor Graphics) and
several categories of electronic products, manufacturing equipment and materials.

Вам также может понравиться