Академический Документы
Профессиональный Документы
Культура Документы
J zAi
(2013g PlP CAi AS 16)
P.E.S UNIVERSITY
(Established Under Karnataka Act No. 16 of 2013)
100 Feet Ring Road, BSK III Stage, Bangalore - 560 085,
Karnataka
Disclaimer
The rights of the Student Hand Book rest with PES University.
No part of this document can be reproduced in any form or by any means
without the prior permission in writing from PES University.
This book is only for internal circulation.
Copyright
PES University, Bangalore
Published 2015
1
2
3
4
5
6
7
8
9
10
11
12
13
Content
Message from Chancellor, PES University
Message from Pro Chancellor, PES University
Message from Vice-Chancellor, PES University
General Information
Vision, Mission and Quality Policy
PES Group of Institutions An Overview
About PES University
Facilities & Amenities
Contact Information
Code of Conduct and Campus Discipline
Academic Information and Regulations
Academic Regulations
Scheme of Instruction & Structure of Curicullum
I wish PES will pave the way in realising your career ambitions.
Prof. D. Jawahar
Pro Chancellor, PES University
Vision
To create a professionally superior and an ethically strong global
workforce.
Mission
To provide students with a sense of history, an understanding of values
and ethics, a commitment to law and morality, an appreciation of human
creativity and an analytical inquiring mind.
Quality Policy
To develop highly skilled human resources with the ability to adapt to an
intellectually and technologically changing environment with collaborative
and participative efforts of management, staff, students and parents.
No.
Name of Institution
Courses Offered
Affiliating /
Recognising
Authority
PES Pre-University
College of Commerce &
Science
PUC
Pre-University Board,
Karnataka
Bangalore University
PES Polytechnic
Diploma in Engineering
Directorate of
Technical Education,
Karnataka
PES Institute of
Technology (PESIT)
Visvesvaraya
Technological
University, Belgaum
MBBS, MD
NTR University,
Andhra Pradesh
6
7
8
BEd
BE
Bangalore University
Visvesvaraya
Technological
University, Belgaum
10
Chittoor,
AndhraPradesh
11
PES University
PES University
PES University (PESU) came into existence as a Karnataka State Private University on
November 19, 2013, in recognition of the pioneering efforts of the PES Group of Institutions in
imparting quality higher education.
PESU combines years of experience in education with a unique curriculum, world-class
infrastructure and a committed, responsive faculty. Processes at PES cover all aspects that
build synergy between education and industry, and ensure that the programs are synchronous
with contemporary economic context.
Education at PESU is focused on equipping students with the critical skills they need to
compete in today's globalized world. Whether they choose to be entrepreneurs or build
careers with leading organizations, their time at PES gets them started on the way to achieving
their goals.
At PESU, students find an environment that opens up new worlds of opportunity, helps them
make the right choices and ensures they are ready to start out on their own. Students also
benefit from a strong, global alumni network and earn much more than a degree.
1.
2.
3.
4.
5.
6.
7.
8.
9.
overarching principles you are expected to follow. When in doubt about how to react in a
situation, think of the following three and the solution will present itself.
Purpose Always remember the purpose for which you have joined the university. You are
here to equip yourself with academic knowledge and skills that will help you find a satisfying
career while contributing meaningfully to the society around you. Make sure you remember
this and focus on this all through your stay in the campus.
Empathy You are expected to understand the situation and problems of other people you
are interacting with and deal suitably. A certain gentleness, politeness and professionalism is
expected from you in your interactions.
Spirit of Enquiry No useful knowledge or skill can be obtained without a spirit of enquiry.
Questioning is required, but should be within the framework and limits of appropriate conduct
and behavior. The spirit of enquiry should lead to understanding and solutions; not to
arguments and quarrels.
HOLISTIC LEARNING
As a university that believes in creating leaders for tomorrow, PES has started offering learning
topics not only in your direct area of study, but also related areas like personality
development, spiritual development as well as arts and humanities. These are usually offered
as elective courses. Registering for these courses will help in more holistic development of the
individual.
FINANCIAL INCENTIVES FOR STUDENTS
PESU strongly believes that academic excellence of students have to be identified, nurtured
and encouraged. PESU has instituted incentives and scholarships to encourage and recognize
talent at various levels. Some of the financial incentives available to students are
TUITION FEE SCHOLARSHIPS - Top twenty percent of students in select Programs of Study
would be offered tuition fee waiver to the tune of 25 percent in the form of fee
reimbursement.
RURAL STUDENT SCHOLARSHIPS - PESU is presumably the FIRST Private University to
demonstrate its community commitment in a unique way. Upto three eligible students from
each program of study would be offered tuition fee waiver every year. For details of eligibility,
please contact the Scholarship department.
DISTINCTION AWARDS A merit certificate is given to every student securing an SGPA of 7.75
or more in the semester. Merit certificate along with a nominal cash award is given to
students with an SGPA of 8.00 and above.
PROF. MRD MERIT SCHOLARSHIPS This scholarship has been instituted by the founder of
PES, Dr. M. R. Doreswamy. Top FIVE students in UG program of study and top THREE students
in PG program of study who are securing CGPA 9.0 and above are awarded a scholarship
amount of Rs.5000/- per semester, along with a certificate of Merit / Appreciation.
RANK AWARDS AND MEDALS Rank holders and program toppers are awarded gold medals
at the Convocation along with a certificate of Merit/Appreciation.
PESU Student Handbook 2015-16 10
1.
2.
3.
4.
5.
6.
7.
8.
9.
10.
Rutgers University
New Jersey Institute of Technology
Syracuse University
Carnegie Mellon University
Temple University
Drexel University
University of Akron
University of Illinois
Binghamton University
Case Western University
MEDICAL FACILITIES
A qualified registered medical practitioner is available for medical service from 4PM to 8 PM on all
the working days, in the clinic located in the hostel inside the University campus. 24 hour
ambulance facility is available in the event students require emergency shift to hospital for medical
care. The University has a tie-up with M/s. EXCELCARE HOSPITAL located 4 km. away from the
campus. Besides these amenities, all the students of the University are covered under medical
insurance that covers accident events.
LIBRARY
The central library located in the Prof. MRD Block is open during working hours (and during
additional hours during examination timeto provide students access to a vast repository of books,
technical e-journals and periodicals, and has an ambience that provides ideal study spaces. The
library is digitized and bar-coded. Completely automated library management system Online Public
Access Catalogue (OPAC) (http://library@pes.edu) makes it possible to borrow books at any time of
the day or night, as well as make reservations online. Adequate number of books at the Central and
Departmental libraries ensures that resources are easily available for reference. Trained library
personel are available to assist the students.
performance or personality issues. The Student Counselling and Lifestyle Management Center helps
such students overcome their anxiety and integrate well into the campus fabric. The center is staffed
by professional counsellors. Student-Counsellor confidentiality is strictly maintained, except under
circumstances that may pose dire threat to the well being of the student. Counselors also help
students in personality enhancement and career mapping. There are separate counselors for male
and female students.
WIFI
The campus is fully WiFi enabled. To access the facility, please contact your department office.
LOCKERS
The campus has a locker facility for students located within their departments. Please contact your
department office.
INDUSTRY ON CAMPUS
PESU provides a platform for students and faculty for industrial exposure. This helps them apply
academic training in an industrial environment and gain valuable first-hand experience of the
challenges and issues in the real world and makes them industry-ready. This activity is facilitated by
a number of leading organizations who have offices right on the PESU campus. These organizations
include Televital Pvt. Ltd., TCL India Pvt. Ltd, IGS India Pvt. Ltd., Shruth & Smith India Pvt. Ltd., and
CBayS India Pvt. Ltd. PES also offers incubator services for start-up companies.
ACCOMMODATION
PESU believes that living experience in the campus encourages independence and helps students
develop the ability to adapt. The campus provides students with open air facilities such as the Open
Air Theater (OAT) and Student Interaction Lounge
and many other lush green spaces for
relaxing, studying and interaction.
Separate hostels with comfortable rooms are available for boys - on campus, and for girls - off
campus. A stringent, round-the-clock security and a medical doctor on call ensure attention to all
student needs.
Apart from the hostel mess, sufficient number of food points exist on campus.
GYM AND SPORTS FACILITIES
Well maintained sports facilities with both indoor and outdoor games that conform to international
standards are available. A gymnasium with physical training equipment for physical fitness is
available under the guidance of a fitness trainer. The sports department holds an annual
international sports festival which provides students an opportunity to participate in various games
and meet and interact with sports personalities.
PROGRESS REPORTS / ACADEMIC DOCUMENTS
Progress reports are uploaded on the University website during the 4th, 8th, 12th and 16th week from
the commencement of the semester. In addition, progress reports along with acknowledgement will
also be dispatched to the parents by post / courier.
Students are required to collect all relevant academic documents only in person. However, under
extraneous circumstances where the student is unable to collect the document in person, the
documents will be handed over to a representative authorized by the student. In such cases, the
authorized representative is required to produce an affidavit along with a photos and signatures of
both the student and the authorized representative. The format for the affidavit is available with the
office of the COE.
PESU Student Handbook 2015-16 16
NOTICE BOARDS
All important announcements are displayed on department notice boards frequently. Students are
advised to regularly check the notice boards for announcements. In addition, there is a facility to
disseminate information via e-mail and social networking platforms.
Name
Designation
PESU
Extn. #
Email ID
1.
Prof. D. Jawahar
Pro Chancellor
jawahar@pes.edu
202
2.
ajoy@pes.edu
219
3.
Dr. K.N.Balasubramanya
Murthy
Vice-Chancellor
vice.chancellor@pes.edu
203
4.
Registrar
registrar@pes.edu
214
5.
Controller of Examinations
coe.pesu@pes.edu
841
6.
Dean-Faculty of Management
Studies
dean.mgmt@pes.edu
586
7.
Dean-Research
dean.research@pes.edu
215
8.
Mr. A. Vinay
Special Officer
a.vinay@pes.edu
293
9.
nitin.pujari@pes.edu
720
keshavanbk@pes.edu
271
chandarts@pes.edu
740
mvrmakam@pes.edu
343
svvenkatesh@pes.edu
255
vkrishna@pes.edu
251
neelam@pes.edu
507
drgopalrk@pes.edu
585
jyotirmoyghosh@pes.edu
359
sunitas@pes.edu
373
Librarian
librarian@pes.edu
229
Doctor (Part-time)
Student Counsellor
ancym@pes.edu
243
Student Counsellor
vijendrak@pes.edu
828
--
288
mvs@pes.edu
288
vinaymodhe@pes.edu
270
Facility Manager
chandru@pes.edu
249
Receptionist
28. Security
--
--
539
--
200
--
287
Boys: Punk appearance is prohibited. Boys are not to wear caps, bracelets, chains, earrings, or
any type of hand band.
Girls: Not permitted to have streaked hair and fancy hairstyles.
The following are considered as disruptive and distractive:
Clothing including tee shirts displaying sex, violence, death, etc.
Pants, shorts or other dress with holes or tears
Pants, shorts or other dress worn below the waist or sagging garments
Exposed inner garments
Any tight or body hugging wear
ii.
Program of
Study & Sem.
Date/Location
of Incidence
Indiscipline/ Misconduct at University / Hostel / Outside Campus
1 Class Room/Pathway/Corridor
12 Any kind of immoral activity
Disruption
2 Collarless T-shirts/cargo pants/flimsy
13 Unfair means / malpractices/ proxy/
dress/long hair/stylish beard/fancy
impersonation in attendance/tests/
moustache/punk appearance/sleeveexams
less tops/low waist pants/ any other
indecent dress; non wearing of ID card
3 Inappropriate behavior outside
14 Irregular attendance in class, labs,
classroom
workshops
4 Late arrival to class, lab & other
15 Misuse of mobile phones, laptops &
University activities
other gadgets
5 Failure to return required signed forms
16 Ragging of any form, on & off
campus
6 Verbal / written/ physical abuse
17 Behavior which brings the University
towards other students / staff
into disrepute
7 Damage/ misuse/ stealing of tools,
18 Extortion, inducement to part
equipment or material
money for inappropriate or false
purposes
8 Argumentative, defiant or irresponsible
19 Spreading harmful rumours
behavoir
9 Possession of firearms, fireworks or
20 Fraud, deceit, deception or
weapons
dishonesty
10 Possession, consumption or
21 Breach of any other University/
distribution of alcoholic drinks,
Hostel Regulation or Code of
addictive/ objectionable drugs or
Conduct
smoking
22 Indulging in mass absenting from
classes
11 Threatening / intimidation/ actual acts
23 Any other (to be detailed by the
of violence & attacks
teacher)
Gravity of Indiscipline / Misconduct
Minor
Penal Action Recommended
1 Verbal warning /
reprimand
2 Written warning /
reprimand
Major
3 Restitution /
reimbursement / fine
(mention amount)
4 Call parents
Severe
10
11
Discount internal
marks/ grade/
12
Seizure/
confiscation of
Suspension
(indicate duration)
Expulsion from
Hostel/University,
rustication
SGPA/CGPA
mobile phones or
other disapproved
materials
Authorized
Signatory
Signature of
Student
The student has the right to appeal to the University Disciplinary Committee in writing after issue of
this Infraction Slip. Ignorance of the above mentioned disciplinary rules & regulations and the Code
of Conduct is not an excuse in any case of violation.
MANDATORY DECLARATIONS
Student and Parent / Gaurdian Declaration and Local Gaurdian Declaration are the mandatory
declarations, the specimens of which are given below. They need to be duly filled, signed and
submitted to University authorities.
STUDENT DECLARATION / UNDERTAKING
Name of the Student
SRN
Course
Semester
E-mail ID
Contact Number
Name and Address of the parents
with phone number and e-mail ID
Name and Address of the Local
Guardian with phone number and email ID
I have gone through the Student Monitoring Guidelines provided by the University and agree to
abide by the same. I assure that I shall not indulge in any act of indiscipline inside or outside the
campus. I agree to take appropriate corrective actions for my academic improvement. I agree
to keep my personal problems away from my academics and shall sort out any issues with my
parents / counselor. I shall keep my parents informed at all times about my personal issues.
Program
Minimum duration
4 years / 8
semesters
Bachelor of Technology
Master of Technology
2 years / 4
semesters
3 years / 6
semesters
PhD
10
11
3 years / 6
semesters
3 years / 6
semesters
3 years / 6
semesters
2 years / 4
semesters
2 years / 4
semesters
3 years / 6
semesters
15 months / 5
trimesters
1 year / 2 semsters
A student may complete the courses in a maximum of twice the minimum duration.
Credit system
Semester-wise credit-based system is followed in each programme of study except in the case of
very-short non-degree programmes.
Generally credits shall be assigned to each course in a programme of study based on the
following pattern:
Category of courses
Courses offered in various programmes of study shall be categorized into the following six types:
Preliminary Courses (PC): Preliminary courses enable students by endowing them with skills
essential to pursue a given programme of study. Generally, they comprise courses in linguistics,
communication, humanities & social sciences, economics, environment, psychology, philosophy,
history, law, political science, professional ethics, and so on. Preliminary courses shall be in the
range of 3-6% of the total minimum credits for a programme.
Foundation Courses (FC): Foundation courses constitute the fundamental learning of a given
programme of study. Generally, they comprise courses such as basic & life sciences, logic &
mathematics, statistics & analytics, basic engineering, technical arts and computer programming
skills. Foundation courses shall be in the range of 30-40% of the total minimum credits for a
programme.
Core Courses (CC): Core courses constitute the core of the programme of study. Core courses
shall be in the range of 30-40% of the total minimum credits for a programme.
Elective Courses (EC): Elective courses offer a choice of advanced or specialized courses related
to the programme of study. They enable students to specialize in a domain of interest or tune
their learning to suit career needs and current trends. Elective courses shall be in the range of
10-20% of the total minimum credits for a programme.
Internship, Research or Project Work (PW): These are intended to enhance the students
practical knowledge and exposure to research and industry. The credits for this category shall
not exceed 6-12% of the total minimum credits for a programme.
PESU Student Handbook 2015-16 25
Non-credit courses: A few courses, such as Constitution of India, may not be assigned credits.
Such courses shall be referred to as non-credit (NC) courses, and may be mandatory in a
programme of study.
Certain programmes of study may have additional requirements such as apprenticeship and
residency.
Audit courses
A student may be permitted to take any number of audit courses over and above the graduation
requirements for learning a subject.
Specialization and Minor
A student shall have four options with regard to Specializations and Minor:
i. To qualify for a Specialization, which may be mentioned in the Degree certificate, a student
shall register and successfully complete atleast FOUR courses in the EC category in a
particular domain as prescribed by the concerned Departmental Curriculum Committee,
amounting to a minimum of 12 credits.
ii. To qualify for a Minor in the chosen area, which may be mentioned in a certificate, a student
shall register and successfully complete atleast FOUR courses in a Minor area outside his/her
Major discipline as specified by the concerned Departmental Curriculum Committee ,
amounting to a minimum of 12 credits.
iii. A student meeting both the preceding requirements shall qualify for Specialization as well as
Minor in the chosen areas.
iv. A student opting for a mix of elective courses not meeting the minimum course
requirements shall not qualify for Specialization or Minor.
Academic Calendar
The calendar of events in respect of each academic session shall be framed by the University
from time to time. The calendar shall contain the schedule of academic activities for a semester
prepared by the Dean of Faculty.
Typically the even semester consists of 22 weeks during August - December and Odd semester
22 weeks during January - May. The Summer term consists of 8 weeks during June July.
The schedule of chronological events in an academic session is depicted in the table below:
REGULAR SEMESTER SCHEDULE
#
EVENT
1
Course Registration, Lesson Plan Distribution, Class Policy, Course objectives,
Tests and quiz formats
2
Dropping/Adjustment of Courses
3
First Test (T1)
4
Second Test (T2 )
5
Makeup Test
6
End Semester Assessment
7
Evaluation, announcement of results and vacation for students
SUMMER TERM SCHEDULE
1
Course registration, course information distribution, class policy, course
objectives, tests and quiz formats
2
Dropping/Adjustment of Courses
PESU Student Handbook 2015-16 26
3
4
5
The Academic Calendar shall strictly be adhered to and activities such as co-curricular and extracurricular shall not interfere with the curricular activities as stipulated in the Academic Calendar.
Under circumstances where teaching days are declared as holidays or when classes get
suspended, for whatever reasons, make-up classes for such lost days shall be conducted on
Saturdays/Sundays with prior announcements.
A student in the higher semesters who obtains F or W grade in a course other than elective
(EC category) shall re-register for the same course when offered next. A student who obtains F
or W grade in an elective course shall have an option of repeating the same elective course
when offered next or register for any other elective course in the EC category.
If a student fails to register for course(s) during a semester without intimation to the concerned
Dean of Faculty, his/her admission shall be liable to be cancelled.
Late registration may be permitted by the concerned Dean of Faculty under exceptional
circumstances.
Registration Record
The student shall ensure that the registration details are entered on the registration record
which may be maintained on-line. Queries related to registration shall be considered only when
accompanied by the original registration record. This record shall be preserved until the
semester grade card is received by the student.
ATTENDANCE REQUIREMENT
Students shall maintain the prescribed minimum attendance of 85% in each individual course.
Without the minimum attendance in a course, the student shall be ineligible to appear for the
End Semester Assessment in that course. Such a student shall be awarded F grade in that
course and he/she shall register for and repeat the course when offered next.
Physical presence of the student in the class earns marks for attendance. Students shall make
every effort to attend all classes, laboratory / practical and other sessions.
Students are informed about their attendance status periodically by the respective departments
so that the students get advance notice to make up any shortage in attendance.
If a student is absent from the University for more than SIX weeks without permission of the
concerned Dean of Faculty, his/her registration is liable to be cancelled.
Condoning Attendance Shortage
In rare and genuine cases, the Vice Chancellor / Registrar may condone a shortage of attendance
to a maximum extent of 10% on the recommendation of the concerned Dean of Faculty.
In Semester Assessment (ISA)
ISA for course is carried out by the way of various components such as tests, quizzes, seminars,
term papers, demonstrations, and award of marks for attendance. Practical components of
courses may be evaluated by the way of experiments, demonstrations, field work, models,
worksheets, practical record books, quizzes, tests, and award of marks for attendance. If a
student misses a practical / laboratory session owing to genuine reasons, he/she shall complete
the activity of that session before the end of the semester, with the approval of the concerned
Departmental Chairperson.
Conduct of ISA
Theory Courses
The weight and syllabus for each component of ISA for a course are indicated in the course
information book given to the students at the beginning of the semester / year.
The ISA for any theory course would be for 40 marks and evaluated (in general) as follows:
Test 1 maximum / evaluated for
15 marks
PESU Student Handbook 2015-16 28
15 marks
10 marks
5 marks
10 marks
30 marks
30 marks
Letter
Grade
Grade
points
Remarks
10
Outstanding
Excellent
Very good
Good
Fair
Satisfactory
Fail
I*
Incomplete
W*
Withdrawal
10
AP
Audit Pass
11
AF
Audit Fail
appeal by the student, due to valid reasons such as medical emergency and calamity in the
family. For such a student, the I grade shall be converted to one of the other letter grades (S to
F) after the completion of scheduled make-up ESA. If the student does not appear for the makeup ESA, the I grade shall be converted to F grade.
F grade: A student shall be awarded F grade if he/she either fails in the course or is absent for
the ESA of that course. If a course has theory and laboratory / practical components, the student
shall appear for ESA in both of them. Absenting in any one or both of them shall result in the
award of F grade.
SGPA and CGPA
The overall performance of a student shall be indicated by two indices namely, Semester Grade
Point Average (SGPA) and Cumulative Grade Point Average (CGPA).
The SGPA is the weighted average of the grade points obtained in all courses registered by the
student during a particular semester. The SGPA shall be calculated as:
SGPA = CiGi / Ci,
where Cis, are the number of credits for the courses registered for the semester, and Gis are
the corresponding grade points secured by the student.
The CGPA is an indication of an up-to-date overall performance of a student and the weighted
average of the grade points obtained in all the courses registered by the student since he/she is
admitted to the University. It shall be calculated as:
CGPA = CiGi / Ci
the summations being carried out over the total number of courses registered by the student.
If a student obtains an F grade in a course and registers for the same course in a subsequent
semester, the new grade obtained shall replace the previous F grade in calculating the CGPA.
If a student obtains an F grade in an elective course and registers for the same or equivalent
course in a subsequent semester, the new grade obtained shall replace the previous F grade in
calculating the CGPA.
Grades obtained in audit courses and transitional grades shall not be considered in the
calculations of CGPA and SGPA.
Class / Division Declaration
The following classes of results shall be declared, for a student upon graduation, considering the
below specified criteria:
CGPA
Equivalent Percentage
-
Class / Division
Pass Class
Second Class
First Class
First Class with Distinction
>9.50
1. First Class with Honors: A student completing a Programme of Study within the specified
minimum duration, securing a CGPA of 9.50 or above, passing all the courses of the programme
in the first appearance, and not obtaining any transitional grades shall be declared to have
passed in First Class with Honors.
2. First Class with Distinction: A student completing a Programme of Study within the specified
minimum duration, securing a CGPA between 7.75 and 9.49 (both inclusive), passing all the
PESU Student Handbook 2015-16 31
courses of the programme in the first appearance, and not obtaining any transitional grades
shall be declared to have passed in First Class with Distinction.
3. First Class: A student completing a Programme of Study by securing a CGPA between 6.75
and 7.74 (both inclusive) shall be declared to have passed in First Class.
4. Second Class: A student completing the programme of study by securing a CGPA between
5.75 and 6.74 shall be declared to have passed in Second Class.
5. Pass Class: A student completing the programme of study by securing a CGPA of less than
5.75 shall be declared to have passed in Pass Class.
Declaration of Ranks
Ranks shall be awarded to the graduating students in each programme of study on the basis of
CGPA. The ranks shall be awarded to top FIVE percent of students of the graduating class.
However, the total number of ranks shall not exceed FIVE irrespective of the total number of
graduating students in a programme of study. A student shall be eligible for a rank at the time of
award of degree in the programme of study, provided he/she has:
i.
ii.
iii.
iv.
v.
vi.
vii.
A student shall be eligible to apply for re-totaling of marks of ESA for any number of theory
components of courses. Such a student shall submit the application for re-totaling in the
prescribed form, upon the payment of prescribed fee, to the Controller of Examinations, within
THREE working days from the date of announcement of the results.
Students who have applied for the re-totaling shall be called in batches to the Office of
Controller of Examinations, on the dates fixed for re-totaling of marks. Such students shall be
accompanied by Faculty Advisor / Class Teacher / Parent / Guardian.
A student, who has applied for re-totaling, shall be shown his/her answer books to verify the
marks, totaling of the marks and to find out whether or not all the answers of the questions
attempted were awarded marks. If such a student finds any discrepancy in totaling of marks, he
/ she shall bring the same to the notice of the concerned officials for incorporating the necessary
corrections.
Photocopy of Answer scripts
A student may apply for obtaining the photocopies of his answer books of ESA to the Controller
of Examinations, upon the payment of prescribed fee, within THREE working days from the date
of the announcement of results. The photocopies of the answer books of theory component of
courses shall be made available within THREE working days after the receipt of the application at
the Office of the Controller of Examinations.
Challenge valuation
A student may apply to the Controller of Examinations for challenge valuation of theory
components of courses in ESA, within THREE working days after obtaining the photocopies of
concerned answer books and upon payment of prescribed fee. The marks obtained in the
challenge valuation shall be considered for the re-computation of grade. However, if the new
grade is found to be lower than the declared grade, the declared grade shall be retained. In the
event of no change in the grade after challenge valuation, it shall be declared as No Change.
Refund of fee
A refund of 75% of re-totaling or challenge valuation fees shall be made to a student in the event
of an improvement in the letter grade.
Rejection of whole semester results
A student may reject the results of a whole semester irrespective of performance in an
individual course. However, there shall be no provision for the rejection of results of any
individual course. Upon rejection, the results shall be considered as null and void. Such rejection
may be permitted only once during the entire programme of study. A student, who has rejected
the whole semester results, shall re-register for the courses of rejected semester upon payment
of the prescribed fees.
Malpractice during In Semester Assessment / End Semester Assessment
No.
1.
2.
Nature of malpractice
Revealing the identity of the
candidate in ESA in the answer
scripts
Showing part of answer either
covertly or overtly; discussion or
talking (either seeking or giving any
Penalty to be imposed
Deny the benefit of the performance of
that semester examination in which the
candidate has appeared.
Deny the benefit of the performance of
that semester examination in which the
candidate has appeared.
3.
4.
5.
6.
7.
8.
9.
10.
11.
Note:
The concerned student who has been alleged of having indulged in malpractice shall be allowed
to all subsequent examinations; however, the announcement of the results of such candidates
shall be withheld pending decision of the Examinations Malpractice Review Committee.
If the examiner who values the answer scripts of ESA suspects malpractice while valuing the
answer scripts or finds other material such as insertion of answer sheets, revealing of identity or
enclosures such as currency, he/she shall return those answer scripts with reasons in writing to
the Controller of Examinations. If already valued, marks shall not be entered in the regular marks
list, but shall be entered in a separate list which shall be enclosed in a sealed cover and
forwarded to the Controller of Examinations.
Faculty Advisor(s)
The Faculty advisor(s) shall be appointed by the Chairperson of the department for a specific
group of students for the whole duration of their study. The functions of the faculty advisors
shall include (a) Monitoring the academic and general progress of the students (b) advising the
students on registration of various courses in a semester, (c) assessing the academic progress of
students on a continuous basis, (d) Advising students on the courses to be dropped/audited
based on the student progress, (e) Monitoring class attendance, (f) Coordinating the dispatch of
progress reports to parents on a regular basis and maintaining communication with parents
whenever need arises, (g) Counseling the students as and when needed on academic and
general matters, and (h) Monitoring student discipline and initiating appropriate actions as and
when necessary. The faculty advisor shall meet students under them and discuss about their
progress and greivances at least twice in a semester and record the notes in the prescribed
Faculty Advisor Meeting book and maintain confidentiality.
STUDENT DISCIPLINE, ANTI-RAGGING AND ANTI-SEXUAL HARASSMENT
Every student shall maintain discipline and decorous behavior both inside and outside the
Campus and not indulge in any activity that may bring down the reputation of the University.
Undertaking to be signed by a student
At the time of admission, every student jointly with one of his/her parents or an authorized local
guardian, shall sign in person an undertaking, in the prescribed format, to maintain conduct and
discipline as well as not to indulge in or abet ragging or sexual harassment.
Acts of Indiscipline and Misconduct
Types of indiscipline or misconduct include:
a. Academic indiscipline;
b. Ragging;
c. Sexual harassment; and
d. Other acts of indiscipline or misconduct.
Academic Indiscipline
Students shall maintain academic integrity at all times. The broad categories of academic
indiscipline are:
Plagiarism;
Cheating; and
Conflict of interest.
Plagiarism: Use of material, ideas, figures, code or data without appropriate acknowledgement
or permission of the original source shall be treated as cases of plagiarism. Submission of
material, verbatim or paraphrased, that is authored by another person or published earlier by
oneself shall also be considered as cases of plagiarism. Examples of plagiarism include:
i.
ii.
iii.
iv.
constitute a committee to inquire on a case to case basis and give its recommendation to the
Vice-Chancellor.
Handling of Academic Indiscipline: Any incidence of indiscipline or misconduct related to an
examination shall be referred to the Examinations Malpractice Review Committee by the
Controller of Examinations. The Examinations Malpractice Review Committee shall hold an
inquiry and recommend the disciplinary action, if any, to the Vice-Chancellor as per the
prevailing guidelines. All other incidences of academic indiscipline shall be referred to the
Student Discipline Committee by the Registrar. The Student Discipline Committee shall inquire
into the incident and recommend suitable disciplinary action, if any, to the Vice-Chancellor as
per the prevailing guidelines.
Ragging:
All forms of ragging are prohibited. Any individual or collective act or practice of ragging shall
constitute an act of gross indiscipline and shall be dealt with under the provisions of national
regulatory bodies and judiciary.
Ragging, for the purposes of these regulations, shall ordinarily mean any act, conduct or practice
by which the dominant power or status of senior students is brought to bear upon the students
who are in any way considered junior or inferior by the former and includes individual or
collective acts or practices which:
a)
b)
c)
d)
f)
The Chairperson of Anti-Ragging Committee or any other Officer of the University, as the
case may be, may also submit an interim report to the Vice-Chancellor establishing the
identity of the perpetrators of ragging and the nature of the incident.
Sexual Harassment : Students shall conduct themselves in a manner that provides a safe
working environment for women. Sexual harassment of any kind is unacceptable and shall
attract disciplinary action.
Acts of sexual harassment shall be as defined in legal parlance.
Handling of Incidents of Sexual Harassment:
a) Any incidence, either inside or outside the Campus, of Sexual Harassment, as defined in
legal parlance, may be reported by anyone to the Anti-Sexual Harassment Committee.
b) Depending on the nature and gravity of the guilt established by the Anti-Sexual
Harassment Committee, the Vice-Chancellor may impose, to those found guilty,
punishments such as:
i. Cancellation of admission;
ii. Rustication from the University;
iii. Withholding/withdrawing scholarship/ fellowship and other benefits;
iv. Debarring from appearing in any test/examination or other evaluation process;
v. Imposing a fine; and
vi. When the persons or a group of students committing or abetting the crime of Sexual
Harassment are not identifiable, the University shall resort to collective punishment
as a deterrent to potential offenders.
Other acts of indiscipline or misconduct
Without prejudice to the generality of the power to maintain and enforce discipline, the
following actions shall amount to acts of indiscipline or misconduct on the part of a student of
the University:
i.
ii.
iii.
iv.
v.
vi.
vii.
viii.
ix.
x.
physical assault or threat to use physical force against any teaching or non-teaching
staff or student of the University or any individual of the society;
carrying of, use of or threat to use, any weapon;
indulging in or instigating any kind of gambling / betting activities;
misbehaving or cruelty towards any teaching or non-teaching staff or student of the
University or any individual of the society;
use of banned drugs, intoxicants, alcohol, and tobacco products;
any violation of the provisions of the Civil Rights Protection Act, 1976;
indulging in or encouraging violence or any conduct which involves moral turpitude;
violation of the status, dignity and honour of a student belonging to scheduled caste
or scheduled tribe;
creating / circulating bad information / rumors / gossip on social media, websites,
blogs, internet, sms and other e-communications, against any teaching or nonteaching staff or student of the University or any individual of the Society;
discrimination against any teaching or non-teaching staff or student of the University
or any individual of the society on grounds of caste, creed, language, place of origin,
social and cultural background;
xi.
xii.
xiii.
xiv.
xv.
xvi.
xvii.
xviii.
xix.
practicing casteism and untouchability in any form or inciting any other person to do
so;
any act, whether verbal or otherwise, derogatory to women;
any form of bribing or corruption;
willful destruction of the property of the University or public property;
behaving in a rowdy, intemperate or disorderly manner in the premises of the
University or outside the campus or encouraging or inciting any other person to do
so;
creating discord, ill-will or intolerance among the students on sectarian or communal
grounds or inciting any other student to do so;
causing any kind of disruption of the academic functioning of the University;
staying away from the University without permission and unpunctuality; and
giving information / misrepresentation of the University to any external agency
including press or media without the consent of the University;
The University may amend or add to the list of acts of indiscipline and misconduct on the part of
a student of the University.
Handling of other acts of indiscipline or misconduct:
a)
b)
Any Other act of indiscipline by one or more students shall be dealt with by the
concerned Dean of Faculty.
A serious act of indiscipline by one or more students shall be referred by the
concerned Dean of Faculty to the Discipline Committee for necessary action. The
Committee shall inquire into the charges and give the concerned student an
opportunity to explain himself/herself. After the hearing, the Committee shall
recommend to the Vice-Chancellor suitable action if the charges are substantiated.
be expelled from the University, in which case the student shall not be re-admitted to the
University;
be, for a stated period, suspended in which case the student shall not be admitted to the
University till the expiry of the period of suspension;
be imposed with fine of a specified amount of money;
be debarred from appearing in a University examination or examinations for one or more
terms / years; and
be reported to the local state law and order authority.
SCHEME OF INSTRUCTION
Programs of Study: B.TECH / BBA / BBA (HEM) / BCA / MBA / MCA / M.TECH
The following course types have ISA and ESA with a weightage ratio of 40:60:
Abbreviations
PC
FC
CC
EC
PW
NC
Course Type
Preliminary
Foundation
Core
Elective
Project / Self learning / Seminar / Internship
Non credit (All non credit courses are mandatory)
STRUCTURE OF CURRICULUM
FACULTY OF ENGINEERING UG PROGRAMS
B.TECH
Sl. No.
1.
2.
3.
4.
5.
6.
7.
8.
9.
10.
11.
12.
13.
14.
15.
16.
17.
18.
19.
20.
4
4
4
4
Course
Type
FC
FC
FC
FC
FC
0
0
0
4
4
4
FC
FC
FC
FC
0
0
0
4
1
1
FC
FC
FC
FC
FC
FC
PW
NC
0
0
0
0
0
0
0
48
NC
PC
NC
S
0
0
0
0
Credits
BIOTECHNOLOGY
III SEMESTER (2014-18 BATCH)
Sl.
No.
1.
2.
3.
4.
5.
6.
7.
Course
Code
UE14MA201
UE14BT201
UE14BT202
UE14BT203
UE14BT204
UE14BT205
UE14BT206
Hours / week
L
T P
S
4
0 0
0
3
0 0
0
3
0 0
0
3
0 2
0
4
0 2
0
3
0 0
0
0
0 0
4
20 0 4
4
Course Title
Engineering Mathematics -III
Bioprocess Calculations
Biological Thermodynamics
Microbiology
Biochemistry
Cell Biology and Genetics
Special Topic
Total
Credits
4
3
3
4
5
3
1
23
Course
Type
FC
FC
FC
CC
FC
FC
PW
Course Code
1.
UE14MA251
2.
3.
4.
5.
6.
UE14BT251
UE14BT252
UE14BT253
UE14BT254
UE14BT255
7.
UE14BT256
Course Title
Linear Algebra and Its
Applications
Fluid Mechanics
Molecular Biology
Enzymology
Bioinformatics
Biostatistics
Special Topic: MS
Excel and BioPython
Total
Hours / week
L
T
P
S
Credits
Course
Type
FC
3
3
3
4
3
0
0
0
0
0
0
2
2
0
0
0
0
0
0
0
3
4
4
4
3
CC
CC
CC
CC
CC
PW
20
23
Course Code
Course Title
Hours / week
L T P S
Credits
Course
Type
FC
CC
FC
CC
FC
FC
0
0
0
0
2
2
2
8
0
0
0
0
1
1
1
23
CC
CC
PW
Course Code
Course Title
UE14MA251
Hours / week
L
T P S
Credits
Course
Type
FC
CC
CC
FC
CC
CC
CC
FC
0
19
0
0
2
8
0
0
1
23
PW
CIVIL ENGINEERING
III SEMESTER (2014-18 BATCH)
Sl.
Course Code
No.
1. UE14MA201
2. UE14CV202*
Course Title
Hours / week
Course
Credits
Type
L
T P S
4
0 0 0
4
FC
4
0 0 0
4
FC
4
CC
CC
CC
1
0
1
21
0
0
0
0
2
2
0
4
0
0
0
0
2
1
1
23
CC
CC
CC
Geotechnical Engineering
Laboratory
8. UE14CV258
Materials & Testing Laboratory
9. UE14CV259X Special Topic II
Total
Note: * Prerequisite course UE14CV101
7. UE14CV257
CC
0
1
20
0
0
0
2
0
6
0
0
0
1
1
23
FC
CC
Course Title
Hours / week
L T P S
4 0 0 0
4 0 0 0
4 0 0 0
3 0 0 0
4 0 0 0
Engineering Mathematics-III
Network Analysis and Synthesis
Electronic Devices and Circuits
Logic Design Theory
Electromagnetic Field Theory
Network Analysis and Synthesis
6. UE14EC206
0 0 2
Laboratory
Electronic Devices and Circuits
7. UE14EC207
0 0 2
Laboratory
8. UE14EC208 Logic Design Theory Laboratory
0 0 2
9. UE14EC209X Special Topic
0 0 2
TOTAL 19 0 8
Note: Prerequisite course: *UE14EE101; ** UE14EC101; # UE14MA151
4
4
4
3
4
Course
Type
FC
FC
FC
FC
FC
FC
FC
0
0
0
1
1
23
FC
PW
Credits
Course Code
Course Title
Hours / week
L T P S
UE14MA251
Credits
Course
Type
FC
0
0
0
0
0
0
0
0
0
4
4
4
CC
FC
CC
FC
CC
FC
0
0
0
2
2
8
0
0
0
1
1
23
CC
PW
Course Code
Course Title
L
Engineering Mathematics-III
4
Electric Circuit Theory
4
Analog Electronic Circuits
4
Digital Electronics
4
Power Generation Systems
3
Electric Circuit Theory Laboratory
0
Analog Electronic Circuits
7. UE14EE207
0
Laboratory
8. UE14EE208
Digital Electronics Laboratory
0
9. UE14EE209X Special Topic
0
TOTAL 19
Note: Prerequisite course: *UE14EE101; ** UE14EC101
1. UE14MA201
2. UE14EE202*
3. UE14EE203**
4. UE14EE204**
5. UE14EE205*
6. UE14EE206
Hours /
week
T P
0 0
0 0
0 0
0 0
0 0
0 2
Credits
S
0
0
0
0
0
0
Course
Type
4
4
4
4
3
1
FC
FC
FC
FC
FC
FC
FC
0
0
0
2
2
8
0
0
0
1
1
23
FC
PW
Course Code
Course Title
Hours / week
L T P S
Credits
Course
Type
FC
4
4
4
3
FC
CC
CC
FC
FC
1
1
1
23
CC
CC
PW
MECHANICAL ENGINEERING
III SEMESTER (2014 -18 BATCH)
Sl.
No.
Course Code
1.
UE14MA201
2.
UE14ME202
3.
4.
UE14ME203
UE14ME204
5.
UE14ME205
Course Title
Engineering Mathematics- III
Material Science and
Metallurgy
Engineering Thermodynamics
Mechanics of Solids
Metal Casting and Welding
Processes
Hours per
week
L T P S
4 0 0 0
Credits
Course
Type
FC
0 0
CC
4
4
0 0
0 0
0
0
4
4
CC
CC
0 0
CC
6.
UE14ME206
7.
UE14ME207
8.
UE14ME208
9.
UE14ME209X
0 2
CC
0 2
CC
0 2
CC
0
19
0 2
0 8
0
0
1
23
PW
Course Code
Course Title
Hours per
week
L
T P S
Credits
Course
Type
FC
CC
CC
FC
FC
CC
CC
FC
0
0
2
8
0
0
1
23
PW
Course
Code
UE15CS501
UE15CS502
UE15CS503
Elective - I
UE15CS511
UE15CS512
UE15CS513
UE15CS514
5 Elective - II
UE15CS521
UE15CS522
Course Title
Advances in Operating Systems
Advanced Data Structures
Machine Learning Techniques
Hours / week
L T P S
4 0 0
0
4 0 0
0
4 0 0
0
4
4
4
Course
Type
CC
CC
CC
Credits
Data Analytics
Storage Area Networks
Multi-core
Architecture
Computer System Performance
Analysis
4
4
0
0
0
0
0
0
4
4
EC
EC
EC
EC
4
4
0
0
0
0
0
0
4
4
EC
EC
UE15CS523
UE15CS524
6 UE15CS504
7 UE15CS505
EC
0
0
0
0
0
2
0
2
0
4
4
8
4
2
1
23
EC
PW
PW
5.
6.
7.
8.
9.
Course
Code
Course Title
Hours / week
L T P S
Advances in Database
4
Management System
Advances in Computer
UE15CS552
4
Networks
UE15CS553 Advanced Algorithms
4
SPECIALIZATION IN CLOUD COMPUTING
Elective - I
Computing and Fundamentals of
UE15CS561
4
Big Data
Business Perspectives of Cloud
UE15CS562
4
Computing
UE15CS563 Cloud and Virtual Storage
4
UE15CS564 Secure Cloud Programming
4
Elective - II
UE15CS581 Cloud Computing Fundamentals 4
Cloud and Data Center
UE15CS582
4
Networking
UE15CS583 Cloud Management Overview
4
UE15CS584 Cloud Data Center Virtualization 4
SPECIALIZATION IN WEB TECHNOLOGIES
Elective - I
UE15CS565 Web Programming Paradigm
4
UE15CS566 Programming with Python
4
UE15CS567 Advanced Java Programming
4
UE15CS568 Programming with PHP
4
Elective - II
UE15CS585 Semantic Web
4
UE15CS586 Web Security
4
UE15CS587 Information Retrieval
4
UE15CS588 XML Technologies
4
SPECIALIZATION IN SOFTWARE ENGINEERING
Elective - I
UE15CS569 Web Services
4
UE15CS570 Distributed Operating Systems
4
Software Project Planning and
UE15CS571
4
Management
UE15CS572 Enterprise Resource Planning
4
Elective - II
Software Metrics and Quality
UE15CS589
4
Assurance
UE15CS590 Design Patterns
4
UE15CS591 Information Storage
4
UE15CS551
Credits
Course
Type
CC
CC
CC
EC
EC
0
0
0
0
0
0
4
4
EC
EC
EC
EC
0
0
0
0
0
0
4
4
EC
EC
0
0
0
0
0
0
0
0
0
0
0
0
4
4
4
4
EC
EC
EC
EC
0
0
0
0
0
0
0
0
0
0
0
0
4
4
4
4
EC
EC
EC
EC
0
0
0
0
0
0
4
4
EC
EC
EC
EC
EC
0
0
0
0
0
0
4
4
EC
EC
10.
11.
12.
13.
Management
Data Mining and Data
UE15CS592
4
Warehousing
SPECIALIZATION IN BIG DATA AND IOT
Elective - I
Computing and Fundamentals of
UE15CS561
4
Big Data
UE15CS573 IoT Networking
4
UE15CS574 Real Time Analytics
4
UE15CS575 Big Data Applications
4
Elective - II
Foundations of IoT and Cyber
UE15CS593
4
Physical Systems
UE15CS594 Analytics with Map Reduce
4
Big Data Systems and
UE15CS595
4
Technologies
Data Sciences and Big Data
UE15CS596
4
Analytics
UE15CS553 Special Topics
0
UE15CS554 Seminar
0
TOTAL 20
EC
EC
0
0
0
0
0
0
0
0
0
4
4
4
EC
EC
EC
EC
EC
EC
EC
0
0
0
4
0
4
0
4
4
2
1
23
PW
PW
Course
Code
UE14CS601
Elective - III
UE14CS611
UE14CS612
UE14CS613
UE14CS614
3.
4.
Elective - IV
UE14CS621
UE14CS622
UE14CS623
UE14CS624
UE14CS602
Hours / week
L T P S
4 0 0 0
Course Title
Machine Learning Techniques
Computer Vision
Business Intelligence and Its
Applications
Agile Technologies
Wireless Network and Mobile
Computing
Course
Type
CC
Credits
EC
EC
EC
EC
Semantic Web
4
Knowledge Management
4
Linux Kernel Programming
4
Data Analytics
4
Project Work Phase I
0
TOTAL 12
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
40
40
4
4
4
4
10
22
EC
EC
EC
EC
PW
Course
Code
UE14CS651
2.
UE14CS652
Course Title
Project Work Phase II
Technical
Communication
TOTAL
Hours / week
L T P
S
0 0 24
24
Credits
Course Type
18
PW
FC
24
24
20
Course
Code
Course
Type
L
4
T
0
P
0
S
0
CC
UE14CS613
Agile Technologies
EC
UE14SE611
UE14SE612
UE14SE613
Elective - IV
Soft Computing
Service Oriented Architecture
Supply Chain Management
4
4
4
0
0
0
0
0
0
0
0
0
4
4
4
EC
EC
EC
UE14CS621
Semantic Web
EC
UE14CS622
Knowledge Management
EC
UE14SE621
Content Management
EC
UE14SE622
EC
UE14SE602
40
10
PW
12
40
22
UE14SE601
2.
Elective - III
4.
Credits
Distributed Computing
1.
3.
Hours / week
Course Title
TOTAL
IV SEMESTER (2014-16 BATCH)
Sl.
No.
1.
2.
Course
Code
UE14SE651
UE14SE652
Course Title
Project Work Phase II
Technical Communication
TOTAL
Hours / week
L T P S
0 0 24 24
2 0 0
0
2 0 24 24
Credits
Course Type
18
2
20
PW
FC
Course
Code
1.
UE15EC501
2.
UE15EC502
3.
4.
5.
6.
7.
UE15EC503
UE15EC504
UE15EC505
UE15EC506
UE15EC507
Course Title
Hours per
week
L T P S
Advanced Digital
4
Communication
Modern Digital Signal
4
Processing
CMOS Digital VLSI
4
Advanced Embedded Systems
4
Broadband WiMAX
4
Research Methodology
2
Seminar
0
TOTAL 22
Credits
Course
Type
CC
CC
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
4
4
4
4
4
2
1
23
CC
CC
CC
FC
PW
3.
4.
5.
6.
7.
8.
9.
10.
11.
12.
13.
14.
15.
Hours / week
L T P S
UE15EC551 Error Control Coding
4 0 0 0
SPECIALIZATION IN DIGITAL COMMUNICATION
Elective - I
UE15EC561 Optical Communication
4 0 0 0
UE15EC562 Secure Communications
4 0 0 0
Elective - II
Advanced Wireless
UE15EC563
4 0 0 0
Communications
Elective - III
Spread Spectrum
UE15EC564
4 0 0 0
Communication
Elective - IV
Wireless And Mobile
UE15EC565
4 0 0 0
Networks
Queuing Theory And Its
UE15EC566
4 0 0 0
Applications
SPECIALIZATION IN VLSI
Elective - I
UE15EC571 VLSI Testing and Verification
4 0 0 0
Elective - II
Digital System Design Using
UE15EC572
4 0 0 0
Verilog
Elective - III
UE15EC573 System Verilog
4 0 0 0
Elective - IV
Design of Analog and Mixed
UE15EC574
4 0 0 0
Mode VLSI Circuits
UE15EC575 Low Power VLSI
4 0 0 0
SPECIALIZATION IN SIGNAL PROCESSING
Elective - I
UE15EC581 Image and Video Processing
4 0 0 0
Elective - II
Signal Processing with
UE15EC582 Surface Acoustic Wave
4 0 0 0
(SAW) Devices
Elective - III
UE15EC583 Speech Processing
4 0 0 0
Elective - IV
Real-time Digital Signal
UE15EC584
4 0 0 0
Processing
UE15EC585 Pattern Classification
4 0 0 0
UE15EC552 Mini-project
0 0 4 0
UE15EC553 Seminar
0 0 0 4
TOTAL 20 0 4 4
Course Code
Course Title
Course
Type
CC
4
4
EC
EC
EC
EC
EC
EC
EC
EC
EC
EC
EC
EC
EC
EC
EC
4
2
1
23
EC
PW
PW
Credits
1. UE14DE601
2. Elective - III
Hours / week
L T P S
4 0 0
0
UE14DE602
UE14DE603
3. Elective - IV
UE14DE604
UE14DE605
UE14DE606
4
4
0
0
0
0
0
0
0
Sl.
No.
Course Code
UE14DE607
4. UE14DE608
Course Title
Course
Type
CC
0
0
4
4
EC
EC
0
0
0
0
0
0
4
4
4
EC
EC
EC
EC
0
0
0
0
40
40
10
22
PW
Credits
Course
Type
PW
CC
Credits
18
2
20
Sl.
Course Code
No.
1. UE14VL601
2. Elective - III
3. UE14VL602
4. UE14VL603
5. Elective - IV
6. UE14VL604
7. UE14VL605
8. UE14VL606
Course
Type
CC
Credits
4
4
0
0
0
0
0
0
4
4
EC
EC
4
4
0
12
0
0
0
0
0
0
0
0
0
0
40
40
4
4
10
22
EC
EC
PW
Course
Code
1. UE14VL651
2. UE14VL652
Course Title
Project Work Phase II
Synthesis and Optimization of
Digital Circuits
TOTAL
Hours per
week
L T P S
0 0 24 24
Credits
Course
Type
18
PW
CC
24
24
20
Credits
4
4
4
4
4
2
1
23
Course
Type
CC
CC
CC
CC
CC
PW
PW
2.
Credits
Course
Type
EC
EC
EC
EC
EC
EC
EC
EC
EC
EC
EC
EC
EC
EC
EC
EC
Elective - I
UE15EE565
3.
Smart Grid
Power Electronics in Drives and
UE15EE566
Energy Systems
UE15EE567 HVDC Transmission
Flexible AC Transmission
UE15EE568
Systems
UE15EE581 Renewable Energy Systems
UE15EE582 Power Quality
UE15EE583 Power System Reliability
UE15EE584 SCADA and DCS
UE15EE551X Special Topic
UE15EE552 Seminar
TOTAL
4.
5.
EC
EC
EC
EC
4
4
4
4
0
0
20 / 15
0
0
0
0
0
0
0
0
0
0
0
4
0
4 / 14
0
0
0
0
0
4
4
4
4
4
4
2
1
23
EC
EC
EC
EC
PW
PW
Course
Code
1.
Course Title
Power Electronics in Renewable
Energy Systems
DSP Applications in Drives
Power Quality Enhancement
Project Work Phase I
UE14PE601
2. UE14PE602
3. UE14PE603
4. UE14PE604
5. Elective - III
UE14PE611
UE14PE612
UE14PE613
UE14PE614
Hours / week
L T P
S
Credits
Course
Type
CC
3
3
0
0
0
0
0
0
0
0
0
40
3
3
10
CC
CC
PW
HVDC Transmission
3
Advanced Control Systems
3
Soft Computing
3
Artificial Intelligence and Expert
3
Systems
TOTAL 12
0
0
0
0
0
0
0
0
0
3
3
3
EC
EC
EC
EC
40
22
Course Title
Project Work Phase II
Power Electronics in Smart Grid
TOTAL
Hours / week
Course
Credits
Type
L T P S
0 0 24 24
18
PW
2 0 0
0
2
CC
2 0 24 24
20
Course
Code
Course Title
UE15ME501
UE15ME502
UE15ME503
UE15ME504
L
4
4
4
4
Hours per
week
T P
0 0
0 0
0 0
0 0
S
0
0
0
0
Credits
Course
Type
4
4
4
4
FC
CC
CC
FC
5. UE15ME505
6. UE15ME506
7. UE15ME507
0
0
0
0
0
0
4
4
0
4
0
4
4
1
2
23
FC
PW
PW
Hours / week
Course
Course Title
Code
L
T
P
S
SPECIALIZATION IN THERMO FLUIDS ENGINEERING
UE15ME551 Advanced Thermodynamics
4
0
0
0
UE15ME552 Principles of Combustion
4
0
0
0
Analysis, Design and
UE15ME553 Optimization of Heat
4
0
0
0
Exchangers
UE15ME554 Advanced Fluid Mechanics
4
0
0
0
UE15ME555 Advanced Heat Transfer
4
0
0
0
SPECIALIZATION IN MANUFACTURING SCIENCE AND ENGINEERING
UE15ME561 Advanced Foundry Technology
4
0
0
0
UE15ME562 Advanced Metal Forming
4
0
0
0
UE15ME563 Advanced Welding Technology
4
0
0
0
Surface Treatment and
UE15ME564
4
0
0
0
Finishing
UE15ME565 Nano Technology
4
0
0
0
SPECIALIZATION IN AUTOMOTIVE ENGINEERING
UE15ME571 Vehicle Dynamics
4
0
0
0
UE15ME572 Automotive Power Train
4
0
0
0
UE15ME573 Noise Vibration and Harshness
4
0
0
0
UE15ME574 Body and Chassis Engineering
4
0
0
0
Finite Element Vibration
UE15ME575
4
0
0
0
Analysis
SPECIALIZATION IN MACHINE DESIGN
UE15ME581 Advanced Machine Design
4
0
0
0
UE15ME582 Advanced Theory of Vibrations
4
0
0
0
Dynamics and Mechanism
UE15ME583
4
0
0
0
Design
Advanced Mechanics of
UE15ME584
4
0
0
0
Materials
UE15ME585 Experimental Stress Analysis
4
0
0
0
COMMON TO ALL SPECIALIZATION
UE15ME590 Seminar
0
0
0
4
UE15ME591 Special Topic / Mini Project
0
0
4
0
TOTAL
20
0
4
4
Credits
Course
Type
4
4
CC
CC
CC
4
4
CC
CC
4
4
4
CC
CC
CC
CC
CC
4
4
4
4
CC
CC
CC
CC
CC
4
4
CC
CC
CC
CC
CC
1
2
23
PW
PW
Course
Code
1. UE14AT601
2. UE14AT602
Course Title
Finite Element Vibration
Analysis
Computational Fluid Dynamics
Credits
Course
Type
CC
CC
3. UE14AT603
4. UE14AT604
CC
0
12
0
0
0
0
40
40
10
22
PW
Course Title
Project Work Phase II
Research Methodology
TOTAL
Credits
18
2
20
Course
Type
PW
FC
Course
Code
UE14MS601
2.
UE14MS602
3.
UE14MS603
4.
UE14MS604
Course Title
Nano Technology
Advanced Welding
Technology
Advanced Manufacturing
Practices
Project Work Phase I
TOTAL
4
4
Course
Type
CC
CC
Credits
CC
0
12
0
0
0
0
40
40
10
22
PW
Course
Code
Course Title
UE14MS651
UE14ME652
0
0
0
24
0
24
Credits
Course
Type
18
PW
2
20
FC
Course Code
1.
2.
UM15BB101
Languages
UM15BB102
UM15BB103
UM15BB104
UM15BB105
UM15BB106
UM15BB107
UM15BB108
UM15BB109
3.
4.
5.
6.
Managerial Communication
Hours / week
Credits
L T P S
3 0 0 0
3
Kannada
Hindi
Sanskrit
Additional English
Fundamentals of Accounting
Business Mathematics
Business Economics
Principles of Management
3
3
3
3
3
4
3
4
Course Title
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
3
3
3
3
3
4
3
4
Course
Type
PC
PC
PC
PC
PC
FC
FC
FC
FC
7.
8.
UM15BB110
UM15BB111
9.
UM15BB112
10.
UM15BB113
Communication Laboratory
Accounts Laboratory - 1
Special Topic: Knowing Your
Personality
German Language Level - 1
0
0
0
0
2
2
0
0
1
1
PC
FC
PW
0
TOTAL 20
0
0
0
6
0
0
0
23
NC
Course Code
Course Title
UM15BB151
UM15BB152
UM15BB153
UM15BB154
Organizational Behavior
Business Statistics
Computers in Business
Advanced Accounting
Production & Operations
Management
Marketing & Services Management
Computer Laboratory
Accounts Laboratory - 2
Special Topic: Event Management
German Language Level - 2
TOTAL
5.
UM15BB155
6.
7.
8.
9.
10.
UM15BB156
UM15BB157
UM15BB158
UM15BB159
UM15BB160
Hours / week
L
T
P
S
4
0
0
0
3
0
0
0
3
0
0
0
3
0
0
0
4
3
3
3
Course
Type
FC
FC
FC
CC
Credits
CC
4
0
0
0
0
21
0
0
0
0
0
0
0
2
2
2
0
4
0
0
0
0
0
0
4
1
1
1
0
23
CC
FC
CC
PW
NC
Course Code
UM14BB201
Course Title
Global Business
Hours / week
L
T
P
S
3
0
0
0
Entrepreneurship Development 3
Cost Accounting
4
Management of Human Capital 3
Financial Management
3
Consumer Psychology
4
Human Capital Laboratory
0
Financial Management
8. UM14BB208
0
Laboratory
Special Topic : Quality &
1
9. UM14BB209 Management Gurus & their
contribution
0
10. UM14BB210 German Language Level -2
Constitution of India &
0
11. UE15HS101
Professional Ethics
TOTAL 21
Note: Prerequisite course: *UM14BB106 ; ** UM14BB151
2. UM14BB202
3. UM14BB203*
4. UM14BB204
5. UM14BB205
6. UM14BB206**
7. UM14BB207
Sl.
No.
1.
2.
Course Code
UM14BB251
UM14BB252
Course
Type
FC
CC
4
3
3
4
1
CC
FC
CC
CC
FC
Credits
0
0
0
0
0
0
0
0
0
2
0
0
0
0
0
0
CC
PW
NC
NC
24
Course
Type
FC
FC
3.
4.
5.
6.
7.
8.
9.
10.
11.
12.
UM14BB253 E - Commerce
3
UM14BB254
Project Laboratory
0
SPECIALIZATION IN INTERNATIONAL BUSINESS
Advertising
and
Media
UM14BB255*
3
Management
UM14BB256 Indias Foreign Trade Policy
4
UM14BB257
Advertising Laboratory
0
ELECTIVE
UM14BB271 Global Marketing
4
UM14BB272 Global Finance
4
SPECIALIZATION IN FINANCE
UM14BB258** Management Accounting
3
UM14BB259 Financial Market & Institutions
4
UM14BB260 Financial Management Laboratory 0
ELECTIVE
UM14BB281
0
0
0
2
0
0
3
1
CC
CC
CC
0
0
0
2
0
0
4
1
CC
CC
0
0
0
0
0
0
4
4
EC
EC
0
0
0
0
0
2
0
0
0
3
4
1
CC
CC
CC
EC
EC
CC
CC
CC
EC
EC
PW
NC
NC
Course Code
3.
UM15BH101
Languages
UM15BH102
UM15BH103
UM15BH104
UM15BH105
4.
UM15BH106
5.
UM15BH107
Course Title
French
Kannada
Hindi
English
Guest Psychology
Fundamentals of Culinary
Arts
Basics of Food and Beverage
L
2
Hours /week
T
P
S
0
0
0
Course
Type
PC
Credits
2
2
2
2
0
0
0
0
0
0
0
0
0
0
0
0
2
2
2
2
PC
PC
PC
FC
FC
FC
6.
UM15BH108
7.
UM15BH109
8.
UM15BH110
9.
UM15BH111
10. UM15BH112
11. UM15BH113
12. UM15BH114
13. UM15BH115
14. UE15HS101
Service
Introduction to Front Office
Elements of Accommodation
Operations
Tourism Management
Special Topic : Interpersonal
Communication
Fundamentals of Culinary
Arts Practical
Basics of Food and Beverage
Service Practical
Front Office Skills Practical
Elements of Accommodation
Operations Practical
Constitution of India &
Professional Ethics
TOTAL
FC
FC
FC
FC
FC
FC
FC
FC
NC
18
12
24
Course
Code
1.
UM15BH151
2.
UM15BH152
3.
UM15BH153
4.
5.
6.
7.
UM15BH154
UM15BH155
UM15BH156
UM15BH157
8.
UM15BH158
9.
UM15BH159
10. UM15BH160
11. UM15BH161
12. UM15BH162
13. UE15HS102
Hours /week
L
T P S
Course Title
Fundamentals of Information
Technology
Hospitality Management
Basics of bakery and
Confectionary
Food and Beverage Service
Front Office Operations
Accommodation Operations
Basics of Event Management
Special Topic : Business
Communication
Basics of Bakery and
Confectionary - Practical
Food and Beverage Service
Practical
Accommodation Operations
Practical
Fundamentals of Information
Technology Practical
Environmental Studies
TOTAL
Credit
s
Course
Type
FC
FC
FC
2
2
2
3
0
0
0
0
0
0
0
0
0
0
0
0
2
2
2
3
FC
FC
FC
FC
PW
FC
FC
FC
FC
0
18
0 0
0 12
0
0
0
24
NC
Hours /week
Course Code
1.
UM14BH201
2.
UM14BH202
Course Title
Industry Exposure Training
Hotel Industry
Event Management
Credits
Cours
e
Type
20
24
16
PW
PW
3.
UM14BH203
Culinary French
TOTAL
2
2
0
0
0
24
0
24
2
20
CC
Course
Code
UM14BH251
2.
UM14BH252
3.
4.
5.
6.
7.
UM14BH253
UM14BH254
UM14BH255
UM14BH256
UM14BH257
8.
UM14BH258
9.
UM14BH259
10. UM14BH260
11. UM14BH261
Course Title
Culinary Operations - I
Advanced Food & Beverage
Operations
Hotel Front Office Operations - I
Accommodation Management I
Event Operations I
Hotel Accounts
Human Resource Management
Special Topic:
Nutrition, Dietetics & Sanitation
Culinary Operations - I Practical
Managing Food & Beverage
Service - I Practical
Accommodation Management I
Practical
TOTAL
Hours /week
Credits
L T P S
2 0 0 0
2
Course
Type
CC
CC
2
2
3
3
3
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
2
2
3
3
3
CC
CC
CC
CC
CC
FC
CC
CC
CC
19
10
24
1.
2.
3.
Course
Code
UM15MB501
UM15MB502
UM15MB503
4.
UM15MB504
5.
6.
7.
UM15MB505
UM15MB506
UM15MB507
8.
UM15MB508
Sl. No.
Course Title
Hours / week
Credits
L T P S
4 0 0 0
4
4 0 0 0
4
3 0 2 0
4
Managerial Economics
Managing Organizations
Corporate Accounting
Principles of Management &
3
Communication
Business Law
3
Data Analysis and Decision Making
3
Special Topic : Public Relations
0
Special Topic: Corporate Connect
0
Preliminary (Meet the CXO) - I
TOTAL 20
Course
Type
FC
CC
FC
PC
0
0
0
0
2
2
0
0
0
3
4
1
FC
FC
PW
PW
24
Course
Code
1.
UM15MB551
2.
3.
4.
5.
UM15MB552
UM15MB553
UM15MB554
UM15MB555
Course Title
Entrepreneurship &Project
Management
International Business
Marketing Management
Operations Management
Corporate Finance
Hours / week
Credits
L
T P S
Course
Type
FC
3
3
4
4
0
0
0
0
0
2
0
0
0
0
0
0
3
4
4
4
CC
CC
CC
CC
6.
UM15MB556
7.
UM15MB557
8.
UM15MB558
CC
PW
PW
20
24
Course
Type
CC
CC
PW
PW
CC
CC
CC
EC
EC
EC
EC
EC
EC
CC
CC
CC
EC
EC
EC
EC
EC
EC
CC
CC
CC
EC
EC
EC
EC
Course Code
UM14MB651
2. UM14MB652
3. INTERNSHIP
UM14MB653
UM14MB654
4.
UM14MB655
Course Title
Special Topic: Research
Methodology
Project Work
Internship on Contemporary
Management Practices
Internship on Contemporary
Global Management
Practices
Special Topic: Term Paper
on Current Management
Practices
TOTAL
Hours / week
L T P
S
Credits
Course
Type
FC
16
PW
12
PW
12
PW
PW
28
18
L
4
3
4
Hours / week
T
P
0
0
2
0
0
0
S
0
0
0
Credit
s
4
4
4
Course
Type
CC
FC
CC
CC
4
0
0
0
0
2
0
0
4
1
CC
FC
CC
0
19
0
2
2
6
0
0
1
23
PW
Course Code
UC14BC251
2.
UC14BC252
3.
4.
UC14BC253
UC14BC254
5.
UC14BC255
Course Title
.Net Framework
Introduction to Database
Management Systems
Computer Networks
.Net Laboratory
Database Management
Systems Laboratory
Hours / week
Cred
its
L
T
P S
4
0
0 0
4
4
4
0
0
0
0
2
0
0
4
1
Course
Type
CC
CC
CC
CC
CC
6. UC14BC256
7. Elective - I
UC14BC261*
UC14BC262**
8. Elective - II
UC14BC271*
UC14BC272**
Special Topic
PW
Shell Commands
Scripting Languages
4
4
0
0
0
0
0
0
4
4
EC
EC
4
4
0
0
0
0
0
0
4
4
EC
EC
20
23
TOTAL
Note: Prerequisite course - *UC14BC113; **UC14BC115
Course Code
1.
UC15MC401
2.
UC15MC402
3.
UC15MC403
4.
UC15MC404
5.
UC15MC405
6.
UC15MC406
7.
8.
UC15MC407
UC15MC408
Course Title
Discrete Mathematical
Structures
Digital Principles and Computer
Organization
Procedural Programming
Introduction to Web
Technology
Principles of Accounting
Procedural Programming
Laboratory
Web Technology Laboratory
Special Topic
TOTAL
Hours / week
T
P
S
Credits
Course
Type
FC
FC
FC
FC
PC
FC
0
0
19
0
0
2
2
2
6
0
0
0
1
1
23
FC
PW
Course Code
Course Title
UC15MC451
Scientific Computing
Object Oriented
Programming
Data Structures
Operating Systems
Software Engineering
Object Oriented
Programming Laboratory
Data Structures
Laboratory
Special Topic
TOTAL
2.
UC15MC452
3.
4.
5.
UC15MC453
UC15MC454
UC15MC455
6.
UC15MC456
7.
UC15MC457
8.
UC15MC458
L
3
Hours / week
T
P
S
2
0
0
Course
Type
FC
Credits
FC
3
4
4
2
0
0
0
0
0
0
0
0
4
4
4
FC
CC
FC
FC
FC
0
18
0
4
2
6
0
0
1
23
PW
Sl. No.
Course Code
1.
2.
UC14MC501
UC14MC502*
UC14MC503
3.
4.
UC14MC504*
UC14MC505
5.
6.
7.
UC14MC506
Elective - I
UC14MC511**
UC14MC512**
UC14MC513**
Elective - II
UC14MC521
8.
Computer Graphics
Computer Oriented Statistical
UC14MC522
Methods
UC14MC523
Internet - Networks Illustrated
TOTAL
Note: Prerequisite course - * UC14MC404; ** UC14MC405
Sl.
No.
1.
Course Code
UC14MC551
2.
UC14MC552
3.
UC14MC553
4.
UC14MC554
5.
UC14MC555
6.
7.
UC14MC556
Elective - III
UC14MC561*
8.
UC14MC562
UC14MC563
Elective - IV
UC14MC571*
Course
Type
CC
FC
CC
FC
CC
PW
EC
EC
EC
EC
EC
4
19
0
2
0
6
0
0
4
23
EC
S
0
0
Credit
s
4
4
S
0
Cred
its
4
Course
Type
CC
FC
CC
FC
CC
PW
EC
4
4
0
0
0
0
0
0
4
4
EC
EC
EC
EC
EC
19
23
10 Hours
11 Hours
1.
2.
3.
4.
5.
6.
7.
8.
Solve systems of linear equations using matrix transformations and interpret the
nature of solutions.
Demonstrate ability to work within vector spaces and to distill vector space
properties.
Understand the concepts of subspaces, linear span, linear independence , dimension
and basis, linear transformation as a mapping from one vector space to another and
calculate its matrix representation with respect to standard and nonstandard bases.
Know how to calculate the rank of a matrix and be a master of the connection
between the rank and the dimensions of the fundamental subspaces of a matrix.
Use the Gram-Schmidt process to find an orthonormal basis in a subspace of an
inner product space and characterize orthogonal matrices
Be a master of the use of the least square method to find optimal solutions of
inconsistent linear equations.
Find eigenvalues and eigenvectors of a matrix and perform such calculations for
small
matrices and determine if a matrix is diagonalizable, and if it is, how to
diagonalize it.
Formulate a mathematical (linear) model from a given problem description in words
and solve the same using graphical and simplex methods.
Unit I
10 Hours
Matrices and Gaussian elimination: Introduction, Geometry of linear equations, Gaussian
Elimination, Matrix notation and multiplication, Triangular factors & Row exchanges, Inverses
and transposes.
Unit II
11 Hours
Vector spaces: Vector spaces,& subspaces (only definitions), Echelon & Row reduced
forms, Pivot variables & Free variables, linear independence, basis and dimension, the four
fundamental subspaces.
Unit III
11 Hours
Linear transformations & orthogonality: Linear transformations, orthogonal vectors &
subspaces, cosines & projections onto lines, projections & least squares.
Unit IV
10 Hours
Orthogonalization, Eigen values and Eigen vectors: Gram- Schmidt Orthogonalization,
Introduction to Eigen values & Eigen vectors, Power method of finding largest eigen value,
Diagonalization of a matrix.
Unit V
10 Hours
Linear programming problem: Formulation of the problem, Graphical method, General
Linear Programming Problem, Canonical & Standard forms, Simplex method.
Reference Books
1. G. Strang (2007), Linear Algebra and its Applications, 4th edition, 2nd Indian reprint,
Thomson Brooks/Cole. (Chapter No. 1, 2, 3, 5).
2. B S Grewal (2005), Higher Engineering Mathematics, 42nd Edition, Khanna Publishers.
(Chapter No. 2, 28, 33).
UNIT V
10 Hours
LASER : Lasers, Einsteins coefficients - expression for energy density. Conditions for Laser
action two, three and four level systems, requisites of a laser system. Absorption and
emission cross sections, Light amplification. Gain and loss processes, condition for round
trip gain, cavity design considerations. He Ne Laser, CO2 Laser and Semiconductor laser.
Harmonic generation and non linear optics.
Recommended Books
1. Laszlo Solymar, Donald Walsh (2010), Electrical Properties of Materials, 7th Edition,
Oxford University Press.
2. Arthur Beiser (2009), Concepts of Modern Physics, 6th Edition, TMH Publication,
(Book 1- Unit I : chapter 2, Unit II: chapter 3; Unit III: chapter 6,7; Unit IV : chapter
10,11; Unit V : chapter 12).
(Book 2 - Units I : chapters 2,3; Unit II: chapter 5; Unit III: chapter 10)
Reference Books
1. Feynman, Leighton and Sands (2003), Lectures on Physics, 13th Reprint, Narosa
Publications.
2. All units to be supplemented by Lecture notes and review articles
Fuel cells: Principle and working , efficiency of fuel cells ,H2-O2 fuel cells- alkaline fuel cell,
polymer membrane fuel cell and solid oxide fuel cell ,CH3OH-O2 fuel cell, Applications of
fuel cell in Oxygen sensors and Breath Analysers.
UNIT IV
10 Hours
Corrosion chemistry and water technology: Elelctro-chemical theory of corrosion, Types
of corrosion- Differential metal; Differential aeration; Pitting corrosion ; Stress corrosion
(caustic embrittlement), Factors affecting rate of corrosion.
Corrosion control: Metal coating Galvanizing and Tinning, Inorganic Coating Anodizing
and Phosphating, Corrosion inhibitors, Cathodic protection Sacrificial Anode and
Impressed current method; Anodic protection.
Metal finishing: Faradays laws of electrolysis, Electroplating process, Factors affecting
nature of deposit in electroplating, Electroplating of chromium
Water: Water quality parameters and analysis: Hardness; Chloride; Nitrate(colorimetric
estimation); Sulfate(colorimetric estimation) ;Biological Oxygen Demand and Chemical
Oxygen Demand; Numerical problems relating to hardness and Chemical Oxygen Demand.
UNIT V
10 Hours
Polymers and green chemistry: Definition of polymer, monomer, Addition and
condensation polymerisation, Structure - property relationship, Number average molecular
weight; Weight average molecular weight; Viscosity average molecular weight, Commercial
Polymers: Synthesis, properties and applications of Teflon; PMMA, Elastomers: Natural
rubber; Synthesis and applications of Butyl rubber, Resins -Manufacture and uses of epoxy
resin, Polymer Composites: Synthesis and applications of Kevlar and Carbon fibres.
Conducting polymers- Mechanism of conduction in polymers using polyaniline as example;
applications of conducting polymers.
Green Chemistry: Introduction, Twelve principles of green chemistry with examples.
Text Books
1. Gadag, R.V. and Nityananda Shetty A. (2011), Engineering Chemistry, 3rd reprint,
I.K.International Publishing House.
2. Jain, P.C. and Monica Jain (2007), Engineering Chemistry, 3rd reprint, Dhanpat Rai
Publishing Company (P) Ltd.
3. Green Chemistry : Lecture notes
Reference Books
1. Pletcher, D. and Walsh, F.C.(1993), Industrial Electrochemistry, 2nd Edition, Blackie
Academic and Professional.
(Book 1: Chapter 1, 2, 3,4,7,9 and 10; Book 2: Chapter 6 and 35; Book 3 : Chapter 8,
10, 11)
EXPERIMENTS
1. Determine pH, conductivity, total dissolved salts and total hardness of a given water
sample by EDTA method (complexometric titration).
2. Determine COD of a waste water sample by redox titration.
3. Determine percentage of Copper in a Brass sample by iodometric titration.
4. Determine the amount of Chloride in a given water sample by Mohrs method
(precipitation titration).
5. Determine cell constant of a conductivity cell and estimate the strength of acids in a
mixture of acids using the cell.
6. Assemble a cell using ion-selective electrode and use the cell for determination of
pKa of a weak acid.
7. Assemble a cell for a redox reaction and use the cell for potentiometric estimation of
Iron in hematite ore.
8. Estimate the amount of Copper in a solution by spectroscopic method.
9. Calculate Average molecular weight (M) of a polymer by viscosity measurements
using Mark Houwink equation.
10. Construct a phase diagram for a simple eutectic system
(Naphthalenep-dichlorobenzene) using cooling curves.
Reference Book
1. Laboratory manual prepared by the Department by Science & Humanities, PES
University.
of measurements, thereby taking them through the first milestone of thinking like an
engineer.
UNIT II
2 Hours
Engineering system analysis: Engineering systems around us hold immense plethora of
knowledge and experience. Students will get exposed to a set of engineering systems to
analyze by breaking it open, and putting it back together to functional state. Concepts
around electro-mechanical systems will be introduced in this unit.
UNIT III
4 Hours
Mechanisms How to move anything? Motion has been fundamental to growth of
human civilization. Motion is achieved by several possible mechanisms. Students will get an
opportunity to play and build with these mechanisms to achieve specific tasks. Concepts
around mechanical linkages and engineering optimization will be addressed in this unit.
UNIT IV
4 Hours
Electro-mechanisms How to electrify and control mechanisms?: Evolution of
mechanical systems has primarily been led by electrification. This unit will enable students to
electrically run their built mechanical systems, thereby transforming them into
simple electromechanical devices. Students will also be exposed to concepts around
microcontrollers, and will get an opportunity to control their electromechanical systems by
programming a simple microcontroller.
UNIT V
4 Hours
Electronics for mechanisms How to make smart electromechanical systems?:
Todays world has move to smart and intelligent engineering in our everyday life. This unit
will empower the students to convert their electromechanical devices into smart
electromechanical systems. Concepts around sensors, actuators and information
processing, will be addressed in this unit.
UNIT VI
10 Hours
Semester project and evaluation: The students will start the semester with a broadly
specified challenge to build a smart electro-mechanical system. The five units above will be
delivered sandwiched in the process of the students working in teams to complete the
project.
References and Resources
There are no text books for this course. The students will be registered to an online network
and all course material will be made available through this network.
3. develop strong ethical & duty based disposition which is a sine-qua-non for a
Professional
4. Understand the relationship between Ethics & Law.
5. Appreciate the role of Engineers in environmental issues
UNIT I
Introduction : Definition - Salient features - Preamble
Fundamental rights: general provisions : Definition of state, laws inconsistent with or in
derogation of fundamental rights -Right to Equality (Art. 12 - 18) - Right to freedom (Art. 19 22)
UNIT II
Right against exploitation
(Art. 23, 24)
Right to Freedom of Religion (Art.25 - 28)
Cultural and educational rights ( Art. 29,30)
Right to constitutional remidies - Writs (Art -32)
UNIT III
Directive principles of state policy and fundamental duties : relationship between
directive principles and fundamental rights
The Supreme Court : An Independent Court - Jurisdiction - Role - Judicial activism
High Courts - Jurisdiction :subordinate courts
Emergency provisions : National emergency, State emergency, Financial emergency
Amendment of the constitution : The procedure (Art. 368) - 42nd amendment
UNIT IV
Introduction: Definition - Background ideas - Why study engineering ethics? - Engineering
is
managing the unknown? Personal Vs business ethics - origin of ethical thought Ethics and law Ethics problems are like design problems -Preventive Ethics - Case Studies
( Ford Pinto case, Challeger , disaster case, Columbia disaster case, City Corp Building
Case) - Problem solving method- Identifying moral conceptual and factual issues
Risk , safety and liability : Definition - ensuring safety as a duty - difficulties in estimating
risks
Normal accidents - Normalising deviance - identifying and defining acceptable
risks - risk expert's
approach - lay persons' approach - Govt. regulator's approach Engineers' liability for risk
UNIT V
Responsible engineers: conceptions of responsibility - minimalist view - reasonable care good works virtues - impediments to responsibilities
Honesty, Integrity and reliability : Ways of misusing the truth - Why dishonesty is wrong?
- Integrity and Client Integrity in Engineering research & testing, Integrity and use of
intellectual property Line Drawing Method Professional confidentiality - Integrity and
Expert testimony - Integrity and failure to inform the public - conflicts of interest.
Engineers & the environment: Introduction - Sustainable development - controversy over
the environment - Law on environment - How clean is clean? - Anthropocentric approach to
environmental ethics - scope of professional engineering obligations to the environment modest proposals
Reference Books:
1. D.D. Basu (2001), Introduction to Constitution of India, 20th Edition, Prentice Hall.
2. Thomson & Wadswor (2005), Engineering Ethics :Concepts And Cases, 2nd Edition,
Harris, Pritchard & Rabins
2. Fleddermann C.B (2004), Engineering Ethics, -2nd Edition, Pearson Education.
UE15HS102: ENVIRONMENTAL STUDIES (0-0-0-0-0)
PESU Student Handbook 2015-16 75
Course Objectives
Environmental Studies is a multidisciplinary subject. It has been introduced with the objective
of exposing the students to the basic concepts of environment resources, pollution,
management and law; and also the current issues endangering life on earth.
Course Outcomes
A student complete the course would be able to
1. Understand fundamental physical and biological principles that govern natural
processes.
2. Understand the natural environment as a system and how human activities affects
the system
3. Interpret environmental resource management and sustainability conflicts from
multiple perspectives.
4. Effectively analyze and integrate the social and natural sciences to understand
diverse environmental and sustainability challenges ranging from local issues to
global environments.
UNIT I
Environment: Basic concepts- Definition; Scope and importance of environmental studies;
need for public awareness. Eco-kinetic and bio-kinetic properties of environmental
pollutants.
Natural resources: Definition, classification, types, problems due to over-exploitation.
Conservation and Management of resources; Sustainable development.
Basic concepts of ecosystems, structure and function of ecosystems, energy flow in
ecosystems.
Basic concepts of bio-diversity, biogeographical classification of India, value of bio- diversity,
threats to bio-diversity and conservation.
Energy resources: Types of energy: Conventional sources of energy, fossil fuel, Nuclear
based, solar, wind, sea-wave energy. Non-conventional sources of energy, Biofuels biomass, biogas; hydrogen as an alternative future source of energy.
UNIT II
Environmental pollution: Land pollution-Sources and effects of land pollution; control
measures, methods for the sustainable use of soils for agriculture. Soil erosion through
agriculture, deforestation, grazing, salinization and management.
Noise pollution :Sources of noise pollution, characteristics of sound, measurement of noise
pollution, Effects of noise on environment. Control measures.
Air pollution :Structure of atmosphere, Atmospheric inversions. Sources and effects of air
pollution on environment and other organisms, criteria pollutants. Automobile pollution and
control; particulate dust pollution, sources and effects and control technologies (industrial
processes). Bhopal Gas Tragedy, Global climatic changes/global warming, ozone layer and
acid rain.
Water pollution Sources and effects on environment and other organisms, Control
measures.
UNIT III
Disaster management: Definition, origin and classification. Natural (Earthquakes,
landslides, floods, Cyclones) and Man-made disasters (biological, chemical, nuclear,
radiological, explosions) definition, causes and management and/or mitigation strategies.
UNIT IV
Solid waste management: Origin of domestic solids waste, biomedical wastes, composition
and quantity of refuse, collection, transportation storage of refuse.
Waste-treatment
options, waste processing, recycling of different waste fractions, Solid waste management PESU Student Handbook 2015-16 76
Landfilling, composting, leachate and leachate gas containment. Industrial and special
wastes, incineration. Solid waste management issues, rules and policies.
UNIT V
Social issues and environmental law:Population growth, variation among nations,
Population explosion, Family welfare programme. Environment and human health, value
education, Women and Child Welfare, Role of information technology in Environmental and
human health.
Environment and pollution laws: World treaties and World conferences on environment.
Indian Acts and Rules Air Act -1981 (Rules 1982, 1983). Water Act 1974 (Rules 1975),
Forest Conservation Act 1980 (Rules 2003).National Forest Policy, 1988; Wildlife
(Protection) Act 1972. Environment Protection Act, 1986.
Text Books:
1. D.K. Asthana and Meera Asthana (2009), A Textbook of Environmental Studies, S.
Chand & Company Ltd.
2. Anubha Kaushik and C.P. Kaushik (2008), Perspectives in Environmental Studies,
New Age International Publishers.
Reference Books:
1. A.K. De (2006), Environmental Chemistry, New Age International Publishers..
2. S.K. Mohanty (2006), Environment and Pollution Laws, Universal Law Publication.
3. Odum EP (1971), Fundamental of Ecology, WB Saunders Co. USA.
Unit IV
8 Hours
Material balance involving chemical reactions: Principles of Stoichiometry. Definitions of
limiting and excess reactants, fractions and percentage conversion, yield and percentage
yield, selectivity and related problems. Material balances involving bypass, recycle & purge.
Stoichiometry of Microbial Growth and Product Formation: Introduction, Definitions of
specific growth rate and yield. Elemental balances and degrees of reduction. Problems on
specific rate and yield.
Unit V
8 Hours
Energy Balance: General energy balance equation for steady state. Thermo physics and
Thermo chemistry: Heat capacity, estimation of heat capacity for solids, liquids, gases and
their mixtures. Enthalpy, Standard Heat of formation, standard heat of reaction, Standard
heat of combustion and calorific value, Calculation of (HR) at elevated temperature.
Biochemical equilibrium constants and conversions.
Text Book
1. Pauline M Doran (1995), Bioprocess Engineering Principles, Elsevier Science &
Technology Books.
Reference Book
1. David Himmelblau (2003), Basic Principles and Calculations in Chemical Engineering,
Prentice Hall of India, Private Limited
statistical
Course outcome
At the end of the course the student will have the following:
1. The ability to understand the laws of thermodynamics
2. Appreciate how basic laws of thermodynamics are applicable to the most complex
systems such as biological systems
3. The ability to understand and articulate biological systems through both classical
and statistical thermodynamics
4. The ability to apply the learnt concepts to solve problems pertaining to biological
systems
Unit I
8 Hours
Energy transformation and the first law of thermodynamics - Distribution of Energy,
System and surroundings, Animal Energy consumption, Carbon, energy and life, Internal
energy, Work, The First Law in operation, Enthalpy, Some examples from biochemistry,
Heat capacity, Energy conservation in the living organism
Unit II
8 Hours
The second law of thermodynamics - Introduction, Entropy, Heat engines, Entropy of the
universe, Isothermal systems, Protein denaturation, Third Law and biology, Irreversibility and
life
Unit III
7 Hours
Microscopy and staining: Microscopy - Light microscopy (bright field, dark field, phase
contrast, fluorescence microscopy), electron microscopy (TEM and SEM).Staining Principles of staining, types of stains - simple stains, structural stains and differential stains.
Unit III
8 Hours
Pure culture techniques and enumeration: Serial dilution streak plate, pour plate, spread
plate, maintenance of pure cultures; Enumeration by DMC and viable counts.
Microbial Nutrition, Growth and Biochemical Characterization: Nutritional types,
physical conditions, growth curve; Biochemical characterization of bacteria- IMViC tests.
Unit IV
8 Hours
Genetic recombination in bacteria: Transformation, transduction and conjugation.
Control of microorganisms and Biosafety: Sterilization methods - physical methods,
chemical agents; antimicrobial agents,Biosafety lavels
Microbial Pathogenesis: Common diseases caused by microbes; etiology, transmission
and symptoms of the disease - Bacterial diseases: Tetanus, Leprosy, Typhoid, Tuberculosis,
Cholera. Viral diseases: Hepatitis, Polio. Fungal diseases: Candidiasis. Protozoan diseases:
Malaria.
Unit V
7 Hours
Microbial ecology: Interactions of microorganisms - rhizosphere and phylloplane microflora,
mycorrhiza, air and water microflora; Biofertilizers and Bioremediation.
Food and industrial microbiology: Microbial spoilage of food and its prevention, Food
borne infections: Botulism, Gastroenteritis. Industrial applications: antibiotics.
Biological warface: Weapons of mass destruction superbugs and Aathrax
LIST OF EXPERIMENTS
13 Hours
1. Isolation of microbes (i) from soil and water by serial dilution and pure culture
techniques and (ii) from air by plate exposure method.
2. Study of colony characteristics of microbes. Staining Techniques - Simple and Gram
staining of bacteria.
4. Endospore staining and Fungal staining by LPCB.
5. Measurement of cell concentration of yeast cells by haemocytometer.
6. Determination of size of yeast cells by micrometry.
7. Biochemical tests IMViC, starch hydrolysis and catalase test.
8. Effect of Antibiotics on bacterial growth by paper disc method.
9. MPN test for analysis of quality of water.
Text Book
1. Michael J Pelczar Jr, Chan ECS, Noel R Kreig (2004), Microbiology, 5th Edition, Tata
McGraw Hill Publishing Co, Ltd., New Delhi.
ReferenceBooks
1. Prescott, Harley and Klein (2005), Microbiology, 6th Edition, Mc Graw Hill
International, Boston.
2. Jacquelyn G. Black (2008), Microbiology: Principles and Explorations, 7th Edition,
John Wiley & Sons, New York
Course outcome
At the end of the course the student will have the following:
1. The ability to understand the concepts of biochemistry
2. Appreciate how the basic concepts of biochemistry are applicable to the complex
systems such as biological systems
3. The ability to understand and articulate the role of various biomolecules in
biological systems
UNIT I
10 Hours
Fundamentals of biochemistry: An Introduction to the science of Biochemistry,
Biochemical unity underlies biological diversity, Non covalent interactions-Hydrogen bonds,
Vanderwaals forces, Electrostatic & Hydrophobic interactions. Properties of water, pH and
biological Buffers. Stereochemistry: Importance of stereochemistry, Geometric and Optical
isomerism. Configuration and conformation, Chirality, Enantiomers, Diastereomers, D & L,
and R & S notations. Keto enol tautomerism, important functional groups in biochemistry,
general types of reactions in biochemistry.
UNIT II
10 Hours
Bioinorganic chemistry: Inorganic elements in biological systems, metalloenzymes, Metal
complexes as oxygen carriers-hemoglobin and myoglobin, non-porphyrin oxygen carriershemeerythrin and hemocyanin, synthetic oxygen carriers, Metallothionenes. Electron
transfer proteins-Ferredoxin, Iron-Sulfur clusters, cytochromes, chlorophyll, Biological
nitrogen fixation. Metal complexes as drugs.
UNIT III
12 Hours
Carbohydrates: Introduction, Sources, Classification into mono, di and polysaccharides.
Classification of monosaccharides based on number of C-atoms. Functional groups-Aldoses
and Ketoses (stressing the difference between reducing & non-reducing sugars).Reactions
of carbohydrates, Isomerism of carbohydrates, Fischer projections, Haworth structures,
pyranose and furanose structures, Anomers, Epimers, Chair and boat conformations.
Structure and functions of sugars, homo and heteropolysaccharides, glycoconjugates.
Lipids: Introduction, sources, Nomenclature, Classification. Properties and Functions.
Essential fatty acids, Eicosanoids, Prostaglandins, Compound lipids (phospholipids and
glycolipids, their importance) Steroids: Structure of steroid nucleus, biological role of
cholesterol, Amphipathic nature of lipids and their importance.
UNIT IV
10 Hours
Amino acids and proteins: Introduction, Classification (protein and non-protein amino
acids), Optical isomerism, chemical properties, acid-base properties-polyionic nature, zwitter
ions, pKa, and pI. Peptide bond formation: Structure of protein (primary, secondary, tertiary
and quaternary) .Biologically important peptides (oxytocin, vasopressin, bradykinin,
glutathione), Classification of proteins, determination of primary structure, sequencing
strategies and n-terminal and c-terminal sequencing, automated sequenators. Properties of
proteins, denaturation of proteins
UNIT V
10 Hours
Nucleic acids: Purines and Pyrimidines: Structure of purine and pyrimidine bases,
nucleosides, nucleotides, RNA & DNA (differences), base pairing schemes, types of RNA:
mRNA, rRNA, and tRNA. Secondary structure of DNA, Watson and Crick model. Different
PESU Student Handbook 2015-16 81
types of DNAS. Histones and its organization, E-coli DNA, Nucleosome structure,
denaturation of DNA. Structure of RNA, other RNAS, catalytic RNA (ribozymes).
LIST OF EXPERIMENTS
13 Hours
At the end of the course the student will have the following:
1. Ability to identify different types of fluids, flow, its nature& classification,
2. Ability to solve problems related to stagnant fluids, agitation, mixing, pumping of fluids
and metering, filtration & size reduction processes.
3. Ability to understand the concept of fundamentals of fluids, pressure, mixing, filtration
processes
UNIT I
8
Hours
Fundamentals of fluid flows: Introduction, Properties of Fluids, Viscosity, Pressure and its
Measurements: Fluid Pressure at a Point, Pascals law, Pressure variation in a Fluid at Rest,
Measurement of Pressure Simple and Differential Manometers. Types of Fluid Flow.
Continuity equation, Equations of Motion, Eulers Equation of Motion and Bernoulli's
Equation from Eulers Equation, Flow through circular and non circular cross sections,
Hagen-Poisuille's equation, Losses through pipe and fittings. Turbulent flow, friction factor.
Dimensional Analysis: Dimensionless Numbers, Rayleigh's Method, Buckingham's Pi
Theorem, Numerical Examples..
UNIT II
8 Hours Flow
past immersed objects and packed and fluidized beds: Introduction, Force Exerted by a
Flowing fluid on a Stationary Body, Drag, and Lift, Expression for Drag and Lift, Drag
Coefficient. Flow in Packed Beds: Introduction, Laminar Flow in Packed Beds, Turbulent
Flow in Packed Beds, Flow in Fluidized Beds: Types of Fluidization in Beds, Minimum
Velocity and Porosity for Particulate Fluidization, Pressure Drop and Minimum Fluidizing
Velocity, Expansion of Fluidized Beds, Minimum Bubbling Velocity, Numerical Examples.
UNIT III
8
Hours
Fluid Flow Measurements: Introduction, Venturimeter, Orifice meter, Rotameter, Pitot tube,
Notches and Weirs. Numerical Examples. Pumps: Developed head, Power requirement,
Suction Lift and Cavitation, Reciprocating Pump: Working Principle, Discharge, Work done
and Power required, Variation of Velocity and Acceleration in the Suction and Delivery Pipes
due to Acceleration of the Piston, Effect of Variation of Velocity on Friction in the Suction and
Delivery Pipes, Numericals, Centrifugal pumps: Working Principle and Characteristics
Curves. Numericals
UNIT IV
8 Hours
Mechanical-physical separation processes: Classification, Filtration in Solid-Liquid
Separation: Introduction, Types of filtration Equipments: Bed filters, Plate and frame filters,
Leaf filters, Continuous rotary filters: Continuous rotary vacuum-drum filter, Continuous
rotary disk filter, Continuous rotary horizontal filter, Filter Media and Filter Aids, Basic theory
of filtration: Pressure drop of fluid through filter cake, Specific Cake Resistance. Filtration
Equations for Constant-Pressure Filtration, Equations for washing of filter cakes and total
cycle time, Equations for continuous filtration, Filtration equations for Constant-Rate
Filtration and Numerical Examples. Settling and Sedimentation in particle-fluid separation:
Theory of particle movement through a fluid-Derivation of basic equations for rigid spheres,
Drag co-efficient for rigid and non rigid spheres. Sedimentation: Mechanisms of
Sedimentation, Determination of settling velocity.
UNIT V
7 Hours
Mechanical Operations: Size Reduction: Criteria for Comminution, Energy and Power
Requirements in Comminution, Efficiency, Crushing Laws and Work Index. Equipment for
Size Reduction: Jaw Crusher, Gyratory Crusher, Roll Crushers, Hammer Mill Grinders,
Revolving Grinding Mills. Sieve Analysis (Differential and Cumulative) and Numerical
Examples.
PESU Student Handbook 2015-16 84
Text Book
1. Christie John Geankoplis (2008), Transport Processes and Separation Process
Principles, 2nd Edition, Prentice Hall of India, Private Limited.
Reference Books
1. McCabe, Smith, Harriott (2010), Unit Operations of Chemical Engineering, 6th Edition,
Tata McGraw-Hill
8 Hours
gel
Text Book
1. Nelson and Cox (2008), Lehninger Principles of Biochemistry, 5th Edition,
W.H.Freeman & Company.
ReferenceBooks
1. Lewin Benjamin (2006), Genes IX, Jones and Bartlett Publishers.
2. Malacinski, George M, David Freifelder (2006), Essentials of Molecular Biology,
Narosa, New Delhi.
3. Turner P C, McLennan A G, Bates A D and White M R H, (2001), Instant Notes in
Molecular Biology, 2nd Edition, Viva Books Pvt. Ltd.
10 Hours
Unit III
10 Hours
Sequence analysis and similarity search: Uses of multiple sequence alignments, Scoring
multiple sequence alignments, Methods of multiple sequence alignment- progressive and
iterative, Hidden Markov models of multiple sequence alignment, Profile analysis, Block
analysis, Pattern searching, Motif analysis, Position-specific scoring matrices. Database
similarity search, Scoring matrices for similarity searches, FASTA, BLAST.
Unit IV
12 Hours
Phylogeny: Relationship of phylogenetic analysis to sequence alignment, Concept of
evolutionary trees, Methods for phylogenetic tree construction, Reliability of phylogenetic
predictions.
Gene prediction: Gene and Promoter prediction, Categories of gene prediction programs,
Gene prediction in prokaryotes and eukaryotes, Promoter and Regulatory element prediction
in prokaryotes and eukaryotes, Prediction algorithms.
Unit V
10 Hours
Protein structural bioinformatics: Protein structure basics, Protein structure visualization,
comparison, and classification, Protein secondary structure prediction, Protein tertiary
structure prediction, Protein structure validation.
Text Book
nd
1. David W Mount (2004), Bioinformatics - Sequence and Genome Analysis, 2
Edition, Cold Spring Harbor Laboratory.
st
2. Jin Xiong (2006), Essential Bioinformatics,1 Edition, Cambridge University Press.
Reference Books
1. Andreas D. Baxevanis and Francis B.F. Ouellette (2005), Bioinformatics - A practical
Guide to the Analysis of Genes and Proteins, 3rd Edition, John Wiley and Sons.
12 Hours
UNIT IV
9 Hours
Functions - Definition, call, positional and keyword parameter. Default parameters, variable
number of arguments, key value pairs as arguments - recursion - callbacks
Modules - import mechanisms - __name__ variable
Functional programming - map, filter, reduce, max, min
lambda function - list comprehension
UNIT V
8 Hours
Object oriented programming - classes and objects - inheritance - polymorphism
Error handling & Exceptions - try, except and raise - exception propagation
File processing- reading and writing files
Text Book
1. Charles Dierbach (2012), Introduction to Computer Science Using Python: A
Computational Problem-Solving Focus, John Wiley.
10 Hours
Text processing and string manipulation: Concepts: arrays and pointers, strings, string
manipulation, functions.
UNIT III
Prioritized scheduling:
memory management
12 Hours
Concepts: Structures, Unions, Lists, Priority Queue, dynamic
UNIT IV
Sorting: Array of structures, array of pointer to structures, parameter passing
10 Hours
UNIT V
10 Hours
Portable programming and interfaces: enums, bit operations, preprocessor directives,
conditional compilation, pragmas, include
Text Books
1. R.G. Dromey, How To Solve It By Computer, Pearson.2011
2. Brian Kernighan and Dennis Ritchie , The C Programming Language, 2nd Edition,
Prentice Hall PTR.1988
Course objectives
The objective of the course is to learn fundamental data structure concepts, its
implementation and applications. Review of Java Programming is done so that the students
implement the concepts using the language as a tool. The course enables the students to
use appropriate data structures and algorithms from the library. Illustration of the non-linear
data structures such as binary trees, heap trees and graphs is included in the course.
Course outcomes
Upon successful completion of the course, a student will be able to:
1. Understand and appreciate that the Data Structure is an interface
2. Understand and appreciate that an interface can have multiple implementations
3. Master different types of data structures - linear and non-linear
4. Be in a position to choose the right data structure for a given problem
UNIT I
14 Hours
Review of object oriented programming concepts: Review of Programming paradigms,
Classes, Objects, Arrays, Input output, Recursion. Exception Handling, Interface, Inner
classes, Package, Abstract data type
List: Interface create, insert, delete, update, traverse. Node based Implementation, Head
node and tail node, Array implementation of a list, additional operations find, delete, and
reverse. Boundary conditions
UNIT II
9 Hours
Doubly linked list: interface, implementation Array implementation, Linked List based
implementation.
Stack, queue: Stacks interface, implementation Array implementation, Linked List
based implementation, Queues interface,
implementation array implementation,
Linked list based implementation. Application of stacks and queues
UNIT III
12 Hours
Circular list:
interface, implementation array implementation, linked list based
implementation, doubly ended queue. Introduction to Multi List
Trees: Introduction, Binary Tree, Interface and implementation. Binary Search Tree Insertion, Deletion and Traversals, expression trees, General purpose tree and forest
Representation as a binary tree. Heap tree, Trie trees, Suffix Tree
UNIT IV
9 Hours
Priority queue: interface, implementation array implementation unsorted,
sorted,
linked list based implementation. List of lists based implementation, Priority queue
implementation using Heap
Graph ADT: Data Structure for Graphs, Edge List, Adjacency List, Adjacency Matrix,
Overview of Directed Graphs and Weighted Graphs.
UNIT V
8 Hours
Generic programming: Generic classes, Generic Methods, Collections: Collection
interfaces, Lists, Set, Map. Concrete Collection, Collection Framework, Algorithm.
Text Books
1. Michael T GoodRich and Roberto Tamassia (2003), Data Structures and Algorithms
in Java, 4th Edition, Cornell.
2. Cay S. Horstmann & Gary, (2013), Core Java Vol 1. Fundamentals, 9th Edition,
Pearson
Course objectives
The objective of this course is to provide students with the concepts and skills needed to
answer questions that require drawing conclusions based on the analysis of data. It is
essentially a course in applied statistics, and will cover important statistical techniques such
as correlation, regression, estimation, hypothesis testing, and analysis of variance.
Course outcomes
Upon successful completion of the course, a student will be able to:
1. Demonstrate the ability to apply fundamental concepts in exploratory data analysis.
2. Design studies for obtaining data whilst avoiding common design flaws that incur
bias, inefficiency and confounding.
3. Demonstrate an understanding of the basic concepts of probability and random
variables.
4. Understand the concept of the sampling distribution of a statistic, and in particular
describe the behavior of the sample mean.
5. Understand the foundations for classical inference involving confidence intervals and
hypothesis testing.
6. Apply inferential methods relating to the means of Normal distributions.
UNIT I
8 Hours
Sampling and Descriptive Statistics: Introduction, Sampling, Summary Statistics,
Graphical Summaries
Probability: Introduction, Basic Ideas, Counting Methods, Conditional Probability and
Independence Random Variables. Linear Functions of Random Variables
UNIT II
10 Hours
Propagation of Error: Introduction, Measurement Error, Linear Combinations of
Measurements, Uncertainties for Functions of One Measurement, Uncertainties for
Functions of Several Measurements
Commonly Used Distributions: Introduction, The Bernoulli Distribution, The Binomial
Distribution, The Poisson Distribution, Some Other Discrete Distributions, The Normal
Distribution ,The Central Limit Theorem .
UNIT III
8 Hours
Confidence Intervals: Introduction, Large-Sample Confidence Intervals for a Population
Mean, Confidence Intervals for Proportions, Small-Sample Confidence Intervals for a
Population Mean, Confidence Intervals for the Difference Between Two Means.
UNIT IV
7 Hours
Hypothesis Testing: Introduction, Large-Sample Tests for a Population Mean, Drawing
Conclusions from the Results of Hypothesis Tests, Tests for a Population Proportion, SmallSample Tests for a Population Mean, Large-Sample Tests for the Difference between Two
Means
UNIT V
6 Hours
Correlation and Simple Linear Regression: Introduction, Correlation, the Least-Squares
Line, Uncertainties in the Least-Squares Coefficients, Checking Assumptions and
Transforming Data.
Textbook:
1. William Navidi (2013), Statistics for Engineers and Scientists, 3rd Edition, McGraw Hill
Education, India.
(UNIT I - 1.1-1.3, 2.1-2.5, UNIT II - 3.1-3.4, 4.1-4.5, 4.11, UNIT III - 5.1-5.4, UNIT IV
- 6.1-6.5, UNIT V - 7.1-7.4).
HTML5: Basics, Main features and semantic tags, Canvas in detail, Local Storage, Offline
browsing and input types, autofocus and placeholders.
UNIT V
10 Hours
PHP: Introduction, basics, string processing and regular expressions, form processing and
business logic, using cookies, dynamic content,
Text Books
1. Deitel and Deitel (2009), Internet and World Wide Web, How to Programme, 4th
Edition, Prentice Hall (Pearson) Publication.
2. Mark Pilgrim (2010), HTML5 Up and Running, 1st Edition, Google Press.
References
1. Tutorials: http://www.w3schools.com/
2. Tutorials: http://docs.oracle.com/javaee/5/tutorial
[(Unit I: Class Material, T1: 4.1-4.11, 5.1-5.9; Unit II: T1:6.1-6.7, 7.7-7.10, 8.1-8.9,
9.1-9.4, 9.8-9.11, 10.1-10.10, 11.3-11.9; Unit III: T1:12.1-12.6, 13.1-13.8; Unit IV:
T1:21.1-21.5, T2: Page 15-22, 41-52, 57-70, 127-134, 137-141, 147-155; Unit V: T1:
23.1-23.7 (excluding 23.5)]
equivalence relations, equivalence classes and partitions, partial orderings, hasse diagrams,
lattices.
UNIT III
10 Hours
Counting: basic counting principles sum rule and product rule, the pigeonhole principle,
applications of the pigeonhole principle, permutations and combinations, the binomial
theorem and the multinomial theorem, permutations with repetition, combinations with
repetition, Introduction to recurrence relations, solving recurrence relations.
UNIT IV
10 Hours
Graph theory Part 1: introduction to graph theory, basic terminologies directed &
undirected graphs, walks, paths and circuits, sub-graphs and complements, graph
isomorphism, vertex degree & regular graphs, Konigsberg bridge problem, Euler graphs,
Eulers formula, Hamilton graphs, traveling salesman problem.
UNIT V
8 Hours
Graph theory Part 2: planar graphs - definition & examples, detection of planarity,
bipartite & kuratowskis graphs, graph coloring: proper coloring & chromatic number of
graphs, chromatic polynomial, trees, rooted tree, ordered rooted tree, tree traversals,
spanning tree, minimum spanning tree.
Textbook
1. Kenneth H. Rosen (Indian adaptation by Kamala Krithivasan) (2011), Discrete
Mathematics and its Applications, 7th Edition, Tata McGrawHill.
Reference Books
1. Ralph P. Grimaldi and B V Ramana, (2011), Discrete and Combinatorial
Mathematics: an Applied Introduction, 5th Edition, Pearson.
2. Narsingh Deo (2004), Graph Theory with Applications to Engineering and Computer
Science, Prentice Hall India.
3. J.P Tremblay & R. Manohar (1997), Discrete Mathematical Structures with
Applications to Computer Science, McGrawHill
(Unit I: T1: 1.1 1.6; Unit II: T1: 2.1- 2.3, 7.1, 7.5, 7.6; Unit III: T1: 5.1 5.4, 6.1
6.3; Unit IV: T1: 8.1 8.5; Unit V: T1: 8.7 8.8, 9.1, 9.3 9.5)
UE14CS206:
DIGITAL
LABORATORY (0-0-2-0-1)
DESIGN
AND
COMPUTER
ORGANIZATION
Course objectives
The objective of this course is to introduce the organization of a computer and its principal
components, viz, ALU, Control, Memory and Input/output. The course will also enable the
student to understand the design components of a digital subsystem that required realizing
various components such as ALU, Control, etc.
Course outcomes
Upon successful completion of the Lab course, a student will be able to:
1. An ability to implement basic gates and their operations.
2. An ability to understand and implement Flip Flops
3. An ability to understand and implement Multiplexers
4. An ability to understand and implement shift registers and counters
5. An ability to understand and implement Encoders and Decoders
6. An ability to understand and implement Half adder and Full adder
: Must be able to build a small 8 bit processor that supports reading from memory (16 bytes),
Execute 3 instructions, and add/subtract/stop. All operations are to be performed on set of 4
registers. Must implement program counter and decoder to fetch the next instruction.
Experiment #1: Implement basic gates
Experiment #2: Implement flip-flops
Experiment #3: Implement a multiplexor
Experiment #4: Implement a decoder
Experiment #5: Implement a 8 bit shift register
Experiment #6: Implement a counter
Experiment #7: Implement an 8 bit adder
Experiment #8: Implement an 8 bit multiplier
Experiment #9: Implement a load to register instruction
Experiment #10: Implement a store to memory instruction
Experiment #11: Implement an add (reg, reg, reg) instruction
Experiment #12: Implement a program counter
MiniProject: Put all of above together to build a mini-processor
development of algorithms will be studied, so that the effect of problem size and architecture
design on the efficiency of the algorithm is appreciated. Proving the correctness of the
algorithms is one of the objectives for this course.
Course outcomes
Upon successful completion of the course, a student will be able to:
1.
2.
3.
4.
UNIT I
8 Hours
Introduction: Algorithms, fundamentals of algorithmic problem solving, important problem
types, analysis framework, asymptotic notations and basic efficiency classes, mathematical
analysis of non recursive and recursive algorithms, examples.
UNIT II
10 Hours
Brute force: Selection sort, Bubble sort, sequential searching, string matching, Exhaustive
search.
Divide & conquer: Mergesort, Quicksort, Binary search, binary tree traversals and
properties, multiplication of large integers and Strassens matrix multiplication.
UNIT III
10 Hours
Decrease and conquer : Insertion sort, depth first and breadth first search, topological
sorting, Algorithm for generating Combinatorial objects, decrease-by-constant- factor
algorithms
Transform and conquer: Presorting, Gaussian Elimination, Balanced Search Trees, Heaps
& Heapsort, Horners rule and Binary exponentiation.
UNIT IV
16 Hours
Space and time tradeoffs: Input enhancement in string matching, sorting by counting,
Hashing, B-trees
Dynamic Programming: Computing a binomial coefficient, Warshalls and Floyds
algorithms, knapsack problem and memory functions.
Greedy Technique: Prims algorithm, Kruskals algorithm, Dijkstras algorithm, Huffman
trees.
UNIT V
8 Hours
Limitations of algorithm power: Lower bound arguments, decision trees, P, NP and NP
complete problems.
Coping up with limitations of algorithm power: Backtracking, Branch and Bound,
Approximation algorithms for NP hard problems.
Text Books
1. Anany Levitin (2011), Introduction to The Design and Analysis of Algorithms, 2nd
Edition, Pearson Education (updated version of the book)
(Unit I: T1: 1.1 1.3, 2.1 2.5; Unit II: T1: 3.1, 3.2, 3.4, 4.1 4.3, 4.5; Unit III: T1: 5.1
5.5, 6.1 6.5; Unit IV: T1: 7.1 7.4, 8.1,8.2 8.4, 9.1 9.4; Unit V: T1: 11.1
11.3, 12.1 12.3)
Reference Books
1. Jon Kleinberg & Eva Tardos (2006), Algorithm Design, Pearson Education.
10 Hours
UNIT II
10 Hours
Regular languages and grammars: Regular expressions, equivalence of regular
expressions, regular languages and finite automata, regular expressions in practice, regular
grammars, their construction and equivalence to finite automata.
Properties of regular languages: Closure properties of regular languages, answering
questions about regular languages, pumping lemma and identifying non-regular languages.
UNIT III
6 Hours
Context-Free Languages and Grammars: Context-free grammars, parsing and ambiguity,
constructing context-free grammars, conversion to Chomsky and Greibach normal forms, a
membership algorithm for context-free languages, simple and linear grammars.
UNIT IV
6 Hours
Pushdown Automata: Non-deterministic pushdown automata, constructing pushdown
automata, equivalence of pushdown automata and context-free grammars.
Properties of context-free languages: Closure properties and questions about context-free
languages, pumping lemma for context-free languages.
UNIT V
9 Hours
Turing machines: The standard Turing machine, constructing Turing machines, variations
of Turing machines, universal Turing machine, Church-Turing thesis.
Chomsky hierarchy of formal languages: Recursive and recursively enumerable
languages, diagonalization, unrestricted grammars, context-sensitive grammars and
languages, linear-bounded automata, deterministic pushdown automata and deterministic
context-free languages.
Introduction to computability and undecidability: Post Correspondence Problem, the
halting problem of Turing machines, undecidable problems, overview of computational
complexity.
Text book
1. Kavi Mahesh (2012), Theory of Computation: A Problem-Solving Approach, Wiley
India, New Delhi.
(UNIT I - 1.1 1.8, 2.1 2.12, 3.1 3.5; UNIT II - 4.1 4.9, 5.1 5.6, 6.1 6.5;
UNIT III - 7.1 7.12; UNIT IV - 8.1 8.8, 9.1 9.6; UNIT V - 10.1 10.10, 11.1
11.13, 12.1 12.10)
Reference Books
1. Peter Linz (2011), An Introduction to Formal Languages and Automata, 5th Edition,
Jones and Bartlett, New Delhi, India.
2. John E. Hopcroft, Rajeev Motwani, Jeffrey D. Ullman (2009), Introduction to
Automata Theory, Languages, and Computation, 3rd Edition, Pearson Education,
Delhi, India..
3. Michael Sipser (2008), Theory of Computation, Cengage Learning, New Delhi, India.
Course outcomes
Upon successful completion of the Lab course, a student will be able to:
1. Understand and implement asymptotic analysis of time and space of algorithms
2. Master various paradigms of algorithm design
3. Implement design techniques to various types of problems
4. Experience the limitations of algorithms
Program #1: Using Brute Force Design Technique, implement the following
a) Bubble Sort
b)Sequential Search
Program #2: Using Brute Force Design Technique, implement the following
a) Selection Sort
b) String Matching Algorithm
Program #3: Implement the following using Exhaustive search
a) Travelling Salesman Problem
b) Job Assignment Problem
Program #4: Implement the following using Divide and Conquer
a) Merge Sort
b)Binary Search
Program #5: Implement the Quick sort algorithm using divide and Conquer Technique
Program #6: Implement using decrease and conquer:
a) Traverse a digraph in BFS and DFS and print all the reachable nodes
Program #7: Implement the following using decrease and Conquer
a) Check whether a given graph is connected or not using DFS method
b) Obtain the topological ordering of vertices in a digraph
Program #8: Implement the following using transform and Conquer
a) Heap Sort
b) Horspool String Matching algorithm
Program #9:
Implement the following using Dynamic Programming Technique
a) Check whether the graph is connected or not using Warshalls algorithm
b) All pairs shortest path problem using Floyds algorithm
Program #10: Implement the following using Dynamic Programming Technique
a) 0/1 knapsack problem
b) Finding Binomial coefficient
Program #11: Implement the following using Greedy technique
a) Prims algorithm to find the Minimum Spanning Tree
b) Kruskals algorithm to find the Minimum Spanning Tree
c) Dijkstras algorithm to find the shortest path from a source to all the other vertices
Program #12: Implement the following using backtracking
a) Sum of subset problem
b) N queens problem
1. Able to login and use interactive SQL terminal. Distinguish between terminal
commands and SQL queries.
2. Able to create database with different types of integrity constraints.
3. Able to insert and update database using SQL queries.
4. Able to retrieve data using various SQL commands.
5. Work together as a team, create and submit a simple database application that
demonstrates understanding of all the above.
6. Able to perform basic queries using a non relational database.
Program #1: Practice on introductory concepts of relations attributes, keys etc.
Program #2: Design a database schema using a ERD tool
Program #3: Create a database with proper constraints and populate the corresponding
tables.
Program #4: Write and execute simple SQL Queries on the given database Schema.
Program #5:
Schema.
Write and execute more complex SQL Queries on the given database
Program #6: Write and execute SQL DDL commands and updates.
Program #7: Introduction to MongoDB
Program #8: More advanced practice on MongoDB
Program #9:
Transaction
Concurrency, Savepoints.
Management
Practice:
Isolation
Levels,
UE14CS257: MICROPROCESSORS
LABORATORY (0-0-2-0-1)
AND
COMPUTER
ARCHITECTURE
Course objectives
The course is intended to create an appreciation for contemporary concepts in high
performance mutli core super scalar architectures and appreciate their implementation in
modern multi processors
Course outcomes
Upon successful completion of the Lab course, a student will be have
1. An ability to understand and implement assembly code on Raspberry PI
2. An ability to understand and implement assembly code using interfaces (4 digits LED
display, temperature controller, controlling servo motors etc)
Experiment #1: Setup of Raspberry Pi monitor, keyboard, storage and OS
Experiment #2: Setting up Configuration networking, monitoring processor activity,
Experiment #3: Effect of cache on performance how row major/column major has impact
on cache performance.
Experiment #4: Write a simulator to understand difference between a set associative cache
and an address mapped cache. (Non raspberry pi based)
PESU Student Handbook 2015-16 108
Text books
1. William Stallings, (2013), Operating Systems: Internals and Design Principles, 6th
Edition, Prentice Hall.
2. Gary Nutt, (2014), Operating Systems, 3rd Edition, Pearson.
Reference books
1. Silberschatz, Galvin, Gagne, (2008), Operating System Concepts, 8th Edition, Wiley,
2. Andrew S. Tanenbaum, Albert S. Woodhull, (2006), Operating Systems, Design and
Implementation, 3rd Edition, Prentice Hall,
3. Pradeep K Sinha, (2007), Distributed Operating Systems, Concept and Design, PHI,
3. Mark Allen Weiss, (1994), Data Structures and Algorithm Analysis in C++,
4. Alfred V. Aho, Jeffrey D. Ullman, Data Structures and Algorithms
Reference books
1. Michael Sherman, (2010), Spatial Statistics and Spatio-Temporal Data:
Covariance Functions and Directional Properties, Wiley.
UE15CS503: MACHINE LEARNING TECHNIQUES (4-0-0-0-4)
Course objectives
1. To understand the basic concepts of learning and decision trees.
2. To understand various techniques such as Bayesian techniques, instant based
learning, neural networks and genetic algorithms.
3. To appreciate the analytical learning and reinforced learning methods.
Course outcomes
Upon successful completion of the course Student should be able to:
1. Understand the key algorithms and theory that form the foundation of machine
learning and computational intelligence
2. Identify and apply the appropriate machine learning technique to classification,
pattern recognition, optimization and decision problems.
3. Compare and contrast different machine learning algorithms.
4. Design and implement a basic classification system.
UNIT I
10 Hours
Introduction, concept learning and decision trees: Learning Problems Designing
Learning systems, Perspectives and Issues Concept Learning Version Spaces and
Candidate Elimination Algorithm Inductive bias Decision Tree learning Representation
Algorithm Heuristic Space Search.
UNIT II
10 Hours
Neural networks and genetic algorithms: Neural Network Representation Problems
Perceptrons Multilayer Networks and Back Propagation Algorithms Advanced Topics
Genetic Algorithms Hypothesis Space Search Genetic Programming Models of
Evolution and Learning.
UNIT III
12 Hours
Bayesian and computational learning: Bayes Theorem Concept Learning Maximum
Likelihood Minimum Description Length Principle Bayes Optimal Classifier Gibbs
Algorithm Nave Bayes Classifier Bayesian Belief Network EM Algorithm Probably
Learning Sample Complexity for Finite and Infinite Hypothesis Spaces Mistake Bound
Model.
UNIT IV
10 Hours
Instant based learning and learning set of rules: K- Nearest Neighbor Learning Locally
Weighted Regression Radial Basis Functions Case-Based Reasoning Sequential
Covering Algorithms Learning Rule Sets Learning First Order Rules Learning Sets of
First Order Rules Induction as Inverted Deduction Inverting Resolution
UNIT V
10 Hours
Analytical learning and reinforced learning: Perfect Domain Theories Explanation
Based Learning Inductive-Analytical Approaches - FOCL Algorithm Reinforcement
Learning Task Q-Learning Temporal Difference Learning
PESU Student Handbook 2015-16 113
Text book
1. Tom M. Mitchell,(2013), Machine Learning, McGraw-Hill Education (INDIAN
EDITION) .
Reference Book
1. Ethem Alpaydin, (2013), Introduction to Machine Learning, 2nd Ed., PHI Learning
Pvt. Ltd.
T. Hastie, R. Tibshirani, J. H. Friedman, (2001), The Elements of Statistical
Learning, Springer; 1st edition.
3. Foster Provost and Tom Fawcett,(2013), Data Science for Business, O'Reilly,
4. Anasse Bari, (2014), Predictive Analytics for Dummies, Wiley,
Reference Books
Web resources for Programming in R.
Symmetric
and
Asymmetric
storage
virtualization
in
the
Network.
Storage Management: Device Management, NAS Management SAN Management: Storage
GPGPU: Understanding
programming model
GPU
architecture,
OpenCL
programming
model,
CUDA
Text books
1. Shameem Akhter and Jason Roberts, (2006), Multicore Programming, Increased
Performance through Software Multi-threading, Intel Press,
Reference Books
1. Hennessey and Patterson, Computer Architecture: A Quantitative Approach, 5th
Edition,
2. OpenCL Programming Guide, CUDA programming guide
3. Xeon Phi coprocessor architecture and tools
4. Mattson: Introduction to OpenMP from the OpenMP website
Factorial Designs, General full factorial designs with k factors: Model, Analysis of a General
Design, Informal Methods.
Queuing models: Introduction- Queuing Notation; Rules for all Queues; Littles Law, Types
of Stochastic Process. Analysis of Single Queue: Birth-Death Processes; M/M/1 Queue;
M/M/m Queue; M/M/m/B Queue with finite buffers; Results for other M/M/1 Queuing
Systems.
Text Books
1. Raj Jain, (2013), The Art of Computer Systems Performance Analysis, John Wiley
and Sons.
Reference Books
1. Paul J Fortier, Howard E Michel, (2003), computer Systems Performance Evaluation
and prediction, Elsevier,
2. Trivedi K S, (2001), Probability and Statistics with Reliability, Queuing and Computer
Science Applications, 2nd Edition, Wiley India,
11 Hours
UNIT I
9 Hours
Introduction: From Browsers to Rich Clients browser drawbacks, A solution rich clients,
Rich clients today. Web 1.0: HTML, URLs and HTTP, The WEB Model and REST
resources, representations, state, transfer using HTTP methods. XML, XPATH and XSLT
XML Support in browsers, XPath support in browsers, XSL Transformation support in
Browsers
UNIT II
11 Hours
AJAX-I: Basic communication techniques Hidden Frames GET and POST requests, XHR,
AJAX with images, Dynamic script loading, Cache control. AJAX patterns: Communication
control patterns predictive fetch, page preloading, submission throttling, periodic refresh,
multi-stage download. Fallback patterns, AJAX libraries JQuery
UNIT III
10 Hours
AJAX-II: Networking Considerations - Timeouts, retries, handling server errors, handling
content errors, dealing with multiple requests, beyond the two connection limit, multiplexing
requests, order is not guaranteed, race conditions, ensuring communication robustness,
improving AJAX performance, Caching.
Request Management Priority Queues, The RequestManager object, using
RequestManager.
UNIT IV
12 Hours
RSS and Security Considerations: JSON Array, object, mixing literals, syntax,
encoding/decoding, JSON versus XML, server-side JSON tools.
Syndication with RSS and Atom RSS, Atom, XParser, Creating a news ticker, Web search
with RSS
Security: Web Attack surfaces, Web Application reconnaissance review, attack review, Ajax
security differences, Javascript security, security policy, Ajax and authentication, Cross site
scripting.
UNIT V
10 Hours
Reverse AJAX and Web services: COMET: HTTP streaming request delays, file
modification example, using Iframes, browser-specific approaches, server-sent DOM events,
connection management and server-side support.
Introduction to Service Oriented Architecture, Combining protocols to build Web services
clarifying web services, REST Services, WS-* Web services using SOAP and WSDL, REST
vs WS-* services.
Text Books
1. Nicholas C Zakas et al, (2007), Professional AJAX, 2nd Edition, Wrox publications,
2. Thomas. A. Powell ,(2008), AJAX, The Complete Reference, Tata McGraw Hill,
3. Eric Van Der VList et al, (2007), Professional Web 2.0 Programming, Wrox
Publications,
4. Thomas Erl,(2005), SOA: Concepts, Technology and Design, Pearson,
10 Hours
12 Hours
applications framework that runs on distributed clusters. This lets it scale to huge datasets. If
you need analytic information from your data, Hadoop's the way to go.
This course introduces the subject and teaches you how to write programs in the
MapReduce style. It starts with a few easy examples and then moves quickly to show
Hadoop use in more complex data analysis tasks. Included are best practices and design
patterns of MapReduce programming.
This course requires basic Java skills. Knowing basic statistical concepts can help with the
more advanced examples.
Course Outcomes
Upon successful completion of the course Student should be able to:
1. Model and implement efficient big data solutions for various application areas using
appropriately selected algorithms and data structures.
2. Motivate and explain trade-offs in big data processing technique design and analysis
in written and oral form.
3. Explain the Big Data Fundamentals, including the evolution of Big Data, the
characteristics of Big Data and the challenges introduced.
4. Evaluate Service-oriented technologies and their potential for business
transformation.
UNIT I
12 Hours
Introducing Hadoop: Why Hadoop in Action?, What is Hadoop?, Understanding
distributed systems and Hadoop, Comparing SQL databases and Hadoop, Understanding
MapReduce, Counting words with Hadooprunning your first program, History of Hadoop,
Summary, Resources
Starting Hadoop:The building blocks of Hadoop, Setting up SSH for a Hadoop cluster,
Running Hadoop, Web-based cluster UI, Summary
Components of Hadoop:Working with files in HDFS, Anatomy of a MapReduce program,
Reading and writing, Summary
UNIT II
12 Hours
Writing basic MapReduce programs:Getting the patent data set, Constructing the basic
template of a MapReduce program, Counting things, Adapting for Hadoops API changes,
Streaming in Hadoop, Improving performance with combiners, Exercising what youve
learned, Summary, Further resources
Advanced MapReduce:Chaining MapReduce jobs, Joining data from different sources,
Creating a Bloom filter, Exercising what youve learned, Summary, Further resources
Programming practices:Developing MapReduce programs, Monitoring and debugging on a
production cluster, Tuning for performance, Summary
Cookbook:Passing job-specific parameters to your tasks, Probing for task-specific
information, Partitioning into multiple output files, Inputting from and outputting to a
database, Keeping all output in sorted order, Summary
UNIT III
8 Hours
Managing Hadoop:Setting up parameter values for practical use, Checking systems health,
Setting permissions, Managing quotas, Enabling trash, Removing DataNodes, Adding
DataNodes, Managing NameNode and Secondary NameNode, Recovering from a failed
NameNode, Designing network layout and rack awareness, Scheduling jobs from multiple
users, Summary
Running Hadoop in the cloud:Introducing Amazon Web Services, Setting up AWS, Setting
up Hadoop on EC2, Running MapReduce programs on EC2, Cleaning up and shutting down
your EC2 instances, Amazon Elastic MapReduce and other AWS services, Summary
Programming with Pig:Thinking like a Pig, Installing Pig, Running Pig, Learning Pig Latin
through Grunt, Speaking Pig Latin, Working with user-defined functions, Working with
scripts, Seeing Pig in actionexample of computing similar patents, Summary
PESU Student Handbook 2015-16 131
UNIT IV
12 Hours
Hive and the Hadoop herd: Hive, Other Hadoop-related stuff, Summary
Resource management: Apache Yarn, Getting Started with YARN, Yarn Architecture,
Resource Management under Yarn, Mesos, Mesos Architecture, Resource Management
under Mesos
Case studies: Converting 11 million image documents from the New York Times archive,
Mining data at China Mobile, Recommending the best websites at Stumble Upon, Building
analytics for enterprise searchIBMs Project ES2
UNIT V
8 Hours
Streaming big data:Distributed Streaming Data Processing, Coordination, Partitions and
Merges, Transactions, Processing Data with Storm, Components of a Storm Cluster,
Configuring a Storm Cluster, Distributed Clusters, Local Clusters, Storm Topologies,
Implementing Bolts, Implementing and Using Spouts, Distributed Apache YARN, and
Samza, Integrating Samza into the Data Flow, Samza Jobs, Apache Spark, RDDs, using
Spark for iterative programming, Spark scheduling
Text books
1. Chuck Lam, James Warren, (2010), Hadoop in Action, 2nd edition, Manning
Publications
2. Srinath Perera, Thilina Gunarathne,(2013), Hadoop MapReduce Cookbook, Packt
publishing,
References:
Papers and material from the Internet
10 Hours
Cloud applications: Technologies and the processes required when deploying web
services; Deploying a web service from inside and outside a cloud architecture, advantages
and disadvantages
UNIT III
12 Hours
Cloud services management: Reliability, availability and security of services deployed from
the cloud. Performance and scalability of services, tools and technologies used to manage
cloud services deployment;
Cloud Economics: Cloud computing infrastructures available for implementing cloud based
services. Economics of choosing a Cloud platform for an organization, based on application
requirements, economic constraints and business needs (e.g Amazon, Microsoft, Google,
Salesforce.com)
UNIT IV
10 Hours
Application development: Service creation environments to develop cloud based
applications. Development environments for service development; Amazon, Azure, Google
App
UNIT V
10 Hours
Best Practices cloud IT model: Analysis of Case Studies when deciding to adopt cloud
computing architecture. How to decide if the cloud is right for your requirements. Cloud
based service, applications and development platform deployment so as to improve the total
cost of ownership (TCO)
Text books
1. Dimitris N. Chorafas, (2010), Cloud Computing Strategies, CRC Press
2. Toby Velte, Anthony Velte, Robert Elsenpeter,(2009), Cloud Computing, A
Practical Approach, McGraw Hill Professional
3. Gautam Shroff,(2010), Enterprise Cloud Computing - Technology Architecture
Applications, Cambridge
References
Web resources from the Internet
UNIT II
10 Hours
Managing Data and Resources: Infrastructure resource management, Data and
Networking security, Data Protection design issues, backup/restore, Business Continuity,
Disaster Recovery. Metrics and Measurement. Snapshots, Copy on Write
UNIT III
10 Hours
Technology Tools and Solution Options: Data Footprint Reduction: Single instancing,
data deduplication, storage capacity optimization
UNIT IV
10 Hours
Storage Services block v/s object, Object Storage: Swift architecture, data model, server
processes, consistency processes, locating data, Swift Basics and API, Application design
with Swift.
Block storage: Cinder: Architecture, Components, Deployment
UNIT V
12 Hours
Software Defined Storage: Unified Storage, Ceph Architecture RADOS, Object Storage
system, Ceph Block storage, Ceph filesystem, Ceph Internals locating objects, Recovery
and Rebalancing, Placement Groups, Pools, Deploying Ceph, Monitoring a ceph cluster.
Text Books
1. Greg Schulz, (2012), Cloud and Virtual Data Storage Networking, CRC Press,
2. Joe Arnold, (2014), OpenStack Swift: Using, Administering and Developing for
Openstack Swift Storage, OReilly Media,
3. Karan Singh, (2015), Learning Ceph, PACKT Publishing Limited,
References
1. Openstack documentation docs.openstack.org
10 Hours
moving the ship, firing missiles, controlling the missile rate, collisions and explosions, adding
levels, store keeping and theme music.
Text book
1. Michael DAWSON, (2010), Python Programming, 3rd Edition, Course technology
PTR,
pattern, implementing the DAO patterns Using ANT to deploy applications, creating high
performance Java applications, understanding and isolating types of problems, logging and
memory usage problems. Revision
Text books
1. Herbert Schildt, (2007), Java2, complete Reference, 7th Edition, Tata McGraw Hill,
2. James McGoven,(2003), J2EE 1.4 Bible, Wiley,
2.
3.
4.
5.
Course Outcomes
Upon successful completion of the course Student should be able to:
1. Understand Web Services and its Infrastructure.
2. The role of web services in commercial applications
3. The principles of web service provision.
4. Know to utilize semantics in Web services.
5. Know basic components of Web services technology that are above the messaging,
description and discovery.
6. Use of BPEL (Business Process Execution Logic) and WSDL (Web Service
Description Language) for implementing web services.
UNIT I
10 Hours
Middleware: Understanding the middle ware, RPC and Related Middle ware, TP Monitors,
Object Brokers, Message - Oriented Middleware.
UNIT II
Web services: Web Services Technologies, Web Services Architecture.
10 Hours
UNIT III
11 Hours
Basic web services technology: WSDL Web Services Description Language, UDDI
Universal Description Discovery and Integration, Web Services at work interactions between
the Specifications, Related Standards.
UNIT IV
10 Hours
Service coordination protocols: Infrastructure for Coordination Protocols, WSCoordination, WS- Transaction, Rosetta Net and Other Standards Related to Coordination
Protocols.
UNIT V
11 Hours
Service composition: Basic of Service Composition, A New Chance of Success for
Composition, Services Composition Models, Dependencies between Coordination and
Composition, BPEL: Business Process Execution Language for Web Services, Outlook,
Applicability of the Web Services, Web services as a Problem and a Solution : AN Example.
Text Book
1. Gustavo Alonso, Fabio Casati, Harumi Kuno, Vijay Machiraju, (2009), Web Services
(Concepts, Architectures and Applications), Springer International Edition,.
outputs and quality records from the requirements phase, skill sets required during
requirements phase, differences for a shrink-wrapped software, challenges during the
requirements management phase, Metrics for requirements phase.
Estimation: What is Estimation? When and why is Estimation done? The three phases of
Estimation, Estimation methodology, formal models for size Estimation, Translating size
Estimate into effort Estimate, Translating effort Estimates into schedule Estimate, common
challenges during Estimation , Metrics for the Estimation processes.
Design and development phases: Some differences in our chosen approach, salient
features of design, evolving an architecture/ blueprint, design for reusability, technology
choices/ constraints, design to standards, design for portability, user interface issues, design
for testability, design for diagnose ability, design for maintainability, design for install ability,
inter-operability design, challenges during design and development phases, skill sets for
design and development, metrics for design and development phases.
UNIT IV
10 Hours
Project management in the testing phase: Introduction, What is testing?, what are the
activities that makeup testing?, test scheduling and types of tests, people issues in testing,
management structures for testing in global teams, metrics for testing phase.
Project management in the maintenance phase: Introduction, Activities during
Maintenance Phase, management issues during Maintenance Phase, Configuration
management during Maintenance Phase, skill sets for people in the maintenance phase,
estimating size, effort, and people resources for the maintenance phase, advantages of
using geographically distributed teams for the maintenance phase, metrics for the
maintenance phase.
UNIT V
10 Hours
Globalization issues in project management: Evolution of globalization, challenges in
building global teams, Models for the execution of global projects, some effective
management techniques for managing global teams.
Impact of the internet on project management: Introduction, the effect of internet on
project management, managing projects for the internet, Effect on the project management
activities.
People focused process models: Growing emphasis on people centric models, people
capability maturity model (P-CMM), other people focused models in the literature, how does
an organization choose the models to use?
Text Book
1. Ramesh Gopalaswamy, (2013), Managing Global Projects , Tata McGraw Hill,
Reference Books
1. Watts Humphrey, (2010), Managing the Software Process , Pearson Education, New
Delhi
2. Pankaj Jalote,(2002), Software Project Management in practice, Pearson Education,
New Delhi,
Course Objectives
The objective of the course is to focuses on providing comprehensive overview of major
existing M2M and AMI protocols, System level architecture, interworking and illustrations
with large scale applications
Course Outcomes
Upon successful completion of the course Student should be able to:
1. Describe the Internet and its evolution to the Internet of Everything
2. Understand the four pillars of IoE and how its innovations can transform businesses
3. Understand the interconnection of people, process, data, and things that forms the
Internet of Everything
4. Configure non-IP-enabled and IP-enabled devices to communicate in the Internet of
Things
5. Understand security concerns that must be considered when implementing IoE
solutions the architects of the Internet of Everything.
6. Describe M2M, M2P, and P2P interactions and review an example of an IoE solution
at a modeled winery recognized career certifications.
UNIT I
12 Hours
Machine to Machine (M2M) Introduction: What is M2M? Relationship of M2M in IoT,
Evolution and Applications
M2M area network physical layers:IEEE 802.15.4: IEEE 802 Committee Family of
Protocols, The physical layer, Media Access control layer, Uses of 802.15.4, Futures of
802.15.4e/g
Powerline communication for M2M applications: Overview of PLC Technologies, PLC
Landscape, Powerline Communication, Ideal PLC System for M2M
UNIT I
12 Hours
Legacy M2M protocols for sensor networks: Building Automation and Home Automation
Protocol exploration: BACnetTM Protocol, LonWorks R Control Networking Platform,
ModBus, KNX, ZigBee, ZWave
UNIT III
8 Hours
Legacy M2M protocols for utility metering:
Exploration of the following protocols: M-Bus and Wireless M-Bus, The ANSI C12 Suite,
DLMS/COSEM
UNIT IV
12 Hours
IP-based protocols: 6LoWPAN and RPL, ZigBee Smart Energy 2.0, ETSI M2M System
Architecture, Resource Structure, SCL Resources
UNIT V
8 Hours
Key applications of the internet of things: The Smart Grid, Electric Vehicle Charging
Text Book
1. Olivier Hersent, David Boswarthick, Omar Elloumi, (2012), The Internet of Things:
Key Applications and Protocols, 2nd Edition WILEY.
References
Internet Based whitepapers and other resources
The course offers in-depth case studies for website analytics, Big Data, visualizing streaming
and mobile data, and mining and visualizing operational data flows. From a description of the
overall analytic architecture of real-time analytics to using specific tools to obtain targeted
results, Real-Time Analytics leverages open source and modern commercial tools to
construct robust, efficient systems that can provide real-time analysis in a cost-effective
manner. The course includes:
1. A deep discussion of streaming data systems and architectures
2. Instructions for analyzing, storing, and delivering streaming data
3. Tips on aggregating data and working with sets
4. Information on data warehousing options and techniques
Course Outcomes
Upon successful completion of the course Student should be able to:
1. Understand designing of streaming architectures
2. Analyse unreliable Network Connections and clock Synchronization
3. Analyse data flow management in streaming
UNIT I
12 Hours
Introduction to streaming data: Sources of Streaming Data, Operational Monitoring, Web
Analytics, Online Advertising , Social Media, Mobile Data and the Internet of Things, Why
Streaming Data Is, Different, Always On, Always Flowing, Loosely Structured, HighCardinality Storage , Infrastructures and Algorithms, Conclusion
Designing Real-Time Streaming Architectures:Real-Time Architecture Components,
Collection, Data Flow, Processing, Storage, Delivery, Features of a Real-Time Architecture,
High Availability, Low Latency , Horizontal Scalability, Languages for Real-Time
Programming, Java, Scala and Clojure, JavaScript, The Go Language, A Real-Time
Architecture Checklist, Collection, Data Flow, Processing, Storage, Delivery, Conclusion
UNIT II
12 Hours
Service configuration and coordination:Motivation for Configuration and Coordination
Systems, Maintaining Distributed State , Unreliable Network Connections, Clock
Synchronization, Consensus in an Unreliable World, Apache ZooKeeper, The znode,
Watches and Notifications, Maintaining Consistency, Creating a ZooKeeper Cluster,
ZooKeepers Native Java Client, The Curator Client, Curator Recipes, Conclusion
Data-flow management in streaming analysis:Distributed Data Flows, At Least Once
Delivery, The n+1 Problem, Apache Kafka: High-Throughput Distributed Messaging,
Design and Implementation, Configuring a Kafka Environment, Interacting with Kafka
Brokers, Apache Flume: Distributed Log Collection, The Flume Agent, Configuring the
Agent, The Flume Data Model, Channel Selectors, Flume Sources, Flume Sinks, Sink
Processors, Flume Channels, Flume Interceptors, Integrating Custom Flume Components,
Running Flume Agents, Conclusion
Processing streaming data:Distributed Streaming Data Processing, Coordination,
Partitions and Merges, Transactions, Processing Data with Storm, Components of a Storm
Cluster, Configuring a Storm Cluster, Distributed Clusters, Local Clusters, Storm Topologies,
Implementing Bolts, Implementing and Using Spouts, Distributed Remote Procedure Calls,
Trident: The Storm DSL, Processing Data with Samza, Apache YARN, Getting Started with
YARN and Samza, Integrating Samza into the Data Flow, Samza Jobs, Conclusion
UNIT III
8 Hours
12 Hours
8 Hours
Approximating streaming data with sketching: Registers and Hash Functions, Registers,
Hash Functions, Working with Sets, The Bloom Filter, The Algorithm, Choosing a Filter Size,
Unions and Intersections, Cardinality Estimation, Interesting Variations, Distinct Value
Sketches, The Min-Count, Algorithm, The HyperLogLog Algorithm, The Count-Min Sketch,
Point Queries, Count-Min Sketch Implementation, Top-K and Heavy Hitters, Range and
Quantile Queries, Other Applications, Conclusion
Beyond aggregation: Models for Real-Time Data, Simple Time-Series Models, Linear
Models, Logistic Regression, Neural Network Models, Forecasting with Models, Exponential
Smoothing Methods, Regression Methods, Neural Network Methods, Monitoring, Outlier
Detection, Change Detection, Real-Time Optimization, Conclusion
Text books
1. Byron Ellis, (2014), Real-Time Analytics: Techniques to Analyze and Visualize
Streaming Data,
Reference Books
1. Stephan Kudyba, (2014), Big Data, Mining, and Analytics: Components of Strategic
Decision Making, Auerbach Publications
2. Peter Zadrozny, (2013) , Raghu Kodali ,Big Data Analytics Using Splunk , Paperback
(use pre formatted date that complies with legal requirement from media matrix),
4. Understand the impact of big data for business decisions and strategy
UNIT I
10 Hours
Introduction to big data:What is Big Data, Challenges of Big Data and Benefits of Big
Data? The Business transformation Imperative, Discuss on the Big Data Business Model
Maturity Index and Identify specific Business Areas where Big Data can deliver value:
Big data lessons from history: Business Impact of Big Data, Managing using the right
metrics, Data monetizing opportunities
UNIT II
8 Hours
Organizational impacts of big data:Data Analytics Lifecycle, Data Scientist Roles and
Responsibilities, New Organizational Roles, Liberating Organizational creativity
UNIT III
10 Hours
Understanding decision making: Business Intelligence Challenge, The death of why, Big
Data UI ramifications, Human Challenge of Decision making. Big Data Strategy and Big Data
Strategy Document. Illustrations of Big Data Strategy
Understanding Big Data value creation drivers. Valuation creation Models
UNIT VI
12 Hours
Big Data User Experience, Key decisions to build a relevant user experience, Using Big data
to improve customer engagement, uncovering and leveraging customer insights, and
Identifying big data use cases
Analytics for consumer Reports, Analytics for Financial Services, Analytics for Logistics, Best
Practices of Big Data, Management, Architecture, Data Modelling and Data Governance
UNIT V
12 Hours
Launching a big data journey:Explosive Data growth drives Business opportunities,
Driving Business and IT stakeholder collaboration, Operationalizing Big Data insights,
Powering value creation process
Emerging big data practices: Building Big Data Teams, Management of Big Data Teams,
Construction of Big Data Competence, Outsourcing of Big Data Analytics, Proactive Big
Data policy creation, Use of multiple Big Data Strategies
Text books
1. Bill Schmarzo,(2013), Big Data: Understanding How Data Powers Big Businesses ,
Wiley Publications
2. Joni Salminen, Valtteri Kaartemo, Big Data: Definitions, Business Logics, and Best
Practices to Apply in Your Business, (Books for Managers Book 2) Valtteri Kaartemo
(Editor), Kindle Edition
References
Internet based references
This course deals with cloud administration and the activities and the terminologies around
the same. This course will equip students with the in-depth knowledge and techniques to be
able to administer and be part of a cloud operations team.
Course Outcomes
Upon successful completion of the course Student should be able to:
1. Understand distributed System approaches
2. Understand distributed System approaches
3. Understand Service Delivery Strategies and Virtuous cycle of quality
4. Analyse different approaches to Automation and uses of monitoring
UNIT I
10 Hours
Cloud Operations: Operations in a distributed World- Distributed Systems Operations, SRE
versus Traditional Enterprise IT, Change versus Stability, Defining SRE, Operations at
Scale, Service Life Cycle, Service Launches, Service Decommissioning, Organizing
strategies for Operational Teams, Team member day types, Other Strategies, Virtual office,
Communication mechanisms, Communication policies
UNIT II
10 Hours
DevOps: What is DevOps, Traditional Approach, The DevOps Approach, The three ways
and strategies of DevOps, History of DevOps, DevOps Values and Principles, Converting to
DevOps, Agile and Continuous delivery
UNIT III
14 Hours
Service Delivery: Build phase - Service Delivery Strategies, Virtuous cycle of quality, Build
Phase Steps, Build Console, Continuous Integration, Packages as handoff Interface
Deployment phase: Deployment Phase Steps, Testing and Approval, Operations Console,
Continuous delivery, Infrastructure as code, other platform Services
Upgrading live services: Taking the service down for upgrading, Rolling upgrades, Canary,
Phased Roll outs, Proportional Shedding, Blue Green deployment, Toggling Features, Live
Schema Changes, Live Code Changes, Continuous deployment, Dealing with failed pushes,
Release atomicity
UNIT IV
10 Hours
Automation: Approaches to Automation, Tool building v/s Automation, Goals of Automation,
How to Automate, Language tools, Software Engineering tools and techniques, Multitenant
systems
UNIT V
8 Hours
Monitoring: Uses of monitoring, Consumers of monitoring information, what to monitor,
Retention, Meta-monitoring, Logs, Monitoring Architectures, Capacity planning, KPIs,
Measures and Operational Excellence
Text books
1. Thomas A. Limoncelli, Strata R. Chalup, Christina J. Hogan, (2015), The Practice of
Cloud System Administration: Designing and Operating Large Distributed Systems,
1st Edition, Paperback
References
Web resources
12 Hours
2. Dean Allemang and James Hendler,(2011), Semantic Web for the Working
Ontologist: Effective Modeling in RDFS and OWL, 2nd edition , Morgan Kaufmann
Publishers,
Reference Books
1. Jeffrey T. Pollock ,(2009), Semantic Web for Dummies, John Wiley
2. Asuncion Gomez-Perez, Mariano Fernandez-Lopez and Oscar Corcho (2007),
Ontological Engineering: with examples from the areas of Knowledge Management,
e-Commerce and the Semantic Web. (Advanced Information and Knowledge
Processing) , Springer-Verlag New York, Inc. Secaucus, NJ
3. John Hebeler, Matthew Fisher, Ryan Blace and Andew Perez-Lopez, (2009),
Semantic Web Programming, Wiley India,
4. Toby Segaran, Colin Evans and Jamie Taylor , (2009), Programming the Semantic
Web, OReilly,
5. Shelley Powers , (2003), Practical RDF, OReilly,
6. Lee W. Lacy , (2005), OWL: Representing Information Using the Web Ontology
Language, Trafford Publishing,
10 Hours
Security for content providers: Pornography, Filtering software, Censorship, privacy policies,
legislation, P3P, Digital Payments, Intellectual property and actionable content.
Text book
1. Simson Garfinkel, Gene Spafford, (2002), Web Security, Privacy and Commerce,
2nd Edition, OREILLY,.
Text book
1. Christopher D. Manning, Prabhakar Raghavan, and Hinrich Schtze,
Introduction to Information Retrieval , Cambridge University Press
(2008),
11 Hours
What Is software quality: Quality: Popular Views, Quality Professional Views, Software
Quality, Total Quality Management and Summary. Fundamentals Of Measurement
Theory: Definition, Operational Definition, And Measurement, Level Of Measurement, Some
Basic Measures, Reliability And Validity, Measurement Errors, Be Careful With Correlation,
Criteria For Causality, Summary. Software Quality Metrics Overview: Product Quality
Metrics, In Process Quality Metrics, Metrics for Software Maintenance, Examples for Metrics
Programs, Collecting Software Engineering Data
UNIT II
10Hours
Applying the seven basic quality tools in software development: Ishikawas Seven
Basic Tools, Checklist, Pareo Diagram, Histogram, Run Charts, Scatter Diagram, Control
Chart, Cause And Effect Diagram. The Rayleigh Model: Reliability Models, the Rayleigh
Model Basic Assumptions, Implementation, Reliability and Predictive Validity.
UNIT III
10 Hours
Complexity metrics and models: Lines Of Code, Halsteads Software Science ,
Cyclomatic Complexity Syntactic Metrics, An Example Of Module Design Metrics In Practice
.
Metric and lessons learned for object oriented projects: Object Oriented Concepts And
Constructs, Design And Complexity Metrics, Productivity Metrics, Quality And Quality
Management Metrics, Lessons Learned For object oriented Projects.
UNIT IV
10 Hours
Availability metrics: Definition And Measurement Of System Availability, Reliability
Availability And Defect Rate, Collecting Customer Outage Data For Quality Improvement, In
Process Metrics For Outage And Availability .Conducting Software Project Assessment:
Audit Ad Assessment , Software Process Maturity Assessment And Software Project
Assessment , Software Process Assessment A Proponed Software Project Assessment
Method.
PESU Student Handbook 2015-16 157
UNIT V
11Hours
Dos and donts of software Process Improvement: Measuring Process Maturity,
Measuring Process Capability,
Staged Versus Continuous Debating Religion, Measuring Levels Is Not Enough, Establishing
the Alignment Principle, Take Time Getting Faster, Keep it Simple Or Face
Decomplexification, Measuring The Value Of Process Improvement, Measuring Process
Compliance, Celebrate The Journey Not Just The Destination. Using Function Point
Metrics to measure software process improvement: Software Process Improvement
Sequences, Process Improvement Economies, Measuring Process Improvement at Activity
Levels
Text Book
1. Stephen H Khan, (2013), Metrics and Models in Software Quality Engineering, 2nd
Edition, Pearson,
Reference Books
1. Norman E-Fentor and Share Lawrence Pflieger, (1997), Software Metrics,
International Thomson Computer Press,
2. S.A.Kelkar, (2012), Software quality and Testing, PHI Learning, Pvt. Ltd., New Delhi
2012
3. Watts S Humphrey,(2008), Managing the Software Process, Pearson Education Inc.
4. Mary Beth Chrissis, Mike Konrad and Sandy Shrum, (2003), CMMI, Pearson
Education (Singapore) Pvt. Ltd.,
5. Philip B Crosby, (1992), Quality is Free: The Art of Making Quality certain, Mass
Market,
UE15CS590: DESIGN PATTERNS (4-0-0-0-4)
Course Objectives
1. To Learn How to add functionality to designs while minimizing complexity.
2. What code qualities are required to maintain to keep code flexible?
3. To understand the common design patterns.
4. To explore the appropriate patterns for design problems
Course Outcomes
Upon successful completion of the course Student should be able to:
1. Understand design patterns to solve design problems
2. Understand and design pattern catalog
3. Analyse MVC model-based
4. Design distributed objects
UNIT I
11 Hours
Introduction: what is a design pattern? Describing design patterns, the catalog of design
pattern, organizing the catalog, how design patterns solve design problems?, how to select a
design pattern, how to use a design pattern. What is object-oriented development? , key
concepts of object oriented design other related concepts, benefits and drawbacks of the
paradigm
UNIT II
10 Hours
Analysis a system: overview of the analysis phase, stage 1: gathering the requirements
functional requirements specification, defining conceptual classes and relationships, using
the knowledge of the domain. Design and Implementation, discussions and further reading
UNIT III
10 Hours
Design pattern catalog: Structural patterns, Adapter, bridge, composite, decorator, facade,
flyweight, proxy.
UNIT IV
10 Hours
Interactive systems and the MVC architecture: Introduction , The MVC architectural
pattern, analyzing a simple drawing program , designing the system, designing of the
subsystems, getting into implementation , implementing undo operation , drawing incomplete
items, adding a new feature , pattern based solutions.
UNIT V
11 Hours
Designing with distributed objects: Client server system, java remote method invocation,
implementing an object oriented system on the web (discussions and further reading) a note
on input and output, selection statements, loops arrays.
Text Book
1. Brahma Dathan, Sarnath Rammath, (2013), Object-oriented analysis, design and
implementation, universities press,
2. Erich Gamma, Richard Helan, Ralph Johman, John Vlissides, (2013), Design
patterns, Pearson Publication,
Reference Books
1. Frank Bachmann, Regine Meunier, Hans Rohnert, (1996), Pattern Oriented Software
Architecture, Volume 1,
2. William J Brown et al., (1998), Anti-Patterns: Refactoring Software, Architectures
and Projects in Crisis, John Wiley,
1. Jiawei Han, Micheline Kamber, Jian Pei, (2012), Data Mining Concepts and
Techniques, ELSEVIER (MK) 3rd Edition
3. Edward Lee and Sanjit Seshia, (2014), Introduction to Embedded Systems: A CyberPhysical Systems Approach, Edition 1.5, leeseshia.org,
10 Hours
Big data patterns: Applying MapReduce patterns to Big Data Joining, Sorting, Sampling,
Streamlining HDFS for Big Data small files, efficient storage, Diagnosing and tuning
performance,
UNIT III
10 Hours
Data Science: utilizing data structures and algorithms modeling and solving graph
problems, Bloom filters, Integrating R and Hadoop for statistics Rhipe, Rhadoop,
UNIT IV
10 Hours
Predictive analytics using Hadoop: Recommenders defining, running, evaluating,
representation of recommendation data, making recommendations, Classification
fundamentals, how classification works, workflow training, evaluating, using a classifier,
Clustering using k-means, fuzzy k-means, model based clustering.
UNIT V
12 Hours
Hive Fundamentals, Data analytics using Hive log files, compressed partition tables, tuning
Hive Joins, Programming with Pig fundamentals, finding malicious actors, optimizing user
workflows with Pig. Performance, Crunch finding popular URLs in logs, Joins, cascading,
Mapreduce Gothas, Debugging
Text Books
1. Alex Holmes, (2012), Hadoop in Practice, Manning Publishing Co,
2. Sean Owen, Robin Anil , Ted Dunning , Ellen Friedmanan, (2011), Mahout in
Action, Manning Publication
Autoregressive Models, Moving Average Models, ARMA and ARIMA Models, Building and
Evaluating an ARIMA Model, Reasons to Choose and Cautions, Additional Methods
Advanced analytical theory and methods: Text analysis - Text Analysis Steps, A Text
Analysis Example, Collecting Raw Text, Representing Text, Term FrequencyInverse
Document Frequency (TFIDF), Categorizing Documents by Topics, Determining Sentiments,
Gaining Insights
Advanced analyticstechnology and tools: MapReduce and hadoop- Analytics for
Unstructured Data, Use Cases, MapReduce, Apache Hadoop, The Hadoop Ecosystem, Pig,
Hive, HBase, Mahout, NoSQL
UNIT V
8 Hours
Advanced analyticstechnology and tools: In-Database analytics: SQL Essentials,
Joins, Set Operations, Grouping Extensions, In-Database Text Analysis, Advanced SQL,
Window Functions, User-Defined Functions and Aggregates, Ordered Aggregates, MADlib
352
The endgame, or putting it all together: Communicating and Operationalizing an Analytics
Project , Creating the Final Deliverables, Developing Core Material for Multiple Audiences,
Project Goals, Main Findings, Approach, Model Description, Key Points Supported with
Data, Model Details, Recommendations , Additional Tips on Final Presentation, Providing
Technical Specifications and Code, Data Visualization Basics, Key Points Supported with
Data, Evolution of a Graph, Common Representation Methods, How to Clean Up a Graphic,
Additional Considerations, Summary
Textbook
1. EMC Education Services, (2015), Data Science and Big Data Analytics: Discovering,
Analyzing, Visualizing and Presenting Data , EMC Pressn Services,
Reference Books
1. Judith Hurwitz, Marcia Kaufman, Adrian Bowles , (2015), Cognitive Computing and
Big Data Analytics, Wiley Publication
UNIT III
12 Hours
Bayesian and computational learning: Bayes Theorem Concept Learning Maximum
Likelihood Minimum Description Length Principle Bayes Optimal Classifier Gibbs
Algorithm Nave Bayes Classifier Bayesian Belief Network EM Algorithm Probably
Learning Sample Complexity for Finite and Infinite Hypothesis Spaces Mistake Bound
Model.
UNIT IV
10 Hours
Instant based learning and learning set of rules: K- Nearest Neighbor Learning Locally
Weighted Regression Radial Basis Functions Case-Based Reasoning Sequential
Covering Algorithms Learning Rule Sets Learning First Order Rules Learning Sets of
First Order Rules Induction as Inverted Deduction Inverting Resolution
UNIT V
10 Hours
Analytical learning and reinforced learning: Perfect Domain Theories Explanation
Based Learning Inductive-Analytical Approaches - FOCL Algorithm Reinforcement
Learning Task Q-Learning Temporal Difference Learning
Textbook:
1. Tom M. Mitchell (2013), Machine Learning, McGraw-Hill Education (Indian Edition).
Reference Books:
1. Ethem Alpaydin (2013), Introduction to Machine Learning, 2nd Edition, PHI Learning
Pvt. Ltd..
2. T. Hastie, R. Tibshirani, J. H. Friedman (2001), The Elements of Statistical Learning,
1st Edition, Springer.
UE14CS611: COMPUTER VISION (4-0-0-0-4)
Course objectives
1. To get an understanding of image processing techniques for computer vision.
2. To impart an understanding of the shape and region analysis.
3. To understand and apply Hough Transform to detect lines, circles, ellipses.
4. To understand 3-dimensional image analysis and motion analysis, also some
applications of computer vision algorithms.
Course outcomes:
Upon successful completion of the course Student should be able to:
1. Appreciate the various image processing techniques and their applications.
2. Visualize 3-D image analysis and motion analysis
UNIT I
10 Hours
Cameras: Pinhole Cameras, Radiometry Measuring Light: Light in Space, Light Surfaces,
Important Special Cases, Sources, Shadows, And Shading: Qualitative Radiometry, Sources
and Their Effects, Local Shading Models, Applications: Photometric Stereo, Interreflections:
Global Shading Models, Colour: The Physics of Colour, Human Colour Perception,
Representing Color, a Model for Image Color, Surface Colour from Image Colour.
UNIT II
10 Hours
Linear filters: Linear Filters and Convolution, Shift Invariant Linear Systems, Spatial
Frequency and Fourier Transforms, Sampling and Aliasing, Filters as Templates, Edge
Detection: Noise, Estimating Derivatives, Detecting Edges, Texture: Representing Texture,
Analysis (and Synthesis) Using Oriented Pyramids, Application: Synthesis by Sampling
Local Models, Shape from Texture.
UNIT III
10 Hours
The Geometry of multiple views: Two Views, Stereopsis: Reconstruction, Human
Stereposis, And Binocular Fusion, Using More Cameras, Segmentation by Clustering: What
Is Segmentation? Human Vision: Grouping and Getstalt, Applications: Shot Boundary
Detection and Background Subtraction, Image Segmentation by Clustering Pixels,
Segmentation by Graph-Theoretic Clustering,
UNIT IV
10 Hours
Segmentation by fitting a model: The Hough Transform, Fitting Lines, Fitting Curves,
Fitting as a Probabilistic Inference Problem, Robustness, Segmentation and Fitting Using
Probabilistic Methods: Missing Data Problems, Fitting, and Segmentation, the EM Algorithm
in Practice, Tracking With Linear Dynamic Models: Tracking as an Abstract Inference
Problem, Linear Dynamic Models, Kalman Filtering, Data Association, Applications and
Examples.
UNIT V
12 Hours
Geometric camera models: Elements of Analytical Euclidean Geometry, Camera
Parameters and Perspective Projection, Affine Cameras and Affine Projection Equations,
Geometric camera calibration: Least-Squares Parameter Estimation, A Linear Approach to
Camera Calibration, Taking Radial Distortion into Account, Analytical Photogrammetry, and
An Application: Mobile Robot Localization, Model- Based Vision: Initial Assumptions,
Obtaining Hypotheses by Pose Consistency, Obtaining Hypotheses by pose Clustering,
Obtaining Hypotheses Using Invariants, Verification, Application: Registration In Medical
Imaging Systems, Curved Surfaces and Alignment.
Textbook
1. David A. Forsyth and Jean Ponce (2009), Computer Vision A Modern Approach,
PHI Learning (Indian Edition).
Reference Book
1. E.R. Davies (2013), Computer and Machine Vision Theory, Algorithms and
Practicalities, 4th Edition, Elsevier Academic Press),
UE14CS612: BUSINESS INTELLIGENCE AND ITS APPLICATIONS (4-0-0-0-4)
Course objectives
The objective of the course is
1. to get an understanding of the key elements of a successful business intelligence
program.
2. to apply a BI Meta model that turns outcomes into actions.
3. to extract and transform an operational data into a business data.
4. to appreciate the role of business analytics and the performance measurement tools.
Course outcomes:
Upon successful completion of the course Student should be able to:
1. Understand the business intelligence and how to apply it.
2. appreciate the role of business analytics and the performance measurement tools.
UNIT I
12 Hours
Development Steps, BI Definitions, BI Decision Support Initiatives, Development
Approaches, Parallel Development Tracks, BI Project Team Structure, Business
Justification, Business Divers, Business Analysis Issues, Cost Benefit Analysis, Risk
Assessment, Business Case Assessment Activities, Roles Involved In These Activities,
Risks of Not Performing Step, Hardware, Middleware, DBMS Platform, Non Technical
Infrastructure Evaluation
PESU Student Handbook 2015-16 168
UNIT II
10 Hours
Managing the BI Project, Defining and Planning the BI Project, Project Planning Activities,
Roles and Risks Involved , General Business Requirement, Project Specific Requirements,
Interviewing Process
UNIT III
10 Hours
Differences in Database Design Philosophies, Logical Database Design, Physical Database
Design, Activities, Roles and Risks Involved In These Activities, Incremental Rollout,
Security Management, Database Backup and Recovery
UNIT IV
10 Hours
Growth Management, Application Release Concept, Post Implementation Reviews, Release
Evaluation Activities, the Information Asset and Data Valuation, Actionable Knowledge
ROI, BI Applications, The Intelligence Dashboard
UNIT V
10 Hours
Business View of Information technology Applications: Business Enterprise excellence, Key
purpose of Using IT, Type of digital data, basics of enterprise reporting, BI road ahead.
Text Books:
1. Larissa T Moss and Shaku Atre (2003), Business Intelligence Roadmap: The
Complete Project Lifecycle for Decision Support Applications, Addison Wesley
Information Technology Series.
2. R N Prasad, Seema Acharya (2011), Fundamentals of Business Analytics, Wiley
India.
Reference Books:
1. David Loshin (2003), Business Intelligence: The Savvy Manager's Guide, Publisher:
Morgan Kaufmann,.
2. Brian Larson (2006), Delivering Business Intelligence with Microsoft SQL Server
2005, McGraw Hill..
3. Lynn Langit (2008), Foundations of SQL Server 2008 Business Intelligence, Apress,.
UE14CS613: AGILE TECHNOLOGIES (4-0-0-0-4)
Course objectives:
1. To understand the methods for faster delivery of more useful software, such as
iterative, incremental development processes.
2. to understand the essence of agile development methods and principles & practices
of extreme programming.
3. to appreciate the roles of prototyping in the software process and the concept of
mastering agility.
Course outcomes:
Upon successful completion of the course Student should be able to:
1. to understand the agile development methods and principles and practices of
extreme programming
UNIT I
10 Hours
purpose of Agile: Understanding Success, Beyond Deadlines, the Importance of
Organizational Success, Enter Agility.
Being Agile: Agile Methods, Dont Make Your Own Method, The Road to Mastery, Find a
Mentor
UNIT II
10 Hours
Understanding XP: The XP Lifecycle, The XP Team, And XP Concepts, Adopting XP: Is
XP Right for Us? Go! Assess Your Agility
UNIT III
12 Hours
Practicing XP: Thinking - Pair Programming, Energized Work, Informative Workspace,
Root-Cause Analysis, Retrospectives, Collaborating: Trust, Sit Together, Real Customer
Involvement, Ubiquitous Language, Stand-Up Meetings, Coding Standards, Iteration Demo,
Reporting, Releasing: Done Done, No Bugs, Version Control, Ten-Minute Build,
Continuous Integration, Collective Code Ownership, Documentation. Planning: Vision,
Release Planning, the Planning Game, Risk Management, Iteration Planning, Slack, Stories,
Estimating. Developing: Incremental requirements, Customer Tests, Test-Driven
Development, Refactoring, Simple Design, Incremental Design and Architecture, Spike
Solutions, Performance Optimization, Exploratory Testing.
UNIT IV
10 Hours
Mastering Agility: Values and Principles - Commonalities, About Values, Principles, and
Practices, Further Reading, Improve The Process: Understand Your Project, Tune and
Adapt, Break the Rules, Rely on People: Build Effective
Relationships Let the Right People Do the Right Things, Build the Process for the People,
and Eliminate Waste: Work in Small, Reversible Steps, Fail Fast, Maximize Work Not
Done, Pursue Throughput
UNIT V
10 Hours
Deliver value: Exploit Your Agility, Only Releasable Code Has Value, Deliver Business
Results, Deliver Frequently,
Seek technical excellence: Software Doesnt Exist; Design Is for Understanding, Design
Tradeoffs, Quality with a Name, Great Design, Universal Design Principles, Principles in
Practice, Pursue Mastery
Textbook
1. James shore, Chromatic, (2007), The Art of Agile Development (Pragmatic Guide to
Agile Software Development), O'Reilly Media ,Shroff Publishers & Distributors.
Reference Books
1. Robert C. Martin (2002), Agile Software Development, Principles, Patterns, and
Practices, 1st Edition, Prentice Hall.
2. Craig Larman (2004), Agile and Iterative Development A Mangers Guide, Pearson
Education, 1st Edition, India.
UE14CS614: WIRELESS NETWORK AND MOBILE COMPUTING (4-0-0-0-4)
Course objectives
1. To introduce the concepts of wireless communication.
2. To introduce to various propagation methods, Channel models, capacity calculations
multiple antennas and multiple user techniques used in mobile communication.
3. To understand CDMA, GSM, Mobile IP, WImax and Mobile OS.
4. To understand various Mark-up Languages along with CDC, CLDC, MIDP, MIDlet
model and security concerns.
Course outcomes
Upon successful completion of the course Student should be able to:
1. Differentiate between various wireless communication technologies and understand
the relative merits/demerits.
2. Appreciate mobile communication features
PESU Student Handbook 2015-16 170
UNIT I
12 Hours
Mobile computing architecture: Mobile Computing Architecture, 3-tier Architecture, Design
Considerations for Mobile Computing. Wireless Networks: Global Systems for Mobile
Communication
GSM and Short Service Messages (SMS): GSM Architecture, Entities, Call routing in GSM,
PLMN Interface, GSM Addresses and Identities, Network Aspects in GSM, Mobility
Management, GSM Frequency allocation. Introduction to SMS, SMS Architecture, SM MT,
SM MO, SMS as Information bearer,SMS applications, GPRS and Packet Data Network,
GPRS Network Architecture, GPRS Network Operations, Data Services in GPRS,
Applications for GPRS, Billing and Charging in GPRS, Spread Spectrum technology, IS-95,
CDMA versus GSM, Wireless Data, Third Generation Networks, Applications on 3G,
Introduction to WiMAX.
UNIT II
10 Hours
Mobile Client: Moving beyond desktop, overview of Mobile handset, Mobile phones and
their features, PDA, Design Constraints in applications for hand-held devices. Mobile IP:
Introduction, discovery, Registration, Tunneling, Cellular IP, Mobile IP with IPv6.
UNIT III
10 Hours
Mobile OS and Computing Environment: Smart Client Architecture, The Client: User
Interface, Data Storage, Performance, Data Synchronization, Messaging. The Server: Data
Synchronization, Enterprise Data Source, Messaging. Mobile Operating Systems: WinCE,
Palm OS, Symbian OS, Linux and Proprietary OS Client Development: The development
process, Need analysis phase, Design phase, Implementation and Testing phase,
Deployment phase, Development Tools, Device Emulators.
UNIT IV
10 Hours
Building, Mobile Internet Applications: Thin client: Architecture, the client, Middleware,
messaging Servers, Processing a Wireless request, Wireless Applications Protocol (WAP)
Overview, Wireless Languages: Markup Languages, HDML, WML, HTML, cHTML, XHTML,
VoiceXML.
UNIT V
10 Hours
J2ME: Introduction, CDC, CLDC, MIDP; Programming for CLDC, MIDlet model,
Provisioning, MIDlet lifecycle, Creating new application, MIDlet event handling, GUI in MIDP,
Low level GUI Components, Multimedia APIs; Communication in MIDP, Security
Considerations in MIDP.
Textbook
1. Ashok Talukder, Roopa Yavagal, Hasan Ahmed (2010), Mobile Computing,
Technology, Applications and Service Creation, 2nd Edition, Tata McGraw Hill,.
2. Martyn Mallik (2003), Mobile and Wireless Design Essentials, Wiley India.
Reference Books:
1. Raj Kamal, (2007), Mobile Computing, Oxford University Press.
2. Iti Saha Misra (2009), Wireless Communications and Networks, 3G and Beyond,
Tata McGraw Hill.
UE14CS621: SEMANTIC WEB (4-0-0-0-4)
Course objectives
1. To study semantic Web and understand its applications.
2. To learn different ways of knowledge representations
Course outcomes
PESU Student Handbook 2015-16 171
Course objectives
1. To understand the reasons for efficiency in Linux environment.
2. To be able t choose right operating system for a particular application
3. To understand the core operations of Linux.
Course outcomes
Upon successful completion of the course Student should be able to:
1. Use the core operations of Linux operating environment.
2. Understand the performance benefits of Linux
3. Understand the memory management techniques supported by Linux
UNIT I
10 Hours
Introduction to the Linux Kernel: History an Introduction to Unix, Overview of Operating
Systems and Kernels, Linux Versus Classic Unix Kernels, Linux Kernel Versions, Getting
Started with the Kernel, Using Git, Installing the Kernel Source The Kernel Source Tree,
Building the Kernel, Configuring the Kernel, Installing the New Kernel, libc or Standard
Headers, GNU C, Inline Functions, Inline Branch Annotation, No Memory Protection,
Process Management: Process Descriptor and the Task Structure, Allocating the Process
Descriptor, Storing the Process Descriptor, Process Creation, Copy-on-Write, Forking,
vfork(), The Linux Implementation of Threads, Creating Threads, Kernel Threads, Process
Termination, Removing the Process Descriptor The Dilemma of the Parentless Task ,
UNIT II
10 Hours
Process scheduling: Multitasking, Linuxs Process Scheduler, Policy, I/O-Bound Versus
Processor-Bound Processes, Process Priority, Time slice, the Scheduling Policy in Action,
the Linux Scheduling Algorithm, Scheduler Classes, Process Scheduling in Unix Systems,
Adding/Removing Processes from/to the Tree, Wait Queues, Waking Up, Preemption and
Context Switching, Kernel Preemption, Real-Time Scheduling Policies and Priority-Related
System Calls,
System Calls: Communicating with the Kernel, APIs, POSIX, and the C Library System
Calls, Implementing System Calls, Kernel Data Structures, Linked Lists, The Linux Kernels
Implementation
UNIT III
10 Hours
Interrupts and interrupt handlers: Top Halves Versus Bottom Halves, Registering an
Interrupt Handler, An Interrupt Example, Writing an Interrupt Handler, Shared Handlers, A
Real-Life Interrupt Handler Implementing Interrupt Handlers, Interrupt Control, Disabling and
Enabling Interrupts, Disabling a Specific Interrupt Line, Status of the Interrupt System
An introduction to Kernel synchronization: Critical Regions and Race Conditions, Need
of Protection, The Single Variable, Locking, Causes of Concurrency, Knowing What to
Protect, Deadlocks, Contention and Scalability
UNIT IV
12 Hours
Kernel synchronization methods: Atomic Operations, Spin Locks, c k Methods , ReaderWriter Spin Locks, Semaphores - Counting and Binary Semaphores, Creating and Initializing
Semaphores, Reader-Writer Semaphores, Semaphores Versus Mutexes, Spin Locks
Versus Mutexes, Completion Variables , The Big Kernel Lock, Preemption Disabling
Memory Management: Pages, Zones, Getting Zeroed Pages, Freeing Pages, kmalloc(),
gfp_mask Flags Action Modifiers, Zone Modifiers, Type Flags, kfree(), vmalloc(), Slab
Layer, Design of the Slab Layer Example of Using the Slab Allocator, Single-Page Kernel
Stacks, Playing Fair on the Stack, Permanent Mappings, Temporary Mappings, Per-CPU
Allocations, The New percpu Interface 256 Per-CPU Data at Compile-Time, Per-CPU Data
at Runtime, Reasons for Using Per-CPU Data, Picking an Allocation Method
The virtual file system: Common File system Interface, File system Abstraction Layer, Unix
File systems VFS Objects and Their Data Structures, The Superblock Object, Superblock
PESU Student Handbook 2015-16 174
Operations, The Inode Object , Inode Operations, The File Object, File Operations, Data
Structures Associated with File systems and Data Structures Associated with a Process
UNIT V
10 Hours
The block I/O layer: Anatomy of a Block Device, I/O vectors, Request Queues, I/O
Schedulers, The Job of an I/O Scheduler, I/O Scheduler Selection
The process address space: Address Spaces, The Memory Descriptor, Allocating a
Memory Descriptor, Destroying a Memory Descriptor, The mm_struct and Kernel Threads,
Virtual Memory Areas, VMA Flags , VMA Operations, Lists and Trees of Memory Areas,
Manipulating Memory Areas, find_vma(), find_vma_prev(), find_vma_intersection(), mmap()
and do_mmap(): Creating an Address Interval, munmap() and do_munmap(): Removing an
Address Interval,
The page cache and page writeback: Approaches to Caching, Write Caching, Cache
Eviction, Least Recently Used, The Two-List Strategy, The Linux Page Cache, The
address_space Object, address_space Operations, Radix Tree, The Old Page Hash Table,
The Buffer Cache, The Flusher Threads, Laptop Mode,
Text book
1. Robert Love, (2010), Linux Kernel Development, 3rd Edition, Addison-Wesley,
Reference books
1. Daniel P. Bovet, Marco Cesati,(2000), Understanding the Linux Kernel, O'Reilly
Media,
2. Greg Kroah-Hartman, (2006), Linux Kernel in a Nutshell, O'Reilly,
Course outcomes
Upon successful completion of the course, a student will be able to:
1. Write a technical paper, following the guidelines.
2. Understand the technical writings and carry out a good literature survey.
UNIT I
5 Hours
What Is Scientific Writing? The Need for Clarity, Understanding the Signals,
Language of a Scientific Paper, Origins of Scientific Writing The Early History, The
Imrad Story, What Is a Scientific Paper?
Definition of a Scientific Paper,
Organization of a Scientific Paper, How to Prepare the Title, Length of the Title,
Need for Specific Titles.
UNIT II
5 Hours
How to List the Authors and Addresses, Definition of Authorship, How to Prepare the
Abstract, Types of Abstracts, How to Write the Introduction Suggested Rules,
Reasons for the Rules, Citations and Abbreviations,
UNIT III
5 Hours
How to Write the Materials and Methods Section Purpose of the Section, Materials,
Methods, Measurements and Analysis Need for References, How to Write the
Results Content of the Results, How to Handle Numbers How to Write the
Discussion , Discussion and Verbiage, Components of the Discussion, Factual
Relationships,
UNIT IV
5 Hours
How to State the Acknowledgments, Ingredients of the Acknowledgments, Being
Courteous, How to Cite the References, Rules to Follow, Reference Styles, Name
and Year System, Citation Order System, Citation in the Text, Examples, How to
Design Effective Tables, When to Use Tables, How to Arrange Tabular Material,
Titles, Footnotes, and Abbreviations, How to Prepare Effective Graphs, When to
Illustrate, When to Use Graphs, How to Prepare Graphs, Size and Arrangement of
Graphs
UNIT V
6 Hours
How to Write a Thesis, Purpose of the thesis, Tips On Writing, How to Present a
Paper Orally, Organization of the Paper, Presentation of the Paper, Slides, Ethics,
Rights, and Permissions, Importance of Originality, Authorship, What Is Copyright?,
Copyright Considerations, Use and Misuse of English, Keep It Simple, The Ten
Commandments of Good Writing, Misuse of Words, Tense in Scientific Writing,
Active Versus Passive Voice,
Text Books
Robert A. Day and Barbara Gastel (2006), How to Write and Publish a Scientific
Paper? 6th Edition, Greenwood Press, Westport, CT
UE14SE601: DISTRIBUTED COMPUTING (4-0-0-0-4)
Course objectives
The objective of the course is to understand the basic concepts of DSM and hardware DSM.
Students will be able to understand File Sharing, DFS implementation and replication in
DFS. This course aims to equip the students about the security aspects such as
Cryptography, Secure channels and Access control.
Course outcomes
Upon successful completion of the course Student should be able to:
1. Visualize the complexities of a distributed environment.
2. Get an exposure to the emerging trends in the distributed systems
UNIT I
10 Hours
Distributed system management: Introduction, Resource management, Task Assignment
Approach, Load- Balancing Approach, Load-Sharing Approach, Process management in a
Distributed Environment, Process Migration, Threads, and Fault Tolerance.
UNIT II
10 Hours
Distributed shared memory: Introduction, Basic Concepts of DSM, Hardware DSM, Design
Issue in DSM Systems, Issue in Implementing DSM Systems, Heterogeneous and Other
DSM Systems, Case Studies.
UNIT III
12 Hours
Distributed file system: Introduction to DFS, File Models, Distributed File System Design,
Semantics of File Sharing, DFS Implementation, File Caching in DFS, Replication in DFS,
Case studies.
Naming: Introduction, Desirable features of a good naming system, Basic concepts,
System-oriented names, Object-locating mechanisms, Issues in designing human-oriented
names, Name caches, Naming and security, Case study: Domain name service.
UNIT IV
10 Hours
Security in distributed systems: Introduction, Cryptography, Secure channels, Access
control, Security
Management, Case studies.
UNIT V
10 Hours
Real-Time distributed operating systems: Introduction, Design issues in real-time
distributed systems, Real time communication, Real-time scheduling, and Case study: RealPESU Student Handbook 2015-16 177
10 Hours
UNIT V
10 Hours
Genetic algorithms: Introduction, Basic operations, Traditional algorithms, Simple GA
General genetic algorithms, the schema theorem, Genetic programming, applications
Text book
1. Shivanandam, Deepa S. N, (2007), Principles of Soft computing, Wiley India,
(Chapters 1, 2, 3 (Upto 3.5), 7, 8, 9, 10, 13, 15 (upto 15.6 & 15.9, 15, 10)
Reference Books
1. J.S.R. Jang, C.T. Sun, E. Mizutani, (1997), Neuro-Fuzzy and Soft ComputingA
Computational Approach to Learning and Machine Intelligence, PHI.
The objective of the course is to get an understanding of various architectures for application
development and importance of SOA i application integration. Students will be able to learn
web service and SOA related tools and implementation details of SOA. Students will be able
to appreciate these concepts, by taking up case studies.
Course outcomes
Upon successful completion of the course Student should be able to:
1. Learn SOA related tools and their implementation details.
2. Understand various types of software architectures
UNIT I
11 Hours
Soa Basics: Software architecture Types of IT Architecture SOA Evolution Key
components perspective of SOA Enterprise-wide SOA Architecture Enterprise
Applications Solution Architecture for enterprise application Software platforms for
enterprise Applications Patterns for SOA SOA programming models.
UNIT II
11 Hours
SOA analysis and design: Service-oriented Analysis and Design Design of Activity, Data,
Client And business process services Technologies of SOA SOAP WSDL JAX WS
XML WS for .NET Service integration with ESB Scenario Business case for SOA
stakeholder OBJECTIVES benefits of SPA Cost Savings.
UNIT III
10 Hours
SOA governance: SOA implementation and Governance strategy SOA development
SOA Governance trends in SOA event-driven architecture software s a service SOA
technologies proof-of concept process orchestration SOA best practices
UNIT IV
10 Hours
SOA Implementation: SOA based integration integrating existing application
development of web
Services Integration - SOA using REST Restful services Restful services with and
without JWS Role Of WSDL, SOAP and Java/XML mapping in SOA JAXB Data binding.
UNIT V
10 Hours
Application Integration: JAX WS 2.0 client side/server side development Packaging
and Deployment of SOA component SOA shopper case study WSDL centric java WS
with SOA-J related Software integration through service composition (BPEL) case
study - current trends.
Text book
1. Shankar Kambhampaly, (2008),
Applications, Wiley
Reference Books
1. Mark D. Hansen, (2007), SOA using Java Web Services, Practice Hall.
2. Waseem Roshen, (2009), SOA-Based Enterprise Integration, Tata McGraw-HILL.
Course outcomes
Upon successful completion of the course Student should be able to:
1. Visualize the supply chain management model
2. The importance of SCM in a business environment
UNIT I
11 Hours
Introduction to supply chain management: Supply chain objectives importance
decision phases process view competitive and supply chain strategies achieving
strategic fit supply chain drivers obstacles framework facilities inventory
transportation information sourcing pricing.
UNIT II
11 Hours
Designing the supply chain network: Designing the distribution network role of
distribution factors influencing distribution design options e business and its impact
distribution networks in practice network design in the supply chain role of network
factors affecting the network design decisions modeling for supply chain.
UNIT III
10 Hours
Designing and planning transportation networks: Role of transportation - modes and
their performance - transportation infrastructure and policies design options and their
trade-offs - Tailored transportation.
UNIT IV
10 Hours
Sourcing and pricing: Sourcing In-house or Outsource 3rd and 4th PLs supplier
scoring and assessment, selection design collaboration procurement process sourcing
planning and analysis. Pricing and revenue management for multiple customers, perishable
products, seasonal demand, bulk and spot contracts.
UNIT V
10 Hours
Information technology in the supply chain: IT Framework customer relationship
management internal supply chain management supplier relationship management
transaction management future of IT.
Text books
1. Sunil Chopra and Peter Meindl, (2007), Supply Chain Management Strategy,
Planning and Operation, 3rd Edition, Pearson/PHI.
2. Coyle, Bardi, Longley, (2006), The management of Business Logistics A supply
Chain Perspective, Thomson Press.
3. Janat Shah , (2008), Supply Chain Management, Pearson Publication .
Reference Books
1. Donald J Bowersox, Dand J Closs, M Bixby Coluper,(2008), Supply Chain Logistics
Management, 2nd Edition,TMH.
2. Wisner, Keong Leong and Keah-Choon Tan, (2005), Principles of Supply Chain
Management A Balanced Approach, Thomson Press.
3. David Simchi-Levi et al, (1999), Designing and Managing the Supply Chain
Concepts, McGraw-Hill Series
Course outcomes
Upon successful completion of the course Student should be able to:
1.
Understand content management and its importance.
2.
Implement different design methods
UNIT I
11 Hours
What is Content defining data, information and content, content format, structure,
functionality is content, what is content management Understanding content management,
introducing the major parts of content Management System. The roots and branches of
Content Management
UNIT II
10 Hours
Logical Design of a CMS the wheel of content Management, working with metadata,
catalog audiences
UNIT III
11 Hours
Designing publications, designing Content Components, Accounting for authors, Accounting
for Acquisition sources
UNIT IV
10 Hours
Designing content access structures, designing workflow and staffing models, Building a
Content Management System, Content Markup Languages, XML and content Management,
processing content
UNIT V
10 Hours
Building collection systems, Building Management Systems, Building publishing systems
Text book
1. Bob Boiko , (2005), Content Management Bible, , Wiley India Ltd,
UNIT III
11 Hours
Basic web services technology, minimalistic infrastructure. SOAP, WSDL, UDDI, web
services at work, Interactions between specifications, related standards. Service
coordination protocols, introduction, Infrastructure for coordination protocols,
UNIT IV
10 Hours
WS-coordination, WS-transaction, RosettaNet, other standards, Service composition
basics, a new chance of success, service composition models, dependencies between
coordination and composition.
UNIT V
10 Hours
BPEL, Outlook state of the art in web services, applicability of web services, web services
as a problem and solution. Case studies - Web services: industry adoption, case studies:
context setting, a proposed solution.
Text books
1. Gustavo Alonso, Fabio Casati, Harumi kuno and Vijay Machiraju, (2003), Web
Services: Concepts, Architectures and Applications (Data-Centric Systems and
Applications) Springer,
2. B.V. Kumar and S.V Subramanya , (2004), Web Services, An introduction, , Tata
Mcgraw Hill,
UNIT IV
10 Hours
Structures: Introduction, Plane Trusses, Method of Joints, Numerical problems
Beams: External effects, Numerical problems
UNIT V
10 Hours
Friction: Introduction, Frictional Phenomena - Types of Friction, Dry Friction, Flexible flat
belts, Numerical problems.
Text Book
1. J.L. Meriam, L.G. Kraige (1997), Engineering Mechanics Statics, 7th Edition John
Wiley & Sons, Inc.
(Chapter Sections 1/1-1/3, 2/1-2/6 for Unit I, 3/1-3/3 for Unit-II, 5/1, Sec A-5/3,
5/4, A/1-A/3 for Unit-III, 4/1-4/3, 5/6 for Unit IV, 6/1-6/3, 6/8 for Unit V).
Course Outcomes
1. To apply the formal theory of solid mechanics to calculate forces, deflections,
moments, stresses, and strains in a wide variety of structural members subjected to
tension, compression, torsion, bending, both individually and in combination,
including :
i. axially loaded bars
ii. components in pure shear
iii. circular shafts in torsion
iv. beams in bending
v. thin-walled pressure vessels
vi. trusses
2. To understand the concepts of stress at a point, strain at a point, and the stressstrain relationships for linear, elastic, homogeneous, isotropic materials.
3. To determine principal stresses and angles, maximum shearing stresses and angles,
and the stresses acting on any arbitrary plane within a structural element.
4. To draw Free Body Diagrams (FBD) for rigid bodies, beams, 2-D structures, frames
and set up equilibrium equations (i.e. forces and couples) for them.
5. To utilize basic properties of materials such as elastic moduli and Poisson's ratio to
appropriately to solve problems related to isotropic elasticity.
UNIT I
10 Hours
Concept of stress: Normal (tensile and compressive) and shear stress, uniform and nonuniform stress distributions, analysis and design concepts, numerical accuracy and sample
problems. Components of stress under general loading conditions, yield strength and
PESU Student Handbook 2015-16 183
ultimate strength, ultimate and allowable stress, factor of safety, factors influencing the
determination of factor of safety.
Behavior and analysis of axially loaded members: Load vs. displacement diagram,
normal and shearing stresses and strains, stress-strain diagram, true stress vs. true strain
diagram, brittle and ductile materials, isotropic materials, Hookes law: Youngs modulus of
elasticity, Poissons ratio.
Generalized Hookes law, dilatation - bulk modulus, shearing strain, shear modulus, relation
among E, and G, numerical problems. Deflections of axially loaded members. Effect of
temperature loading: coefficient of thermal expansion, thermal and elastic strains, numerical
problems.
UNIT II
10 Hours
Concept of bending moment and shear force: Introduction to different types of transverse
loads, supports and beams, bending moment and shearing force, sign conventions, shear
force and bending moment diagrams (SFD & BMD), relationship among load, shear and
bending moment, numerical problems.
UNIT III
10 Hours
Behavior and analysis of beams subjected to flexure and shear: Deformations in
prismatic and symmetric members in pure bending, pure bending theory and assumptions,
bending stresses and strains, elastic flexural formulae, elastic section modulus, radius of
curvature of neutral surface, modulus of rupture, flexural rigidity and numerical problems
covering bending of various types of beam sections. Shear stresses in beams, shear stress
diagram for rectangular and I sections, numerical problems.
UNIT IV
12 Hours
Deflection of beams: Introduction, deformation of a beam under transverse loading,
equation of the elastic curve, using singularity functions to determine the slope and
deflection of a beam (Macaulays Method), numerical problems.
Elastic stability of columns: Introduction, stability of structures, Eulers Formula for pinended columns, extension of Eulers formula to columns with other end conditions.
Numerical problems.
UNIT V
10 Hours
Torsion of circular shafts: Introduction, deformations in a circular shaft, angle of twist,
shearing strain, shearing stresses in the elastic range, elastic torsion formulae, torsion
testing, modulus of rigidity G, polar moment of inertia J, numerical problems.
Principal stresses and strains: Introduction to plane stress problems, principal planes,
Mohrs circle of stress, numerical problems. Failure criteria: maximum shearing stress and
maximum distortion energy criteria, maximum normal stress and maximum normal strain
criteria, Mohr criterion. Numerical problems. Stresses in thin walled pressure vessels,
numerical problems.
Text Books
1. Ferdinand P Beer, E Russell Johnston Jr., John T DeWolf, David F Mazurek (2013),
Mechanics of Materials (In SI Units), 6th Edition, Tata McGraw Hill Education (India)
Edition.
Reference Books
1. James M Gere & Stephen P Timoshenko (2004), Mechanics of Materials, 2nd Edition
Reprint, CBS Publishers & Distributers Private Limited, India.
2. S Ramamrutham (2005), Strength of Materials, Dhanpat Rai Publications.
3. I.B. Prasad (1989), Strength of Materials, 8th Edition, Khanna Publishers.
4. Stephen P Timoshenko (2002), Strength of Materials Elementary Theory and
Problems - Part 1, 3rd Edition Reprint, CBS Publishers.
PESU Student Handbook 2015-16 184
5. Stephen P Timoshenko, Strength of Materials Advanced Theory and problems Part 2, 3rd Edition, CBS Publishers, Reprint 2002
6. S.M.A. Kazioni (1988), Solid Mechanics, 1st Revised Edition, Tata McGraw Hill, New
Delhi.
7. E.P. Popov (1973), Introduction to Mechanics of Solids, Prentice Hill of India, New
Delhi.
8. S.H. Crandall (1994), Mechanics of Solids: An Introduction, N.C. Dahl and T.V.
Lardner, McGraw Hill International, Tokyo.
9. Pytel Singer (1995) Strength of Materials. IndusHarper Collins Publishers India Pvt.
Ltd, New Delhi
10. Davis & Troxell (1982), The Testing of Engineering Materials, McGraw-Hill Higher
Education.
Permeability and seepage: Head, gradient and potential, Darcys law, coefficient of
permeability, factors affecting permeability, determination of permeability (laboratory),
average permeability of stratified soils, seepage pressure and quick condition.
UNIT IV
10
Hours
Compaction of soil: Definition, standard and modified Proctors compaction tests, factors
affecting compaction, effect of compaction on soil properties, measurement of field
compaction and field compaction methods and control.
Compressibility and consolidation of soil: Compressibility, compression of laterally
confined soil and undisturbed specimen, consolidation, Terzaghis consolidation theoryassumption and limitations (no derivation), normally consolidated, under consolidated and
over consolidated soils, pre-consolidation pressure and its determination by Casagrandes
method. Consolidation characteristics of soil (Cc, av, mv and Cv). Consolidation test:
determination of consolidation characteristics of soil-compression index and coefficient of
consolidation (square root of time fitting method, logarithmic time fitting method).
UNIT V
10
Hours
Shear strength of soil: Review of Mohr circle, Mohrs strength theory, shear strength of
soil, Mohr-coulomb theory, conventional failure envelope, concept of effective stress and
total stress, measurement of shear parameters- direct shear test, unconfined compression
test, triaxial compression test and vane shear test, test under different drainage conditions,
concept of pore pressure and pore pressure parameters.
Text Books
1. Alam Singh (2012), Soil Engineering; In Theory And Practice; Fundamentals And
General Principles, Vol 1, 4th Edition, CBS Publishers and Distributors Pvt. Ltd..
2. Dr. K. R. Arora (2011), Soil Mechanics and Foundation Engineering (Geotechnical
Engineering), 7th Edition, Standard Publishers Distributors.
References Books
1. V.N.S Murthy (2012), Soil Mechanics and Foundation Engineering; Geotechnical
Engineering Series, 4th Edition, UBS Publishers and Distributors, New Delhi.
2. B.C.Punmia, Ashok Kumar Jain, Arun Kumar Jain (2005), Soil Mechanics and
Foundations, 16th Edition, Laxmi Publications, New Delhi.
3. Braja, M. Das (2002), Geotechnical Engineering, 5th Edition, Thomson Business
Information India (P) Ltd., India.
4. J.E Bowles (1996), Foundation Analysis and Design, 5th Edition, McGraw Hill Pub. Co.
New York.
5. Gopal Ranjan and A.S.R Rao (2012), Basic and Applied Soil Mechanics,, New Age
International (P) Ltd., New Delhi.
6. C Venkatrahmaiah (2011), Geotechnical Engineering, New Age International Publishers,
New Delhi.
7. S.K.Garg (2010), Soil Mechanics and Foundation Engineering in SI Units, 7th Edition
Reprint, Khanna Publishers, New Delhi.
UE14CV204 BASIC SURVEYING TECHNIQUES (30003)
Course objectives
1. To understand the measurement of objects on earth - heights, distances, angles
and slopes using different instruments.
2. To know how to Plot the same on a map with accuracy and have a good
understanding of the instruments & environment to avoid possible errors.
Course outcomes
On successfully completing this course:
PESU Student Handbook 2015-16 186
Text books
1. B. C. Punmia, Ashok K Jain, Arun K Jain, (2005), Surveying Vol I, 16th Edition, Laxmi
Publications.
Reference books
1. James. M. Anderson (1997), Surveying Theory and Practice, 7th Edition, McGraw
Hill Publication.
2. A. M. Chandra (2006), Plane Surveying, 2nd Edition, New Age International (P) Ltd.
3. A.M. Chandra (2006), Higher Surveying 2ndEdition, New Age International (P) Ltd.
4. Milton O. Schimidt (1985), Fundamentals of Surveying, 2nd Edition, Wong, Thomson
Learning.
5. S.K. Roy (2006), Fundamentals of Surveying, 2nd Edition, Prentice Hall of India
6. Survey of India Publication on maps.
UE14CV205 CONSTRUCTION MATERIALS & TECHNOLOGY (4-0-0-0-4)
Course objectives
1. To learn about various materials used in construction
2. To know about alternative materials used in industry.
3. To learn about various types of foundation.
4. To learn about the construction techniques used in industry.
5. To know about sustainable construction.
Course outcomes
1. Knowledge of various materials which are used in the construction field.
2. Brief idea of the components of a building structure.
UNIT I
10 Hours
Stones: Varieties of building stones, qualities of good building stones, dressing of stones,
selections and suitability of stones, uses of stones, decay and preservation of stones,
quarrying of stones.
Bricks: Qualities of brick earth, standard specifications for shape, size and properties,
testing of bricks.
Alternative materials: Solid and hollow blocks, stabilized mud blocks, aerated blocks,
rammed earth, reinforced brick work.
UNIT II
10
Hours
Cement: Raw materials, manufacture, types, properties, use of puzzolonic materials such
as fly ash, granulated blast furnace slag, rice husk ash as partial replacement, tests on
cement.
Fine and coarse aggregates: Properties and uses.
Mortar, concrete: materials, preparation, properties and uses.
Reinforcing and structural steel: Types, properties yield strength, ultimate strength,
proof stress, elongation, shapes and uses.
UNIT III
10 Hours
Timber: Classification of timber, fundamental engineering properties
of good timber,
defects in timber, seasoning of timber, solar timber seasoning kiln preservation of timber,
ply wood and its uses.
Plastics: Types, constituents of plastic, properties, uses of plastics in building industries.
Paints, varnishes and distempers: Constituents of oil paint, chatacteristics of a good paint,
types of paints, painting to wood, steel, iron and wall surfaces. Varnishes- Constituents of
varnishes types of varnishes, method of applying varnishes. Distemper and application to
new and old surfaces. Surface preservatives metallic coating by hot dipping.
Flooring: Base preparation, Types of flooring, laying details.
PESU Student Handbook 2015-16 188
Form work: Economy in form work, material for form work details in RCC columns, beams
and floors, slip forming.
UNIT IV
10 Hours
Techniques of sub structures: Foundations - Need, concepts of foundation, shallow
foundation, depth of excavation, Isolated and combined footings. Pile foundations, bearing,
friction, under reamed types, pile caps.
Super structures :Brick masonry - Different types of bonds - English, Flemish; Doors,
windows and ventilators - Location of doors, size of doors and door frames, types of doors
and windows, ventilators. Roofs- Different types of roofs and roof coverings, one way, two
way slabs - typical sketches.
UNIT V
12
Hours
Sustainable construction: Concept, Need, embodied energy and CO2 emissions in
building materials, recurring and operational energy in buildings, total energy in building life
cycle, zero energy and water neutral buildings, green buildings, rating systems GRIHA (
Green Rating for Integrated Habitat Assessment), LEED India ( Indian Green Building
Council), points allocation and rating. Construction and Demolition ( C & D) waste
management 4 Rs Golden rule ( Reduce, Reuse, Recycle, Recover) before final disposal.
Safety, health and welfare facilities in construction sites.
Text Books
1. B.C. Punmia (2007), Building Construction, 10th edition, Laxmi Publications, New Delhi.
2. S.C Rangwala (1997), Engineering Materials, 28th Edition, Charotar Publishing House,
Anand.
Reference Books
1. P.C. Varghese (2007), Building Construction, Prentice Hall of India, New Delhi.
2. Sushil Kumar (2005), Building Construction, 16th edition, Standard Publishers &
Distributors, New Delhi.
3. W B Mackay (2013), Building Construction, Vol 4, Pearson Publications.
4. Chudley (2005), Construction Technology, 4th Edition, Pearson Publications.
5. K.S. Jagadish and B.V. Venkatarama Reddy (2007), Alternative Building Materials
and Technologies 1st Edition, New Age International (P) Ltd.
6. Barry (1999), Construction of Buildings, 7th Edition, Wiley-blackwell Publications.
7. National Building Code NoSP 7 (2005)., BIS, New Delhi. (2005)
8. IGBC Manual, GRIHA Manual (2010), Publisher
9. IS CODES: 2185 part 1, 8041-1990, 12330-1988, 12600-1989.
UE14CV206 BUILDING PLANNING & DRAWING (1-0-2-0-2)
Course objectives
1. Understanding of the power and precision of computer-aided drafting.
2. Ability to create 2D representations of 3D objects as plan view, elevations and
sections.
3. Ability to assemble these drawings in industry-standard plan form and produce
plotted hard copies ready for distribution.
4. Awareness of architectural drafting with a focus on industry standards.
Course outcomes
1. Capability to present the ideas, actual planning and construction details, to prepare
easily understandable and aesthetic designs.
2. Capability to explain and discuss the proposed requirements for a building plan as
derived from rough sketches.
PESU Student Handbook 2015-16 189
UNIT I
12 Hours
1. General introduction to CAD & drafting using AUTOCAD or similar tools to perform
the following
2. To prepare geometrical drawing of components like
a. Stepped wall footing
b. Paneled doors
c. Glazed windows
d. Stair case
UNIT II
12
Hours
Functional design of building, positioning of various components of buildings, orientation of
buildings, building standards, governing laws, set back distances and calculation of carpet
area, plinth area and floor area ratio.
UNIT III
15 Hours
Development of plan, elevation, section and schedule of openings from the given line
diagram of buildings.
Reference Books
1. M.H.Shah and C.M. Kale (2002), Building Drawing, 5th Edition, Tata McGraw Hill
Publishing Co Ltd., New Delhi.
2. Gurucharan Singh (2006), Building Planning, Designing and Scheduling, Standard
Publishers & Distributors, New Delhi.
3. National Building Code of India, BIS, New Delhi, 2005.
UE14CV207 BASIC SURVEYING PRACTICE (0-0-2-0-1)
Course objectives
1. Enable the student to capture information using the instruments & environment to
avoid possible errors in surveying.
2. Student will gain knowledge about the equipments like Tapes/Chains, Compass,
Plane Table, Auto Level and Theodolite.
Course outcomes
On successfully completing the coarse students are able to:
1. Use surveying instrumentation effectively
2. Understand and apply appropriate surveying data management methods and tools.
LIST OF EXPERIMENTS
1. Measure distance between two points using direct ranging & set out perpendiculars
at various points on given line using cross staff, optical square and tape.
2. Setting out of rectangle, hexagon using tape and other accessories
3. To set out rectangles, pentagon, hexagon using tape and compass.
4. To determine the distance between two inaccessible points using tape & compass
5. Measurement of bearing of the sides of closed traverse and adjustment of closing
error by Bowditch method and transit method
6. To determine difference in elevation between two points using auto level
7. Measurement of horizontal angles with method of repetition and reiteration using
theodolite, measurement of vertical angles using theodolite.
8. Draw contours of a given area and determine the volume of the area
9. To locate points using radiation and intersection method of plane tabling
10. To solve 3-point problem in plane tabling using Bessels graphical solution
11. To determine the elevation of an object using single plane method when base is
PESU Student Handbook 2015-16 190
10 Hours
10 Hours
Three hinged semi circular and parabolic arches with supports at same levels and different
levels, determination of thrust, shear and bending moment. Analysis of Two hinged semi
circular and parabolic arches with supports at same levels and different levels, determination
of thrust, shear and bending moment for arches.
UNIT V
10 Hours
Rolling loads and influence lines: Introduction, Types of moving loads Concentrated,
Single, Pair, Multiple UDL, longer than span and shorter than span, Influence line
diagrams-Reaction, BM, SF, Absolute maximum BM and SF (only for statically determinate
beams).
Text books
1. C. S Reddy (2012), Basic Structural Analysis, 3rd Edition, Tata McGraw Hill, New
Delhi.
2. Vazirani and Ratwani (2012), Analysis of Structures, 17th Edition, Khanna Publishers.
Reference books
1. Devadas Menon (2012), Structural Analysis, Reprint, Narosa Publishing House.
2. T.S.Thandavamoorthy (2011), Structural Analysis, Oxford University Press.
3. Devadas Menon (2012), Advanced Structural Analysis, Narosa Publishing House.
4. C.K.Wang (1981), Introductory Structural Analysis Prentice Hall.
5. C.K.Wang (2011), Intermediate Structural Analysis, Tata McGraw Hill Publishing Co
Ltd.
6. R.C Coates, M.G Coutie, and F.K Kong (1998), Structural Analysis, ELBS/Van
Nostrand Reinhold, 3rd Edition, Singapore.
devices, introduction to fluid statics, hydrostatic forces on submerged plane surfaces, special
case: submerged rectangular plate, hydrostatic forces on submerged curved surfaces,
buoyancy and stability, stability of immersed and floating bodies, numerical problems.
UNIT II
10 Hours
Fluid kinematics: Lagrangian and Eulerian descriptions, Acceleration field, material
derivative; flow patterns and flow visualization, streamlines, pathlines, streaklines, timelines,
surface flow visualization techniques, numerical problems.
Mass, Bernoulli, and energy equations: Introduction, Conservation of mass, the linear
momentum equation, conservation of energy; conservation of mass, mass and volume flow
rates, conservation of mass principle, the Bernoulli equation, derivation, force balance
across streamlines, static, dynamic and stagnation pressures, limitations on the use of the
Bernoulli equation, hydraulic grade line (HGL) and energy grade line (EGL), applications of
the Bernoulli equation; numerical problems, Kinetic energy correction factor, numerical
problems.
UNIT III
10 Hours
Momentum analysis of flow systems: Newtons laws, choosing a control volume, forces
acting on control volume, the linear momentum, special cases, momentum-flux correction
factor, , steady flow, steady flow with one inlet and one outlet,
Flow in pipes: Introduction, Laminar and turbulent flows, Reynolds number, entrance
region, Entry lengths, laminar flow in pipes, pressure drop and head loss, inclined pipes,
turbulent flow in pipes, turbulent velocity profile, the moody chart, types of fluid flow
problems, minor losses, pipe in series and pipe in parallel, flow rate measurement, pitot and
pitot-static probes, obstruction flow meters: orifice, venturi, nozzle meters, variable- area
flowmeters, (rotameters), ultrasonic flowmeter, other flowmeters, numerical problems, Water
hammer, gradual closure of value, sudden closure of value, numerical problems.
UNIT IV
10 Hours
Differential analysis of fluid flow: Introduction, Conservation of mass The continuity
equation, derivation, alternative form of the continuity equation, special cases of the
continuity equation, the stream function, the stream function in Cartesian coordinates, the
Navier-stokes equation, continuity and Navier-Stokes equations in Cartesian coordinates,
exact solutions of the continuity and Navier-stokes equations, boundary conditions,
numerical problems
UNIT V
10 Hours
Flow measuring devices: Flow through orifices and mouthpieces, introduction,
classification of orifices, flow through an orifice, hydraulic coefficients, determination of
coefficient of velocity, coefficient of discharge, and coefficient of contraction, flow through
large orifice, flow through submerged orifice, flow through partially submerged orifices,
classification of mouthpieces, flow through external cylindrical mouthpieces, flow through
convergent-divergent mouthpieces, flow through internal (or re-entrant or Bordas )
mouthpiece, numerical problems
Flow over notches and weirs: Introduction, classifications of notches and weirs, discharge
over a rectangular notch or weir, discharge over a triangular notch or weir, discharge over a
trapezoidal notch or weir, discharge over a broad crested weir, discharge over a ogee weir,
discharge over submerged weir, numerical problems
Text Books
1. John M. Cimbala, Yunus A Cengel (2013 ), Essentials of Fluid Mechanics
Fundamental and Applications, McGraw Hill Education (India) Private limited, New
Delhi.
2. Sukumar Patil (2013), A Text Book On Fluid Mechanics And Hydraulic Machines,
First reprint, Tata Mc-Graw Hill Education (India) Private limited.
PESU Student Handbook 2015-16 193
Reference Books
1. RK Bansal (2013), A Textbook of Fluid Mechanics and Hydraulic Machines S.I. Units,
Revised 9th Edition, Laxmi Publications (P) Ltd.
2. Schaums (1989), Fluid Mechanics 2500 Solved Problems, 3rd edition,
Mcgraw
Hill.
3. Pijush .K.Kundu, Ira .M.Cohen (2015), Fluid Mechanics, 6th Edition Academic Press,
An Imprint of Elsiever.
4. Streeter (2013), Fluid Mechanics, 9th Edition Seventh reprint, Mcgraw Hill education
(India) Private limited, New Delhi.
5. K.Subramanya (2011),Fluid Mechanics and Hydraulic Machines Problems and
Solutions, Tata Mcgraw Hill Education,New Delhi.
UE14CV254
Course objectives
1. To understand different geometric objects - setting of curves, area & volume
calculation.
2. To know concepts of electro- magnetic waves, EDM, GPS, remote sensing,
photography and usage of computers in surveying.
Course outcomes
On successfully completing this course, students shall be able to:
1. analyze and solve the problems relating to setting of curves.
2. operated and collect the required data using total
3. analyse the mapping technique
4. apply appropriate surveying data capture technique.
UNIT I
7 Hours
Curve setting: Introduction to curves - simple circular curves, compound and reverse
curves, transition curves and vertical curves, parts of curve and usage. Simple circular
curves necessity types, simple curves, elements, designation of curves.
UNIT II
8 Hours
Setting out simple curves by linear methods, setting out curves by Rankines deflection
angle method.
UNIT III
8 Hours
Total station : Electro Magnetic Distance Measurement: Introduction, electromagnetic
waves, modulation, types of EDM instruments, principle of their working, salient features of
total station, , advantages of total station over conventional instruments, introduction to
various type of Total Station, application of total station.
UNIT IV
8 Hours
Global positioning system (GPS): Introduction, GPS principles, Satellite navigation
System, GPS-Space segment, Control segment, User segment, GPS satellite signals,
Receivers, Static, Kinematic and DGPS, Application of GPS.
UNIT V
8 Hours
Introduction to remote sensing: Idealized remote sensing system, basic principles of
remote sensing, observation platforms and applications of remote sensing.
Geographical information system (GIS): Definition and components of GIS, four Ms,
database and models, GIS packages and usage of GIS.
Photogrammetry: Basic concepts of terrestrial photogrammetry and aerial photogrammetry,
photo theodolite, horizontal & vertical angles, horizontal position, type of photographs and
geometry of aerial photographs.
PESU Student Handbook 2015-16 194
Text books
1. B. C. Punmia, Ashok K Jain, Arun K Jain (2005), Surveying Volume II, 15th Edition,
Laxmi Publications.
2. B. C. Punmia, Ashok K Jain, Arun K Jain (2005), Surveying Volume III, 15th Edition,
Laxmi Publications.
3. M Anji Reddy (2012), Remote Sensing and GIS,4th Edition BS Publications/BSP
Books.
Reference books
1. James.M. Anderson (1997), Surveying Theory and Practice, 7th Edition, McGraw Hill
Publication.
2. M. Chandra (2006), Plane Surveying, 2nd Edition, New Age International (P) Ltd.
3. A.M. Chandra (2006), Higher Surveying, 2nd Edition, New Age International (P) Ltd.
4. Milton O. Schimidt (year), Fundamentals of Surveying, 2nd Edition, Wong, Thomson
Learning.
5. S.K. Roy(2006), Fundamentals of Surveying, 2nd Edition, Prentice Hall of India
6. Survey of India Publication on maps.
10 Hours
Lateral earth pressure: Active and Passive earth pressures, Earth pressure at rest.
Rankines and Coulombs Earth pressure theories-assumptions and limitations, Graphical
solutions for active earth pressure (cohesionless soil only) Culmanns and Rebhanns
methods, Lateral earth pressure in cohesionless soils, Earth pressure distribution.
Stability of earth slopes: Types of slopes, causes and type of failure of slopes. Definition of
factor of safety, Stability of infinite slopes, Stability of finite slopes by Method of slices and
Friction Circle method, Taylors stability number, Fellineous method, methods to improve
slope stability.
UNIT IV
12 Hours
Bearing capacity: Definitions of ultimate, net and safe bearing capacities, Allowable
bearing pressure. Terzaghis and Brinch Hansens bearing capacity equations - assumptions
and limitations, Bearing capacity of footing subjected to eccentric loading. Effect of ground
water table on bearing capacity. Field methods of evaluation of bearing capacity - Plate load
test.
Foundation settlement: Importance and Concept of Settlement Analysis, Immediate,
consolidation and Secondary settlements (no derivations, but, computation using relevant
formula for Normally Consolidated soils), Tolerance. BIS specifications for total and
differential settlements of footings and rafts.
UNIT V
10 Hours
Raft and pile foundations: Allowable Bearing Pressure, Factors influencing the selection of
depth of foundation, Factors influencing Allowable Bearing Pressure, Factors influencing the
choice of foundation, combined, Classification of pile foundation, Pile capacity, Proportioning
foundation pile groups in sand and clay by dynamic and static formula pile load test.
Text Books
1. Alam Singh (2012), Soil Engineering; In Theory And Practice: Fundamentals And
General Principles , Volume I, 4th Edition, CBS Publishers and Distributors Pvt. Ltd.
2. K. R. Arora (2011), Soil Mechanics and Foundation Engineering (Geotechnical
Engineering), 7th Edition, Standard Publishers Distributors.
References Books
1. B.C.Punmia, Ashok Kumar Jain, Arun Kumar Jain (2005), Soil Mechanics and
Foundations, 16th Edition, Laxmi Publications, New Delhi.
rd
2. Alam Singh (2012), Modern Geotechnical Engineering, 3 Edition, CBS Publishers and
Distributors Pvt. Ltd.
3. V.N.S Murthy(2012), Soil Mechanics and Foundation Engineering; Geotechnical
Engineering Series, 4th Edition, UBS Publishers and Distributors, New Delhi.
th
4. Braja, M. Das (2002), Geotechnical Engineering, , 5 Edition, Thomson Business
Information India (P) Ltd., India
th
5. J.E Bowles (1996), Foundation Analysis and Design, 5 Edition, McGraw Hill Pub. Co.
New York.
UE14CV256 ADVANCED SURVEYING PRACTICE (0-0-2-0-1)
Course Objectives
1. Enable the student to capture information using Total Station, transfer the same to a
computer and map the same using software.
2. Use GPS equipments for tracking and locating coordinates.
Course outcomes
On successfully completing the coarse students are able to:
1. Process and analyze surveying data using appropriate computational and
analytical techniques
PESU Student Handbook 2015-16 196
2. Use the data for the design and setting out of engineering works
LIST OF EXPERIMENTS:
1. Demonstration of Total Station and determine difference in elevation between two
points.
2. Measurement of horizontal angles, Vertical angles and elevation using Total Station.
3. To determine the distance and difference in elevation using Total Station.
4. To set out simple curves using Total Station.
5. To set out Profile leveling.
6. Create a map of an existing area in the campus and plot on a computer.
7. Application of GPS Equipments.
Demonstration
Usage of relevant softwares for preparation of the contour drawings.
Text Books
1. B. C. Punmia, Ashok K Jain, Arun K Jain (2005), Surveying Volume II, 15th
Edition, Laxmi Publications.
2. B. C. Punmia, Ashok K Jain, Arun K Jain (2005), Surveying Volume III, 15th
Edition, Laxmi Publications.
3. M. Anji Reddy (2012), Remote Sensing and GIS, 4th Edition, BS Publications/BSP
Books.
Reference Books
1. James.M. Anderson (1997), Surveying Theory and Practice,7th Edition, McGraw Hill
Publication.
2. Milton O. Schimidt (1985), Fundamentals of Surveying, 2nd Edition, Wong, Thomson.
3. Manual Department of Civil Engineering, PESU
UE14CV257 GEOTECHNICAL ENGINEERING LABORATORY (0-0-2-0-1)
Course Objectives
1. Familiarize students with geotechnical test methods used in the field for soil testing.
2. Conduct test efficiently and without sacrificing the quality of results.
3. Understand laboratory testing methods and the standard test procedures.
Course outcomes
1. The students will be able to perform reports on soil exploration program.
2. They will be in a position to know the practical approach for determination of soil
properties.
LIST OF EXPERIMENTS
1. Determination of water content by oven drying method and Pycnometer method.
3. Determination of specific gravity by Pycnometer and density bottle.
4. Determination of grain size distribution by sieve analysis (Mechanical analysis).
5. Determination of Atterbergs limits-Liquid limit, plastic limit and shrinkage limit.
a) Liquid limit (Casagrande and Cone Penetration Methods).
b) Plastic limit.
c) Shrinkage limit.
6. Standard Proctor Compaction Test.
7. Determination of In situ density by core cutter and sand replacement methods.
8. Determination of Coefficient of permeability by constant head and variable head
methods.
PESU Student Handbook 2015-16 197
9. Strength Tests.
Reference Books
1. I.S:2720-Relevant parts
2. Geotechnical Engineering Lab Manual, Department of Civil Engineering, PES
University
3. Dr. K. Madhavan (2009), Geotechnical Engineering Laboratory Manual, Prof. IIT
Kanpur.
4. Alam Singh (2012), Soil Engineering: In Theory And Practice; Fundamentals and
General Principles,Vol. 1, 4th Edition, CBS Publishers and Distributors Pvt. Ltd.
5. Alam Singh, (2012), Modern Geotechnical Engineering, 3rd Edition, CBS Publishers
and Distributors Pvt. Ltd .
6. K. R. Arora (2011), Soil Mechanics and Foundation Engineering (Geotechnical
Engineering) 7 th Edition, Standard Publishers Distributors.
LIST OF EXPERIMENTS
1. Tensile, shear and compression tests of metallic specimens using Universal Testing
Machine
2. Izod and Charpy tests on metal specimens
3. Torsion test on mild steel specimen
4. Brinell, Rockwell and Vickers Hardness test
5. Flexural strength of wood specimen only.
6. Abrasive test on roof tiles.
7. Tests on fine aggregates moisture content, specific gravity, bulk density, sieve
analysis and bulking.
8. Tests on coarse aggregates absorption, moisture content, specific gravity, bulk
density and sieve analysis.
9. Dimension tolerance test for bricks.
10. Identification and description of minerals based on their physical properties
11. Identification of igneous rocks, sedimentary rocks and metamorphic rocks
Text Books:
1. Davis & Troxell (1982), The Testing Of Engineering Materials, McGraw-Hill Higher
Education.
PESU Student Handbook 2015-16 198
2. S. K. Parbin (2012), A Text Book of Geology, Kataria & Sons Publication, 2012
Reference Books:
1. Manual Department of Civil Engineering, PES University.
2. IS codes as specified in the manual.
Course Objectives:
1. Impart understanding of working principles and applications of semiconductor
devices in the design of electronic circuits.
2. Introduce basic applications like rectifiers, amplifiers and other signal conditioning
circuits with emphasis on practical design considerations.
3. Provide basic understanding of digital circuits and principles of logic design.
4. To enhance the understanding of the topics in the curriculum, specific activities have
been designed as conceptual and hands-on aid.
Course outcomes:
On successful completion of this course, the students will be able to:
1. Analyze and appreciate the working of electronic circuits involving applications of
diodes and transistors.
2. Comprehend working of amplifiers.
3. Design simple analog circuits using general purpose op-amp IC 741.
4. Design combinational digital circuits to meet a given specification using digital ICs
5. Develop simple projects based on the different devices studied in this course.
UNIT I
10 Hours
Semiconductor diode theory: Intrinsic and Extrinsic semiconductors, Semiconductor
diode under forward and reverse bias, Shockleys equation, Zener and Avalanche
breakdown, Comparison between Si, Ge and GaAs diodes, temperature effects, Ideal versus
Practical diode, Diode resistances, Diode equivalent circuits, Zener diode characteristics,
Light-emitting diodes, Series diode configurations.
UNIT II
10 Hours
Semiconductor diode applications: Diode OR and AND gates, Half-wave, Full-wave and
bridge rectifier, ripple factor derivations, Peak inverse voltage. General filter considerations,
Shunt capacitor filter with derivation for ripple factor. Zener diode voltage regulator,
Regulated power supply.
UNIT III
10 Hours
Digital electronics: Basic gates(review), Boolean Algebra, Boolean laws and theorems,
Simplification of Boolean expressions, Universal gates NAND and NOR, SOP expression,
Arithmetic building blocks Half and Full Adder, Data-Processing circuits Multiplexers,
Demultiplexers, 1-of-16 Decoder, BCD-to-decimal Decoders, Encoders.
UNIT IV
14 Hours
Bipolar junction transistors: Transistor construction, transistor operation, Transistor
configurations - Common base and common emitter configurations input and output
characteristics, common collector configuration. Transistor amplifying action. Basic CE
amplifier, DC load line and operating point. Selection of the operating point, Need for bias
stabilization. Biasing circuits: Fixed bias, Emitter resistor bias, Voltage divider bias, Bias
circuit design, Bias stabilization, Stability factor (only definitions), Transistor NOT gate,
Single stage CE Amplifier, Decibel voltage and power gains.
PESU Student Handbook 2015-16 199
UNIT V
8 Hours
Introduction to operational amplifiers: The Operational Amplifier, Schematic Symbol,
Equivalent circuit of op-amp, Ideal voltage transfer curve, Op-Amp parameters and its values
for Op-Amp 741 Offset voltages and currents, Input and output resistances, GBW, CMRR,
Slew rate (Definitions and significance only), Ideal Op-amp, Negative feedback.
Practical Op-Amp circuits: Inverting Amplifier, Non-inverting Amplifier, Voltage follower,
Summing Amplifier, Integrator, Differentiator, Voltage Subtraction, Basic comparator (ZCD).
Reference Books:
1. Robert. L. Boylestad and Louis Nashelsky (2009), Electronic Devices and Circuit
Theory, 10th Edition, PHI.
2. Ramakant A Gayakwad (2010), Op-amps and Linear Integrated Circuits, 4th Edition,
PHI.
3. Albert Paul Malvino, Donald P Leach, Goutamsaha (2007), Digital Principles and
Applications, 6th Edition, Tata McGraw Hill.
Suggested Reading
1. Jacob Millman and Christos C. Halkias (2010), Integrated Electronics, 2nd Edition,
Tata McGraw Hill.
Resonant circuits: Series and parallel resonance, frequency response of series and
parallel resonant circuits, Q-factor, bandwidth.
UNIT IV
10 Hours
Applications of Laplace transform: Circuit analysis in s-domain.
Two-port networks: Network functions, two-port parameters of networks: Z, Y, h, and
transmission parameters, relationships between two-port parameters, transfer functions
using two-port parameters, interconnection of two-ports, incidental dissipation, analysis of
ladder networks.
UNIT V
12 Hours
Elements of Realizability theory: Causality and stability, Hurwitz polynomial, positive real
functions, elementary synthesis procedures.
Synthesis of one port networks with two kinds of elements: Properties of LC admittance
functions, RC driving point impedances, RL impedances and RC admittances. Synthesis of
LC driving point admittances, RC impedances, RL admittances, RLC functions.
Text Book:
1. M.E Van Valkenburg (2006), Network Analysis, 3rd Edition, PHI.
Reference Book:
1. W.H. Hayt, J.E. Kemmerly, S.M. Durbin (2007), Engineering Circuit Analysis, 7th
Edition, TMH.
2. Franklin F Kuo (2006), Network Analysis and Synthesis, 2nd Edition, Wiley India.
UNIT II
12 Hours
The PN junction DIODE: Space-charge region, analytical relations at equilibrium, conditions
in the diode with voltage applied, currents in diode, diode capacitances, diode switching
characteristics.
Diode Applications: Clippers, Clampers and Voltage-Multiplier circuits.
UNIT III
10 Hours
Transistor at low frequencies :Two-port devices and hybrid model ,h-parameters,
Conversion formulas for the parameters of the 3 transistor configurations, Analysis of
transistor amplifier circuit using h-parameters, emitter follower, comparison of transistor
amplifier configurations, Millers Theorem and its dual, cascading transistor amplifiers,
Simplified CE hybrid model, High Input-Resistance Transistor circuits.
UNIT IV
12 Hours
Transistor at high frequencies: hybrid CE model, hybrid conductances, The hybrid
capacitances, validity of hybrid model, variations of hybrid parameters, the CE short
circuit current gain.
Power amplifiers:
Introduction definition and amplifier types, series-fed class A amplifier, class-B amplifier
operation, amplifier circuits and distortions, class-C amplifiers.
UNIT V
8 Hours
Field-effect Transistors: construction and characteristics of JFET, transfer characteristics,
depletion type MOSFET, enhancement type MOSFET, voltage divider biasing, FET small
signal model, Source-Follower (Common Drain) configuration.
Text Books
1. Kannan Kano (1997), Semiconductor Devices, 1st Edition, Pearson Education.
2. Jacob Millman, Christos C. Halkias (2009), Integrated Eletronics, TMH publications.
3. Boylestad, Louis Nashalsky (2007), Electronic Devices and Circuit Theory, 9th
Edition, PHI Publication.
9 Hours
Combinational logic modules and their applications: Binary adders and sub tractor,
Carry look ahead adder, decimal adder, Comparators, Decoders, Encoders, Multiplexers,
Realization using Mux and Mux trees, Programmable logic devices, PROMS,PAL, PLA
Devices.
UNIT III
7 Hours
Flip flops: The basic Bistable element, Flip Flops-Truth table, circuit, characteristic
equations, state equations, state transition diagrams, Latches, Timing considerations,
Master-slave Flip-flops (pulse triggered), Edge triggered Flip-flops, Characteristic equations.
UNIT IV
8 Hours
Sequential logic systems: Registers, Counters, Design of Synchronous & Asynchronous
counters, Structure and operation of clocked synchronous sequential, network, Analysis of
clocked synchronous sequential networks. Melay model, Moore model.
UNIT V
10 Hours
Logic families: Introduction to different logic families; CMOS inverter - circuit description
and operation, Structure and operations of TTL and CMOS gates; Electrical characteristics
of logic gates logic levels and noise margins, fan-out, propagation delay, transition time,
power consumption and power-delay product.Operational characteristics of MOSFET as
switch; TTL inverter - circuit description and operation.
Text Books
1. Donald D. Givone (2007), Digital Principles and Design, Tata-McGraw Hill.
2. Mano and Kim (2001), Logic and Computer Design Fundamentals, 2nd Edition,
Pearson Publication.
UE14EC205: ELECTROMAGNETIC FIELD THEORY (4-0-0-0-4)
Course Objectives
The circuit theory concepts is inadequate for explaining phenomenas like radiation,
communication between two walkie-talkies or mobile phones at a distance. Electromagnetic
field theory will introduce the concepts and laws of static and time varying electric and
PESU Student Handbook 2015-16 203
magnetic fields and interaction between these two fields which provides an explanation for
the above said phenomena.
Course Outcomes
On successful completion of this Course, the students would be able to;
1. Calculate the electric field, scalar potential, stored energy, and capacitance
associated with simple distributions of charge
2. Calculate the magnetic field, stored energy, and inductance for simple distributions of
current density.
3. Calculate the resistance of simple structures of given conductivity
4. Use appropriate Maxwell's equations in integral and differential forms to time-varying
field problems.
5. Explain an electromagnetic wave and determine parameters (frequency, phase
constant and velocity, associated intrinsic impedance) and power density.
6. Determine the attenuation constant, phase constant, and skin depth for waves in a
lossy medium, where the conductivity may range from low to high.
7. Distinguish between linear polarization, circular polarization, and elliptical polarization
with right-hand/left-hand orientation.
8. Calculate reflection and transmission coefficients and fields for uniform plane waves
normally-incident and obliquely-incident on planar interfaces.
UNIT I
14 Hours
Vector analysis: Introduction to coordinate systems and transformation, Cartesian
coordinates, circular cylindrical coordinates, spherical coordinates, vector operations in
different co-ordinate systems-Divergence, Curl, Gradient
Electrostatics:Introduction, Coulombs Law and field intensity, electric fields due to
continuous charge distributions, electric flux density, Gausss Law, Applications of Gausss
Law, Divergence of a vector and Divergence theorem, Electric potential, Gradient of a scalar
quantity, Relationship between Electric field and potential, an electric dipole and flux lines,
energy density in electrostatic fields.
UNIT II
8 Hours
Electric fields in material space: Continuity equation and relaxation time, boundary
conditions, Poissons and Laplaces equation, general procedure for solving Poissons or
Laplaces equation, resistance and capacitance.
UNIT III
10 Hours
Magnetostatic fields: Introduction, Biot-Savarts Law, Amperes circuital Law, applications
of Amperes Law, Curl of a vector and Stokes theorem, magnetic flux density, Maxwells
equation for static fields, magnetic scalar and vector potentials, derivation of Biot-Savarts
Law and Amperes Law.
UNIT IV
10 Hours
Magnetic forces, materials and devices: Introduction, forces due to magnetic fields,
magnetic torque and moment, a magnetic dipole, magnetization in materials, magnetic
boundary conditions, inductors and inductances, magnetic energy, magnetic circuits.
Maxwells equations:Introduction, Faradays Law, transformer and motional electromotive
forces, displacement current, Maxwells equations in final forms. Time harmonic fields.
UNIT V
10 Hours
Electromagnetic wave propagation:Introduction, wave propagation in lossy dielectrics,
plane waves in lossless dielectrics, plane waves in free space, plane waves in good
PESU Student Handbook 2015-16 204
conductors, power and Poynting vector, Wave polarization, reflection of a plane wave at
normal incidence, reflection of a plane wave at oblique incidence.
Text Book
1. Matthew N.O. Sadiku (2007), Principles of Electromagnetics, 4th Edition , Oxford
University Press .
Reference Books
1. Edward C Jordan, Keith G Balmain (2005), Electromagnetic waves and radiating
systems, 2nd Edition, PHI.
2. William H Hayt Jr (2007), Engineering Electromagnetics, 7th Edition, Tata Mc Graw
Hill.
3. Clayton R. Paul, Kath W. Whites, Syed A Nasar (2007), Introduction to
Electromagnetic fields, 2nd revised edition, TMH.
2.
3.
4.
5.
MUX/DEMUX use of 74153, 74139 for arithmetic circuits and code converter
6.
7.
8.
Truth table verification of Flip-Flops: (i) JK Master slave (ii) T type and (iii) D type
9.
10.
11. Shift left; Shift right, SIPO, SISO, PISO, PIPO operations using 74S95
12. Design and testing of Ring counter/Johnson counter.
13. Design and testing of Sequence generator
14. Open ended experiment
12 Hours
4. Determine the conditions for a time-domain function to have a Fourier transform, and
know how to relate the Fourier transform to its Z transform.
5. Analyze and design signals and system using transformation technique.
6. Use the unilateral Z transform to decompose the response of an LTI system into a
zero-state component and a zero-input component, and solve linear, constantcoefficient difference equations, with possibly non-zero initial conditions.
UNIT I
10 Hours
Signals and systems :Classification of signals, Continuous-time and discrete-time signals,
Transformations of the independent variable, Exponential and sinusoidal signals, The unit
impulse and unit step functions, Continuous-time and discrete-time systems, Basic system
properties.
UNIT II
10 Hours
Linear time-invariant systems: Discrete-time LTI systems: The convolution sum,
Continuous-time LTI systems: The convolution integral, Properties of LTI systems, Causal
LTI systems described by difference equations (Natural, Forced, and Complete Response).
UNIT III
10 Hours
Fourier series representation of periodic signals :Response of LTI systems to complex
exponentials, Trigonometric Fourier Series, Fourier series representation of continuous-time
periodic signals, Convergence of the Fourier series, Properties of continuous-time Fourier
series, Introduction to Fourier series representation of discrete-time periodic signals.
UNIT IV
12 Hours
The continuous-time Fourier transform: Representation of aperiodic signals: the
continuous-time Fourier transform, The Fourier transform for periodic signals, Properties of
continuous-time Fourier transform, Fourier transform pairs.
The discrete-time Fourier transform: Representation of aperiodic signals: the discretetime Fourier transform, The Fourier transform for discrete periodic signals, Properties of
discrete-time Fourier transform, Fourier transform pairs, Duality. Frequency Response of LTI
Systems Magnitude and Phase response.
UNIT V
10 Hours
The Z-transform:The Z-transform, The region of convergence for the Z-transform, The
inverse Z-transform, Properties of the Z-transform, Z-transform pairs, Analysis and
characterization of LTI systems using Z-transforms. The unilateral Z-transform and solution
of difference equations.
Text Books:
1. V. Oppenheim and A. S. Willsky with S. H. Nawab (1997), Signals and Systems, 2nd
Edition, Pearson Education..
Reference Books:
1. B. P. Lathi (2006), Signal Processing and Linear Systems, 1st Indian Edition, Oxford
University Press.
2. Simon Haykin and Barry Van Veen (2004), Signals and Systems, 2nd Edition, Wiley
India,.
3. Ashok Ambardar (1999), Analog and Digital Signal Processing, Thomas Learning.
Embedded systems are systems in which most of the operations are controlled using the
program executed by processor/microcontrollers with associated peripherals. To interface
the peripherals to the processor, we need to understand its architecture. To efficiently write
programs we need the proper understanding of the programming model and instruction set
supported by the processor/microcontroller.
This course introduces basic architecture of microprocessors using intel 8085 .In this course
we extensively study all aspects of microcontrollers including architecture, organization
special features, instruction set and programming with reference to the intel 8051
Microcontroller. This course illustrates the different aspects of programming and builds up
the pre-requisite for the embedded system course.
Course Outcomes
On successful completion of this Course, the students would be able to;
1. Understand the architecture of microprocessor and microcontroller
2. Write assembly level and C programs for 8051 microcontroller
3. Interface various peripherals like ADC, motors, etc. To 8051 controller
4. Develop a complete microprocessor based system
5. Understand the concepts of system programming using cross-assemblers and crosscompilers.
UNIT I
9 Hours
Introduction: Microprocessors, Microcomputers, Microprocessor Architecture and
Microcomputer System and its operations.
8085 Microprocessor architecture: 8085 Microprocessor Detailed functional block
Diagram and Architecture, the 8085 Programming Model, Demultiplexing the AD bus and
Generating Control Signals, Instruction, opcode and data format
Microprocessors & micro controller: RISC&CISC CPU architectures, Harvard &
VonNeuman CPU Architecture
UNIT II
9 Hours
The 8051 architecture: Introduction, 8051 Microcontroller hardware, I/O pins, ports and
circuits, external memory
8051 Addressing modes & operations: Introduction, Addressing modes ,External Data
moves, Code memory, read only data moves Indexed addressing mode, PUSH and POP
opcodes, Data exchanges, example programs
UNIT III
10 Hours
Logical operations introduction ,Byte level ,bit level logic operations, Rotate and Swap
operation ,example programs, Arithmetic operation introduction, flags, incrementing and
decrementing, addition subtraction, multiplication and division,. Decimal arithmetic, Example
programs
Jump & call operations: The JUMP and CALL program range, Jump calls and subroutine
and returns, example programming
UNIT IV
9 Hours
8051 programming in C: Data types and time delays in 8051C,I/O programming, Logic
operations, Data conversion programs, Accessing code ROM and Data serialization
Timer/Counter programming in 8051: Programming 8051 timers Counter programming,
Programming timer 0 and 1
8051 Serial communication: Basics of serial communication, 8051 connections to Rs-232,
8051 serial communication programming
UNIT V
11 Hours
7 Hours
Power density spectrum of Random Process: Power density spectrum and its properties,
relationship between power spectrum and autocorrelation function, cross-power density
spectrum and its properties.
UNIT V
7 Hours
Linear systems with random inputs: Random signal response of linear systems, System
evaluation using random noise, Spectral characteristics of system response, Spectral
factorization, Noise bandwidth, Bandpass, Band-limited and narrow band processes.
Text Books
th
1. Sheldon Ross(2002), A First Course In Probability, 6 Edition, Pearson Education.
2. George R. Cooper and Clare D. McGillem (1999), Probabilistic Methods of Signal
and System Analysis, 3rd edition, Oxford University Press.
Reference Books
1. Peyton Z. Peebles, Jr. (2001), Probability, Random Variables and Random Signal
Principles, 4th Edition, McGraw-Hill
Course Outcomes
Upon successful completion of this course, the students will be able to;
1. Understand the basic concepts of advanced digital communication systems
2. Apply different modulation schemes to baseband signals
3. Analyze the BER characteristics of Baseband Modulated signals
4. Analyze the BER characteristics of Bandpass Modulated signals
UNIT I
8 Hours
Digital communication review: Comparison of Analog and Digital Communication,
Information & reliability trade-off; Elements of digital communication systems, Fundamental
limits; Time-frequency characterization of channels; Digital communication system design
overview, Error Correction coding, Modern Digital Communication trends.
UNIT II
9 Hours
Baseband & bandpass signals review : Comparison of baseband and bandpass systems;
Motivation for bandpass systems; Signal representation in Baseband systems; Bandpass
signal representation; Implications for communication system design. Simulation in Matlab &
Simulink.
UNIT II I
9 Hours
Baseband Modulation Methods : Overview of baseband modulation and demodulation;
Binary and M-ary PAM; Partial response signalling; Optimum receiver for Binary, M-Ary PAM
and PR signals in AWGN; BER characteristics of Baseband signals; Eye-diagrams.
Simulation in Matlab & Simulink.
UNIT IV
9 Hours
Bandpass modulation methods: Bandpass modulation and demodulation; Binary and Mary ASK, FSK, PSK signals; QAM signalling 4QAM, 16QAm, 64QAM modulations;
Differential (DPSK) modulation. Coherent and non-coherent demodulation methods in
AWGN; BER characteristics; Constellation plots. Simulation in Matlab & Simulink.
UNIT V
17 Hours
Wireless communication channels Motivation for wireless channels; Characteristics of
wireless channels in time & frequency; Fading models: Rayleigh and Rician; Channel
estimation and Equalization; Time and frequency signal processing in Wireless channels.
Space-time coding for wireless channels. Simulation of wireless channels.
OFDM system design: Advantages and challenges of an OFDM system; Typical OFDM
system design; Channel estimation, Synchronization and Equalization in an OFDM system;
Error control coding (ECC) in OFDM systems for fading channels. Simulation in Matlab &
Simulink.
Text Book:
1. David Silage (2009), Digital Communication Systems using Matlab & Simulink ,
Bookstand Publishing..
Reference Books
1. Simon Haykin (2013), Digital Communication Systems, Wiley Student Edition, .
2. Bernard Sklar (2009), Digital Communications: Fundamentals & Applications, Dorling
Kindersley.
students are introduced to advanced digital signal processing which involves multirate signal
processing and their applications in signal processing as well as communication systems.
This course also covers the relevant background theory for understanding and designing
multirate systems.
Course Outcomes
Upon successful completion of this course, the students will be able to;
1. Analyse
and
process
signals
in
the
discrete
domain.
Design FIR and IIR filters to suit specific requirements for specific applications.
2. Designing filters in multiple stages to reduce computational complexity
3. Understand the applications of multirate systems and filter banks
4. Designing M-channel quadrature mirror filters
5. A good understanding of Wavelet Transform and its relation to multirate filter banks
UNIT I
5 Hours
Review of signals and systems: Signals, Systems and Processing, Classification of
Signals, The Concept of Frequency in Continuous-Time and Discrete-Time Signals, Analogto-Digital and Digital-to-Analog Conversion
UNIT II
8 Hours
Discrete Fourier transform, its properties and applications : Frequency-Domain
Sampling: The Discrete Fourier Transform, Properties of the DFT, Linear Filtering Methods
Based on the DFT
UNIT II I
13 Hours
Design of digital filters: General Considerations, Design of FIR Filters, Design of IIR Filters
from Analog Filters, Frequency Transformations.
UNIT IV
12 Hours
Multirate digital signal processing : Introduction, EL Decimation by a factor D,
Interpolation by a factor I, Sampling rate Conversion by a factor I/D, implementation of
Sampling rate conversion, Multistage implementation of Sampling rate conversion, Sampling
rate conversion of Band Pass Signals, Sampling rate conversion by an arbitrary factor,
Applications of Multirate Signal Processing.
UNIT V
14 Hours
DFT filter banks and transmultiplexers: Digital Filter Banks, Two-channel quadrature
Mirror filter bank, M-channel QMF bank, transmultiplexers and Application of
transmultiplexers in communications Modulation.
Introduction to Time Frequency Expansion: Introduction, The STFT, The Gabor
Transform, The Wavelet Transform and its relation to Multirate Filter Banks
Reference Books
1. Proakis and Manolakis (1996), Digital Signal Processing, 4th Edition, Prentice Hall.
2. Roberto Cristi (2003), Modern Digital Signal Processing, Cengage Publishers
(Erstwhile Thompson Publications), India,.
3. P. P. Vaidyanathan (1993), Multirate Systems and Filter Banks, Pearson Education,
Inc.1993
4. S.K. Mitra (2007), Digital Signal Processing: A Computer Based Approach, 3rd
Edition, Tata McGraw Hill, India.
5. E.C. Ifeachor and B W Jarvis (2002), Digital Signal Processing, a Practitioners
Approach, 2nd Edition, Reprint, Pearson Education, India.
2. Neil Weste and K. Eshragian (2000), Principles of CMOS VLSI Design: A System
Perspective, 2nd Edition, Pearson Education (Asia) Pvt. Ltd.
Reference Books
1. K.V. Shibu (2009), Introduction to Embedded Systems, TMH Education Pvt. Ltd.
2. James K. Peckol (2008), Embedded Systems- A Contemporary Design Tool, John
Wiley,
1. Understand and apply basic research methods including research design, data
analysis, and interpretation.
2. Comprehend variety of research methods, including survey research, case studies,
comparative analysis, and the use of documentary/primary sources.
3. Understand the ethical issues in research project.
4. Prepare for and present a conference paper/poster at a national/international
conference.
5. Apply different software for preparation dissertation report and to perform plagiarism
check.
UNIT I
9 Hours
Foundations of Research: Meaning, Objectives, Motivation, Utility. Concept of theory,
empiricism, deductive and inductive theory. Characteristics of scientific method
Understanding the language of research Concept, Construct, Definition, Variable.
Research Process.
Problem Identification & Formulation: Research Question Investigation Question
Measurement Issues Hypothesis Qualities of a good Hypothesis Null Hypothesis &
Alternative Hypothesis. Hypothesis Testing Logic & Importance.
UNIT II
9 Hours
Research Design : Concept and Importance in Research Features of a good research
design Exploratory Research Design concept, types and uses, Descriptive Research
Designs concept, types and uses. Experimental Design: Concept of Independent &
Dependent variables.
Qualitative and Quantitative Research and Measurement: Qualitative research
Quantitative research Concept of measurement, causality, generalization, replication.
Merging the two approaches. Measurement: Concept of measurement what is measured?
Problems in measurement in research Validity and Reliability. Levels of measurement
Nominal, Ordinal, Interval, Ratio.
UNIT III
8 Hours
Interpretation of Data and Paper Writing: Layout of a Research Paper, Journals in
electronics and communication, Impact factor of Journals, When and where to publish
Ethical issues related to publishing, Plagiarism and Self - Plagiarism
Use of tools / techniques for Research: methods to search required information
effectively, Reference Management Software like Zotero/Mendeley, Software for paper
formatting like LaTeX/MS Office , Software for detection of Plagiarism
Reference Books
1. Donald Cooper & Pamela Schindler (2008), Business Research Methods, 10th
Edition, McGraw Hill.
2. Alan Bryman & Emma Bell (2007), Business Research Methods, 2nd Edition, Oxford
University Press.
3. C. R. Kothari (2004), Research Methodology: Methods and Techniques, 2nd Edition,
New Age International Publishers.
Upon successful completion of this course, the students will be able to;
1. Completely understand fundamentals of coding and how they can be applied to
design of error control systems.
2. Design good codes and of efficient decoding methods
UNIT I
10 Hours
Introduction to algebra: Groups, Fields, Binary Field Arithmetic, Construction of Galois
Field GF (2m) and its basic properties, Computation using Galois Field GF (2m) Arithmetic,
Vector spaces and Matrices.
Linear Block Codes:Generator and Parity check Matrices, Encoding circuits, Syndrome
and Error Detection, Minimum Distance Considerations, Error detecting and Error correcting
capabilities, Standard array and Syndrome decoding, Decoding circuits, Hamming Codes,
Reed Muller codes, The (24, 12) Golay code, Product codes and Interleaved codes.
UNIT II
10 Hours
Cyclic codes:Introduction, Generator and Parity check Polynomials, Encoding using
Multiplication circuits, Systematic Cyclic codes Encoding using Feedback shift register
circuits, Generator matrix for Cyclic codes, Syndrome computation and Error detection,
Meggitt decoder, Error trapping decoding, Cyclic Hamming codes, The (23, 12) Golay code,
Shortened cyclic codes.
UNIT III
8 Hours
BCH codes:Binary primitive BCH codes, Decoding procedures, Implementation of Galois
field Arithmetic, Implementation of Error correction. Non binary BCH codes: q ary Linear
Block Codes, Primitive BCH codes over GF (q), Reed Solomon Codes, Decoding of Non
Binary BCH and RS codes: The Berlekamp - Massey Algorithm.
Majority Logic Decodable Codes
One Step Majority logic decoding, one step Majority logic decodable Codes, Two step
Majority logic decoding, Multiple step Majority logic decoding.
UNIT IV
8 Hours
Convolutional codes:Encoding of Convolutional codes, Structural properties, Distance
properties, Viterbi Decoding Algorithm for decoding, Soft output Viterbi Algorithm, Stack
and Fano sequential decoding Algorithms, Majority logic decoding
UNIT V
16 Hours
Concatenated codes & turbo codes: Single level Concatenated codes, Multilevel
Concatenated codes, Soft decision Multistage decoding, Concatenated coding schemes with
Convolutional Inner codes, Introduction to Turbo coding and their distance properties,
Design of Turbo codes.
Burst error correcting codes: Burst and Random error correcting codes, Concept of
Inter leaving, cyclic codes for Burst Error correction Fire codes, Convolutional codes for
Burst Error correction.
Reference Books
1. Shu Lin & Daniel J. Costello (2004), Error Control Coding,, Second Edition,
Pearson / Prentice Hall. . Blahut (1984), Theory and Practice of Error Control
Codes, R.E., Addison Wesley,
Course Outcomes:
On successful completion of this Course, the students would be able to;
1. Understand concepts of optical signalling schemes and receiver configuration
2. Design an optical receiver and establish an optical communication network
3. Analyze the performance of optical communication systems.
UNIT I
8 Hours
Solution to Maxwells equation in a circularly symmetric step index optical fiber, linearly
polarized modes, single mode and multimode fibers , concept of V number, graded index
fibers total number of guided modes (no derivation)
UNIT II
10 Hours
Polarization maintaining fibers- attenuation mechanisms in fibers - dispersion in single mode
and multimode fibers, dispersion shifted and dispersion flattened fibers, attenuation and
dispersion limits in fibers,-nonlinear self phase modulation effect in single mode fibers.
UNIT III
12 Hours
Optical sources, LED and laser diode, principles of operation, concepts of line width, phase
noise, switching and modulation characteristics, typical LED and LD structures, optical
detectors, pn detector, pin detector, avalanche photodiode
UNIT IV
8 Hours
Principles of operation - concepts of responsivity - sensitivity and quantum efficiency noise in detection - typical receiver configurations (high impedance and transimpedance
receivers)
UNIT V
14 Hours
Intensity modulated direct detection systems - quantum limit to receiver sensitivity detected signal & shot noise - ISI and equalization - coherent systems - homodyne and
heterodyne systems - system structures - coherent systems using PSK, FSK, ASK and
DPSK modulations - related noise effects -performance degradation induced by laser phase
and intensity noise -degradation due to fiber dispersion - degradation induced by nonlinear
effects in fiber propagation
Optical amplifiers - semiconductor amplifier - rare earth doped fiber amplifier (with special
reference to erbium doped fibers) - Raman amplifier - Brillouin amplifier - principles of
operation - amplifier noise - signal to noise ratio - gain gain bandwidth - gain and
noise dependencies - intermodulation effects -saturation induced crosstalk - wavelength
range of operation
Reference Books
1. Leonid Kazovsky (1996), Optical Fiber Communication Systems, Artech House.
2. John Senior (2006), Optical Fiber Communications: Principles and Practice, 2nd
Edition, PHI.
3. Silvello Betti, Giancarlo De Marchis and Eugenio Iannone (1995), Coherent Optical
Communications Systems, John Wiley.
4. G.P.Agrawal (2013), Nonlinear Fiber Optics, 6th Edition, Academic Press.
5. Gerd Keiser (2008), Optical Fiber Communications, 4th Edition, McGraw Hill.
6. John Gowar (1993), Optical Communication Systems, 2nd Edition, Prentice Hall.
7. Govind P. Agrawal (2010), Fiber-Optic Communication Systems, 3rd Edition, John
Wiley & Sons.
The primary objective is to provide deep understanding of the various techniques in secure
communication. Upon completion of this course, the student will have sound knowledge in
the mathematical background of communication by secure means.
Course Outcomes:
On successful completion of this Course, the students would be able to;
1. Understand the basic concepts of data security and network security
2. Design algorithms for encryption and decryption
3. Implement digital watermarking in the spatial domain and frequency domain
UNIT I
12 Hours
Introduction: Data security and network security. Cryptography and watermarkingRings and
fields, Homomorphism, Euclidean domains, Principal Ideal Domains, Unique Factorization
Domains, Field extensions, Splitting fields, Divisibility, Euler theorem, Chinese Remainder
Theorem, Primality
UNIT II
10 Hours
Basic encryption techniques, Concept of cryptanalysis, Shannons theory, Perfect secrecy,
Block ciphers, Cryptographic algorithms - Features of DES, Stream ciphers, Pseudo
random sequence generators, linear complexity, Non-linear combination of LFSRs, Boolean
functions
UNIT III
10 Hours
Private key and Public key cryptosystems, One way functions , Discrete log problem,
Factorization problem, RSA encryption, Diffie Hellmann key exchange, Message
authentication and hash functions, Digital signatures, Secret sharing, features of visual
cryptography, other applications of cryptography
UNIT IV
10 Hours
Elliptic curves, Basic theory : Weirstrass equation, Group law, Point at Infinity, Elliptic curves
over finite fields, Discrete logarithm problem on EC, Elliptic curve cryptography, Diffie
Hellmann key exchange over EC, Elgamal encryption over EC ECDSA
Unit V:
10 Hours
Watermarking in spatial domain, Additive methods, spread spectrum based
methods, Information theoretic approach for watermarking, Watermarking in frequency
domain, Based on Discrete cosine transform, Discrete Wavelet transform and Contourlet
transform, different methods - Comparison between frequency domain and spatial domain
methods
Reference Books
1. Douglas A. Stinson(2005), Cryptography, Theory and Practice, 2rd edition, Chapman
& Hall, CRC Press Company, Washington
2. .Lawrence C. Washington(2008), Elliptic Curves 2nd edition, Chapman & Hall, CRC
Press Company, Washington.
3. Evangelos Kranakis, Primality and Cryptography, John Wiley & Sons
4. Rainer A. Ruppel, Analysis and Design of Stream Ciphers, Springer Verlag
5. Ingemar Cox, Matthew Miller, Jeffrey Bloom, Jessica Fridrich, Ton Kalker(1986),
Digital Watermarking and Steganography, 2nd Ed., Morgan Kaufman Publishers
6. Fernando Perez Gonzalez, Sviatoslav Voloshynovskiy(2009), Fundamentals of
Digital Image Watermarking, Book Description, John Wiley & Sons
The primary objective is to provide deep understanding of the various techniques in wireless
communication. It deals with multiplexing techniques and multicarrier modulation techniques
Course Outcomes
On successful completion of this Course, the students would be able to;
1. Understand the basic concepts of wireless communications
2. Analyze performance of wireless links
3. Understand the differences between 3G and 4G networks
UNIT I
12 Hours
Wireless Communications and Diversity Fast Fading Wireless Channel Modeling,
Rayleigh/Ricean Fading Channels, BER Performance in Fading Channels, Diversity
modeling for Wireless Communications, BER Performance Improvement with diversity,
Types of Diversity Frequency, Time, Space, WSSUS Channel Modeling, RMS Delay
Spread, Doppler Fading, Jakes Model, Autocorrelation, Jakes Spectrum, Impact of Doppler
Fading
UNIT II
10 Hours
Introduction to OFDM, Multicarrier Modulation and Cyclic Prefix, Channel model and SNR
performance, OFDM Issues PAPR, Frequency and Timing Offset Issues
UNIT III
10 Hours
Introduction to MIMO, MIMO Channel Capacity, SVD and Eigenmodes of the MIMO
Channel, MIMO Spatial Multiplexing BLAST, MIMO Diversity Alamouti, OSTBC, MRT,
MIMO - OFDM
UNIT IV
10 Hours
UWB (Ultrawide Band) - UWB Definition and Features, UWB Wireless Channels, UWB
Data Modulation, Uniform Pulse Train, Bit-Error Rate Performance of UWB
UNIT V
3G Wireless Standards GSM, GPRS, WCDMA
4G Wireless Standards LTE, WiMAX
10 Hours
Reference Books
1. David Tse and Pramod Viswanath (2005), Fundamentals of Wireless
Communications, Publisher, Cambridge University Press.
2. Andrea Goldsmith (2005), Wireless Communications, Cambridge University Press.
3. Theodore Rappaport (2009), Wireless Communications: Principles and Practice, 2nd
Edition, Prentice Hall.
4. Ezio Biglieri (2007), MIMO Wireless Communications, Cambridge University Press.
2.
3.
4.
UNIT I
10 Hours
Review of digital communication concepts, direct sequence and frequency hop spread
spectrum systems.
UNIT II
10 Hours
Hybrid direct sequence/frequency hop spread spectrum. Complex envelope representation
of spread spectrum signals.
UNIT III
10 Hours
Sequence generator fundamentals, Maximum length sequences. Gold and Kasami codes,
Nonlinear Code generators.
UNIT IV
10 Hours
Spread spectrum communication system model, Performance of spread spectrum signals in
jamming environments,
UNIT V
12 Hours
Performance of spread spectrum communication systems with and without forward error
correction. Diversity reception in fading channels
Cellular radio concept, CDMA cellular systems. Examples of CDMA cellular systems.
Multicarrier CDMA systems. CDMA standards
Reference Books
1. R. L. Peterson, R. E. Zeimer and D. E. Borth (1995), Introduction to Spread
Spectrum Communications, Pearson.
2. J. D. Proakis and M. Salehi (2008), Digital Communication, McGraw Hill.
3. J. Viterbi (1995), CDMA: Principles of Spread Spectrum Communications, Addision
Wesley.
architectures,
10 Hours
Components,
UNIT II I
Wireless personal area networks:Architectures,
Technologies and protocols, Bluetooth and Zigbee.
Components,
10 Hours
Requirements,
UNIT IV
10 Hours
Wireless LANs:Network components, design requirements, Architectures, IEEE-802.11x,
WLAN protocols, 802.11p and applications.
UNIT V
12 Hours
WMANs, IEEE-802.16
Architectures, Components, WiMax mobility support, Protocols, Broadband networks and
applications, WWANs, cellular networks, Satellite Network, Applications.
Wireless ad-hoc networks:Mobile ad-hoc networks, Sensor network, Mesh networks,
VANETs, Research issues in Wireless networks.
Reference Books
1. S. S. Manvi, and M. S. Kakkasageri (2010), Wireless and Mobile Network concepts
and Protocols, 1st edition, Wiley.
2. P. Kaveh, Krishnamurthy (2006), Principles of Wireless network: A Unified Approach,
PHI.
3. Iti Saha Mitra (2009), Wireless Communication And Network: 3G and Beyond,
McGraw Hill.
4. Ivan Stojmenovic (2009), Handbook of Wireless Networks and Mobile Computing,
Wiley.
5. P. Nicopolitidis, M. S. Obaidat, et al. (2009), Wireless Networks, Wiley.
6. Yi-Bing Lin, Imrich Chlamtac (2009), Wireless and Mobile Network Architectures,
Wiley.
7. Mullet (2009), Introduction to Wireless Telecommunication Systems and Networks,
Cengage.
10 Hours
Network of queues: Markovian queues, open queuing networks, closed queuing networks
UNIT IV
8 Hours
Guaranteed access: Performance analysis of MAC schemes - polling, token ring
Unit V
12 Hours
Random access schemes: pure ALOHA, slotted ALOHA and CSMA/CA - Performance
analysis of 802.11 DCF protocol. Call admission control systems.
Reference Books
1. Ronald W. Wolff (1989), Stochastic Modeling and the Theory of Queues, PrenticeHall International.
2. Peter G. Harrison and Naresh M. Patel (1993), Performance Modeling of
Communication Networks and Computer Architectures, Addison-Wesley.
3. Gary N. Higginbottom (1998), Performance Evaluation of Communication Network,
Artech House.
4. Anurag Kumar, D. Manjunath, and Joy Kuri (2004), Communication Networking: An
Analytical Approach, Morgan Kaufman Publisher
5. D. Bertsekas and R. Gallager (1989), Data Networks, Prentice Hall of India.
6. Ross, K.W. (2012), Multiservice Loss Models for Broadband Telecommunication
Networks, Springer-Verlag
7. Walrand, J. (1988), An Introduction to Queuing Networks, Prentice Hall
UNIT III
9 Hours
Testable memory design: RAM Fault Models, Test Algorithms for RAMs, Detection of
Pattern Sensitive Faults, BIST Techniques for RAM Chips, Test Generation and BIST for
Embedded RAMs.
UNIT IV
9 Hours
Importance of design verification: What is verification? What is attest bench? The
importance of verification, Reconvergence model, Formal verification, Equivalence checking,
Model checking, Functional verification. Verification Tools: Linting tools: Limitations of
linting tools, linting verilog source code, linting VHDL source code, linting OpenVera and
esource code, code reviews.
UNIT V
11 Hours
Simulators: Stimulus and response, Event based simulation, cycle based simulation, Cosimulators, verification intellectual property: hardware modelers, waveform viewers
The verification plan: The role of verification plan: specifying the verification plan, defining
the first success. Levels of verification: unit level verification, reusable components
verification, ASIC and FPGA verification, system level verification, board level verification,
verifying strategies, verifying responses.
Static timing verification: Concept of static timing analysis. Cross talk and noise.
Limitations of STA. slew of a wave form, Skew between the signals, Timing arcs and
unateness, Min and Max timing paths, clock domains, operating conditions, critical path
analysis, falsepaths, Timing models.
Physical design verification: Layout rule checks and electrical rule checks. Parasitic
extraction. Antenna, Crosstalk and Noise: Cross talk glitch analysis, crosstalk delayanalysis,
timing verification
Reference Books
1. P. K. Lala (1997), Digital Circuit Testing and Testability, Academic Press.
2. M.L. Bushnell and V.D. Agrawal (2000), Essentials of Electronic Testing for Digital,
Memory and Mixed-Signal VLSI Circuits, Kluwar Academic Publishers.
3. M. Abramovici, M.A. Breuer and A.D. Friedman (2002), Digital Systems and Testable
Design, Jaico Publishing House.
4. Janick Bergeron (2003), Writing Testbenches: Functional Verification of HDL models,
2nd Edition ,Kluwer Academic Publishers.
5. Jayaram Bhasker, Rakesh Chadha (2009), Static Timing Analysis for Nanometer
Designs- A Practical Approach, Springer Publications.
6. Prakash Rashinkar, Peter Paterson, Leena Singh (2001), System-on-a-Chip
Verification: Methodology and Techniques, Kulwer Publications.
7. http://www.cse.psu.edu/~vijay/verify/instructors.html
11 Hours
UNIT II
12 Hours
Structs, unions, packed and unpacked arrays, semaphores and mailboxes: Structs and
its assignments, packed and unpacked arrays, array indexing, structs and packed structs,
Unions and packed unions, dynamic arrays and methods, for each loop, associative arrays
and methods, queues and concatenation operations, queue methods, semaphores and
methods, mailboxes and methods, bounded and unbounded mailboxes.
UNIT III
9 Hours
Class and randomization: System verilog class basics, class declaration, class members
and methods, class handles, class object construction, super and this keywords, object
handles, user defined constructors, class extension and inheritance, chaining new()
constructors, overriding class methods, extending class methods, local and protected
keywords, constrained random variables, directed vs random testing, rand and randc class
data types, randomize-randomizing class variables, random case, built-in-randomization
methods, random sequence and examples.
UNIT IV
9 Hours
Interfaces: Interface overview, generic interfaces, interfaces vs records, how interfaces
work, requirements of good interface, interface constructs, interface mode ports.
Program block: Fundamental test bench construction, program blocks, program block
interaction with modules, final blocks, Test bench stimulus/Verification vector timing
strategies.
UNIT V
11 Hours
Clocking: Clocking blocks, clocking skews, clocking block scheduling, fork-join processes.
Constrained random variables, coverage, methods and interfaces: Randomization
constraints, simple and multi-statement constraints, constraint distribution and set
membership, constraint distribution operators, external constraints, cover groups, cover
points, cover point bins and labels, cross coverage, cover group options, coverage
capabilities. Virtual class, why to use virtual class, virtual class methods and restrictions,
polymorphism using virtual methods, pure virtual methods, pure constraints, passing type
parameters, virtual interfaces.
System verilog assertions: Assertion definition, assertion benefits, system Verilog
assertion types, immediate assertions, concurrent assertions, assert and cover properties
and labels, overlapping and non-overlapping implications, edge testing functions,
sequences, Vacuous success, property styles, System Verilog assertion system functions,
Assertion severity tasks, assertion and coverage example of an FSM design.
Reference Book:
1. Christian B Spear (2014), System Verilog for Verification: A Guide to Learning the
Test Bench Language Features, 3rd Edition, Springer Publications.
2. Vijaya Raghavan (2005), System Verilog Assertions, Springer Publications.
3. Sutherland (2004), System verilog for Design, Springer Publications.
UNIT I
11 Hours
Basic MOS device physics: General considerations, MOS I/V Characteristics, second
order effects, MOS device models.
Single stage Amplifier: CS stage with resistance load, divide connected load, current
source load, triode load, CS stage with source degeneration, source follower, common-gate
stage, cascade stage, choice of device models.
UNIT II
12 Hours
Frequency response of CS stage: source follower, Common gate stage, Cascade stage
and Difference pair. Noise in CS stage, C- G stage, source follower, cascade stage,
differential pair
UNIT III
9 Hours
Differential amplifiers & current mirrors: Basic difference pair, common mode response,
Differential pair with MOS loads, Gilbert cell. Basic current mirrors, Cascade mirrors, active
current mirrors.
UNIT IV
9 Hours
Operational Amplifiers: One Stage OP-Amp. Two Stage OP-Amp, Gain boosting, Common
Mode Feedback, Slew rate, Power Supply Rejection, Noise in Op Amps.
UNIT V
11 Hours
Oscillators and phase locked loops: Ring Oscillators, LC Oscillators, VCO, Mathematical
Model of VCO. Simple PLL, Charge pump PLL, Non-ideal effects in PLL, Delay locked loops
and applications.
Bandgap references and switched capacitor circuits: General Considerations, Supply
Independent biasing, PTAT Current Generation, Constant Gm Biasing, Sampling Switches,
Switched Capacitor Amplifiers.
Data converter architectures: DAC & ADC Specifications, Resistor String DAC, R-2R
Ladder Network, Current Steering DAC, Charge Scaling DAC, Cyclic DAC, Pipeline DAC,
Flash ADC, Pipeline ADC, Integrating ADC, Successive Approximation ADC.
Reference Book:
1.
Behzad Razavi (2007), Design of Analog CMOS Integrated Circuits , TMH.
Device & Technology Impact on Low Power: Dynamic dissipation in CMOS, Transistor
sizing & gate oxide thickness, Impact of technology Scaling, Technology & Device innovation
UNIT II
12 Hours
Power estimation, Simulation Power analysis: SPICE circuit simulators, gate level logic
simulation, capacitive power estimation, static state power, gate level capacitance
estimation, architecture level analysis, data correlation analysis in DSP systems, Monte
Carlo simulation.
UNIT III
9 Hours
Probabilistic power analysis: Random logic signals, probability & frequency, probabilistic
power analysis techniques, signal entropy.
UNIT IV
9 Hours
Low Power Design Circuit level: Power consumption in circuits. Flip Flops & Latches
design, high capacitance nodes, low power digital cells library. Logic level: Gate
reorganization, signal gating, logic encoding, state machine encoding, pre-computation logic.
UNIT V
11 Hours
Low power Architecture & Systems: Power & performance management, switching
activity reduction, parallel architecture with voltage reduction, flow graph transformation, low
power arithmetic components, low power memory design.
Low power Clock Distribution: Power dissipation in clock distribution, single driver Vs
distributed buffers, Zero skew Vs tolerable skew, chip & package co design of clock network
Algorithm & Architectural Level Methodologies: Introduction, design flow, Algorithmic
level analysis & optimization, Architectural level estimation & synthesis.
Reference Books
1. Kaushik Roy, Sharat Prasad (2000), Low-Power CMOS VLSI Circuit Design Wiley.
2. Gary K. Yeap (2002), Practical Low Power Digital VLSI Design, KAP.
3. Rabaey, Pedram (1997), Low Power Design Methodologies, Kluwer Academic.
UNIT II
9 Hours
Image sampling and quantization: Introduction, 2D sampling theory, Limitations in
sampling & reconstruction, Quantization, Optimal quantizer, Compander, Visual
quantization.
Image Transforms: Introduction, 2D orthogonal & unitary transforms, Properties of unitary
transforms, DFT, DCT, DST, Hadamard, Haar, Slant, KLT, SVD transform.
UNIT III
12 Hours
Image representation by stochastic models: Introduction, one dimensional Causal
models, AR models, Non-causal representations, linear prediction in two dimensions.
Image Enhancement: Point operations, Histogram modelling, spatial operations, Transform
operations, Multi-spectral image enhancement, false color and Pseudo-color, Color Image
enhancement. Image filtering & restoration: Image observation models, Inverse &Wiener
filtering, Fourier Domain filters, Smoothing splines and interpolation, Least squares filters,
generalized inverse, SVD and Iterative methods, Maximum entropy restoration, Bayesian
methods, Coordinate transformation & geometric correction, Blind de-convolution.
UNIT IV
12 Hours
Image analysis & computer vision: Spatial feature extraction, Transform features, Edge
detection, Boundary Extraction, Boundary representation, Region representation, Moment
representation, Structure, Shape features, Texture, Scene matching & detection, Image
segmentation, Classification Techniques.
Image Reconstruction from Projections: Introduction, Radon Transform, Back projection
operator, Projection theorem, Inverse Radon transform, Fourier reconstruction, Fan beam
reconstruction, 3D tomography.
Image data compression: Introduction, Pixel coding, Predictive techniques, Transform
coding, Inter-frame coding, coding of two tone images, Image compression standards.
UNIT V
10 Hours
Video processing: Fundamental Concepts in Video Types of video signals, Analog video,
Digital video, Color models in video, Video Compression Techniques Motion
compensation, Search for motion vectors, H.261,H.263, MPEG I, MPEG 2, MPEG 4, MPEG
7 and beyond, Content based video indexing.
Reference Books:
1. Anil K. Jain (2004), Fundamentals of Digital Image Processing, Pearson Education
(Asia) Pte. Ltd./ Prentice Hall of India..
2. Z. Li and M.S. Drew (2004), Fundamentals of Multimedia, Pearson Education (Asia)
Pte. Ltd.
3. R. C. Gonzalez and R. E. Woods (2004), Digital Image Processing, 2nd edition,
Pearson Education (Asia) Pvt. Ltd./ Prentice Hall of India..
4. M. Tekalp (1995), Digital Video Processing, Prentice Hall, USA.
UNIT I
12 Hours
Speech production and acoustic phonetics: Anatomy and Physiology of Speech Organs;
Articulatory phonetics; Acoustic phonetics; Acoustic theory of speech production, Lossless
tube models, and Digital models for speech signals; Coarticulation and Prosody.
Hearing and speech perception:Sound Perception; Response of the ear to complex
Stimuli; Perceptually important Features of Speech signals; Models of Speech Perception;
Vowel Perception and consonant perception; Duration and phonemic cue; Intonation and
other aspects of speech perception.
UNIT II
8 Hours
Time domain models for speech processing:Time dependent processing of speech,
Short time energy and average magnitude, Short time average zero crossing rate, Speech
vs. silence discrimination, Pitch period estimation, Short time autocorrelation function, Short
time average magnitude difference function, Median smoothing.
UNIT III
8 Hours
Short time Fourier analysis and synthesis:Linear Filtering interpretation, Filter bank
summation method, Overlap addition method, Design of digital filter banks, Implementation
using FFT, Spectrographic displays, Pitch detection, Frequency-Domain Pitch period
estimation, Analysis by synthesis, Analysis synthesis systems.
UNIT IV
10 Hours
Analysis and synthesis of Pole-Zero Speech Models:All-pole modeling of Deterministic
Signals; Linear prediction analysis of Stochastic speech sounds; Basic principles of linear
predictive analysis, Solution of LPC equations, Prediction error signal, Frequency domain
interpretation, Relation between the various speech parameters, Synthesis based on all-pole
modeling, Pole-zero estimation; decomposition of Glottal Flow Derivatives.
UNIT V
14 Hours
Homomorphic signal processing :Homomorphic systems for convolution, Complex
cepstrum of speech like sequences, spectral-root Homomorphic filtering; Short-time
Homomorphic analysis of periodic sequences, Short-time speech analysis/synthesis using
Homomorphic processing, Contrasting Linear prediction and Homomorphic filtering, Pitch
detection, Formant estimation, and Homomorphic vocoder.
Speech synthesis and recognition:Principles of speech synthesis, Synthesizer methods,
Synthesis of intonation. Automatic Speech Recognition: Introduction, Speech recognition vs.
Speaker recognition, Signal processing and analysis methods, Pattern comparison
techniques, Hidden Markov Models.
Text Books
1. Thomas F. Quatieri (2002), Discrete-time Speech Signal Processing: Principles and
Practice, Pearson Education (Singapore) Pvt. Ltd.
2. D. OShaughnessy (2001), Speech Communications: Human and Machine,
Universities Press.
3. L. R. Rabiner and R. W. Schafer (2004), Digital Processing of Speech Signals,
Pearson Education (Asia) Pvt. Ltd.
4. L. R. Rabiner and B. Juang (2004), Fundamentals of Speech Recognition, Pearson
Education (Asia) Pvt. Ltd.
5. J. R. Deller, Jr., J. H. L. Hansen and J. G. Proakis (2000), Discrete-Time Processing
of Speech signals, IEEE Press.
The main objective of this course is to introduce the architecture of DSP processor for
developing real-time applications. In this course students will learn about the computational
building blocks and the basic architectural features of DSP. They will learn about
programmable digital signal processors and implementation details of DSP algorithms like
digital filters, including basic adaptive filters and FFTs. They will also be introduced to
CODEC programming and interfacing codec and DSP as well as several real-world
applications of DSP processors.
Course Outcomes
On successful completion of this Course, the students would be able to;
1. Understand the architecture and building blocks of digital signal processor.
2. Analyse and process signals using DSP Processor.
3. Implementing FIR, IIR and basic adaptive filters to suit specific requirements for
specific applications.
4. Learn codec programming and interfacing it with DSP.
5. Understand the applications of DSP processors
6. Designing and implementing a small application using DSP processor
UNIT I
10 Hours
Architectures for programmable digital signal-processing devices:Introduction, Basic
Architectural Features, DSP Computational Building Blocks, Bus Architecture and Memory,
Data Addressing Capabilities, Address Generation Unit, Programmability and Program
Execution, Speed Issues, Features for External Interfacing.
UNIT II
10 Hours
Programmable digital signal processors:Introduction, Commercial Digital SignalProcessing Devices, Data Addressing Modes of TMS320C54xx Digital Signal Processors,
Data Addressing Modes of TMS320C54xx processors, Memory Space of TMS320C54xx
processors, program Control, TMS320C54xx Instructions And programming, On-Chip
peripherals, interrupts of TMS320C54xx Processors, pipeline Operation of TMS320C54xx
processors.
UNIT III
10 Hours
Implementations of basic DSP algorithms: Introduction, The Q-notation, FIR Filters, IIR
Filters, Interpolation Filters, Decimation Filters, PID Controller, Adaptive Filters, 2-D Signal
processing.
UNIT IV
10 Hours
Implementation of FFT algorithms: Introduction, an FFT Algorithm for DFT Computation, a
Butterfly Computation, Overflow and Scaling, Bit-Reversed Index Generation, FFT
Implementation on the TMS320C54xx, And Computation of the Signal Spectrum
Interfacing memory and parallel I/O peripherals to programmable DSP Devices:
Introduction, Memory Space Organization, External Bus Interfacing Signals, Memory
Interface, Parallel I/O Interface, programmed I/O, Interrupts and I/O, Direct Memory Access
(DMA).
UNIT V
12 Hours
Interfacing serial converters to a programmable DSP devices:Introduction, Synchronous
Serial Interface, A multi-channel Buffered Serial Port (McBSP), McBSP programming, A
CODEC Interface Circuit, CODEC Programming, A CODEC-DSP Interface Example.
Applications of programmable DSP devices:Introduction, A DSP System, DSP-Based
Bio-telemetry Receiver, A Speech Processing System an Image Processing System and a
biomedical signal processing system. Practical implementation of an application.
Text Books
PESU Student Handbook 2015-16 239
Reference Books
1. Richard O. Duda, Peter E. Hart and David G. Stork (2001), Pattern Classification, 2nd
edition, John Wiley
2. Eart Gose, Richard Johnsonburg and Steve Joust (2003), Pattern Recognition and
Image Analysis, Prentice-Hall of India.
3. Christopher M. Bishop (2007), Pattern Recognition and Machine Learning, 3rd
Edition, Springer.
4. Andrew R. Webb (2002), Statistical Pattern Recognition, 2nd Edition, John Wiley.
2. Myke Predko (2008), Programming and Customizing the PIC Microcontroller, 3rd
Edition, TMH.
3. Dreamtech Software Team (2008), Programming for Embedded Systems, Jhon
Wiley, India Pvt. Ltd.
8 Hours
UNIT II
10 Hours
Multiple access:Multiplexing - FDM, TDM, SM.
Local Area networks:Ethernet, Token ring, FDDI, Switching - Circuit switching, Packet
switching, Multicasting.
UNIT III
10 Hours
Scheduling:Performance bounds, Best effort disciplines, Naming and addressing, Protocol
stack, SONET,SDH.
UNIT IV
12 Hours
ATM Networks:AAL, Virtual circuits, SSCOP, Internet - Addressing, Routing, Endpoint
control.
Internet Protocol:IP, TCP, UDP, ICMP, HTTP.
Traffic management:Models, Classes, Scheduling.
UNIT V
12 Hours
Control of Networks:QoS, Static and dynamic routing, Markov chains, Queuing models,
Bellman Ford and Dijkstra's algorithm, Window and rate congestion control, Large deviations
of a queue and network, Open and closed loop flow control, Control of ATM networks.
Reference Books
1. J. Walrand and P. Varaya (2000), High Performance Communication Networks,
Harcourt Asia (Morgan Kaufmann).
2. S. Keshav (1997), An Engineering Approach to Computer Networking, Pearson
Education.
3. A. Leon-Garcia, and I. Widjaja (2000), Communication Network: Fundamental
Concepts and Key Architectures, TMH.
4. J. F. Kurose, and K. W. Ross (2001), Computer Networking: A Top Down Approach
Featuring the Internet, Pearson Education.
UNIT I
10 Hours
Review of MOS Circuits: MOS and CMOS static plots, switches, comparison between
CMOS and BI - CMOS.
MESFETS:MESFET and MODFET operations, quantitative description of MESFETS.
MIS Structures and MOSFETS:MIS systems in equilibrium, under bias, small signal
operation of MESFETS and MOSFETS.
UNIT II
10 Hours
Short Channel Effects and Challenges to CMOS:Short channel effects, scaling theory,
processing challenges to further CMOS miniaturization
UNIT III
10 Hours
Beyond CMOS: Evolutionary advances beyond CMOS, carbon Nano tubes, conventional
vs. tactile computing, computing, molecular and biological computing Mole electronicsmolecular Diode and diode- diode logic. Defect tolerant computing,
UNIT IV
10 Hours
Super buffers, Bi-CMOS and steering logic: Introduction, RC delay lines, super buffersAn NMOS super buffer, tri state super buffer and pad drivers, CMOS super buffers, Dynamic
ratio less inverters, large capacitive loads, pass logic, designing of transistor logic, General
functional blocks - NMOS and CMOS functional blocks.
UNIT V
12 Hours
Special circuit layouts and technology mapping: Introduction, Talley circuits, NANDNAND, NOR- NOR, and AOI Logic, NMOS, CMOS Multiplexers, Barrel shifter, Wire routing
and module lay out.
System design:CMOS design methods, structured design methods, Strategies
encompassing hierarchy, regularity, modularity & locality, CMOS Chip design Options,
programmable logic, Programmable inter connect, programmable structure, Gate arrays
standard cell approach, Full custom Design.
Reference Books
1. Kevin F Brennan(2005), Introduction to Semi Conductor Device, Cambridge
Publications
2. Eugene D Fabricius(1990), Introduction to VLSI Design, McGraw-Hill International
Publications
3. D.A Pucknel(1988) 3rd editionl, Basic VLSI Design, PHI Publication
4. 4. Wayne Wolf (2002), Modern VLSI Design, 2nd Edition, Pearson Education.
UE14DE606: WIRELESS AND MOBILE NETWORKS (4-0-0-0-4)
Course Objectives
This subject helps the students to understand the concept of wireless media. It provides the
explanation on the wireless network concepts. Addresses the design issues and explores
various emerging protocols for wireless networks.
Course Outcomes
Upon successful completion of this course, the students will be able to;
1. Know how to analyze the frequency spectrum for wireless communication systems.
2. Understand how to improve performance of wireless communication systems.
3. Distinguish between various wireless standards
4. Distinguish between various diversity techniques for wireless systems.
UNIT I
Review
of
fundamentals
of
wireless
communication
and
10 Hours
Networks:Wireless
architectures,
Components,
10 Hours
Components,
8 Hours
Requirements,
UNIT IV
8 Hours
Wireless LANs: Network components, design requirements, Architectures, IEEE-802.11x,
WLAN protocols, 802.11p and applications.
UNIT V
16 Hours
WMANs, IEEE-802.16: Architectures, Components, WiMax mobility support, Protocols,
Broadband networks and applications, WWANs, cellular networks, Satellite Network,
Applications.
Wireless ad-hoc networks: Mobile ad-hoc networks, Sensor network, Mesh networks,
VANETs, Research issues in Wireless networks.
Reference Books
1. S. S. Manvi, and M. S. Kakkasageri (2010), Wireless and Mobile network Concepts
and Protocols, 1st Edition, Wiley.
2. P. Kaveh, Krishnamurthy (2006), Principles of Wireless Network: A Unified Approach,
PHI,.
3. Iti Saha Mitra (2009), Wireless Communication and Network: 3G and Beyond,
McGraw Hill.
4. Ivan Stojmenovic (2009), Handbook of Wireless Networks and Mobile Computing,
Wiley.
5. P. Nicopolitidis, M. S. Obaidat, et al (2009), Wireless Networks, Wiley.
6. Yi-Bing Lin, Imrich Chlamtac (2009), Wireless and Mobile Network Architectures,
Wiley.
7. Mullet (2009), Introduction to Wireless Telecommunication Systems and Networks,
Cengage.
10 Hours
UNIT II
10 Hours
Reliable design and fault diagnosis hazards:Fault Detection in Combinational Circuits,
Fault-Location Experiments, Boolean Differences, Fault Detection by Path Sensitizing,
Detection of Multiple Faults, Failure-Tolerant Design, Quadded Logic
UNIT III
10 Hours
Capabilities, minimization, and transformation of sequential machines:The Finite- State
Model, Further Definitions, Capabilities and Limitations of Finite State Machines, State
Equivalence and Machine Minimization, Simplification of Incompletely Specified Machines.
UNIT IV
10 Hours
Structure of sequential machines: Introductory Example, State Assignments Using
Partitions, The Lattice of closed Partitions, Reductions of the Output Dependency, Input
Independence and Autonomous Clocks, Covers.
UNIT V
12 Hours
Sequential machines: Generation of closed Partitions by state splitting, Information Flow in
Sequential Machines, Decompositions, Synthesis of Multiple Machines.
State-identifications and fault-detection experiments: Homing Experiments,
Distinguishing Experiments, Machine Identification, Fault-Detection Experiments, Design of
Diagnosable Machines, Second Algorithm for the Design of Fault Detection Experiments,
Fault-Detection Experiments for Machines which have no Distinguishing Sequences.
Reference Books
nd
1. Zvi Kohavi(1979), Switching and Finite Automata Theory, 2 Edition. Tata McGraw
Hill Edition.
2. Parag K Lala (1985), Fault Tolerant And Fault Testable Hardware Design, Prentice
Hall Inc.
3. E. V. Krishnamurthy (1983), Introductory Theory Of Computer, Macmillan Press Ltd,
4. Mishra & Chandrasekaran (2004), Theory Of Computer Science Automata,
Languages And Computation, 2nd Edition, PHI.
UNIT III
9 Hours
Detailed Study of MPEG 4:Coding of audiovisual objects, MPEG 4 systems, MPEG 4 audio
and video, profiles and levels. MPEG 7 standardization process of multimedia content
description, MPEG 21 multimedia framework, Significant features of JPEG 2000, MPEG 4
transport across the Internet.
Reference Books
1. ZeNian Li and Mark S Drew (2004), Fundamentals of Multimedia, Pearson
Education,
2. K. R. Rao, Zoran S. Bojkovic, Dragorad A. Milovanovic (2004), Multimedia
Communication Systems, Pearson Education.
3. Raif steinmetz, Klara Nahrstedt (2002), Multimedia: Computing, Communications and
Applications, Pearson Education
4. John Billamil, Louis Molina (2002), Multimedia: An Introduction, PHI.
1. Joseph Yiu (2008), The Definitive Guide to the ARM Cortex-M3, Newnes, (Elsevier
Science).
2. John Davies (2008), MSP430 Microcontorller Basics, Newnes (Elsevier Science).
3. MSP430 Teaching CD-ROM, Texas Instruments.
4. Sample Programs for MSP430 downloadable from msp430.com
5. David Patterson and John L. Henessay (2005), Computer Organization and Design,
(ARM Edition), Morgan Kauffman
2. R. Jacob Baker, H.W. Li, D.E. Boyce (1998), CMOS Circuit Design, layout and
Simulation, PHI.
3. Thomas H. Lee (1998), Design of CMOS RF Integrated Circuits, Cambridge
University Press
4. Y.P. Tsividis (1996), Mixed Analog and Digital Devices and Technology, TMH
UNIT V
12 Hours
Elements of realizability theory: Causality and stability, Hurwitz polynomial, positive real
functions, elementary synthesis procedures.
Synthesis of one port networks: Properties - LC immittance functions, RL impedances
and RC admittances. Synthesis - LC driving point immittances, RC impedances, RL
admittances.
Text Books
1. M.E Van Valkenburg (1980), Network Analysis, 3rd Edition Prentice Hall of India, ,
2. W.H. Hayt, J.E. Kemmerly, S.M. Durbin (1971), Engineering Circuit Analysis, 8th
Edition, McGraw-Hill Book Company Inc.
3. Franklin F Kuo, Wiley Toppan (1966), Network Analysis and Synthesis, 2nd Edition,
Wiley International.
[(Book 1: Unit 1: Chapter 2 and 3; Unit 2: Sections 5.1 to 5.5, 7.1 to 7.7; Unit 3 :
Sections 8.1 to 8.4, 10.1 to 10.5; Unit 4: Sections 11.1 to 11.7; Book 2: Unit 1:
Sections 4.1 to 4.5, 5.1 to 5.7; Book 3 : Unit 5: Sections 10.1 to 10.4, Sections 11.1
to 11.6 )]
FET AC Analysis: JFET Small Signal Model, JFET AC Equivalent Circuit, Fixed-bias, Selfbias, Voltage-divider Configuration, D-MOSFET and E-MOSFET Voltage Divider
Configuration.
UNIT IV
10 Hours
BJT & JFET frequency response: Introduction, logarithms, decibels, general frequency
consideration, low frequency analysis, low frequency response, both BJT & JFET amplifier,
miller effect capacitance, high frequency response, both BJT & JFET amplifier.
UNIT V
10 Hours
POWER amplifiers: Introduction definition and amplifier types, Series-fed class A
amplifier, Class-B amplifier operation, Class-B amplifier circuit, Basics of class-C and classD amplifiers.
Text Books
1. Robert L. Boylestead, Louis Nashelsky, (2006),Electronic Devices and Circuit
Theory, 9th edition, Pearson Education Inc,
[UNIT-I : Section 1.9,2.8,2.9,2.11, 4.2, 4.3, 4.4, 4.5; UNIT II: Section 5.2, 5.3, 5.4,
5.5, 5.6, 5.7, 5.8, 5.12, 5.13, 5.19, 5.20, 5.21; UNIT-III: Section 6.1, 6.2, 6.3, 6.6, 6.5,
6.8,7.1,7.2,7.3,7.4,7.7,7.8,8.1,8.2,8.3,8.4,8.5,8.8,8.9,8.11; UNIT IV: Section 9.1 to
9.3, 9.4,9.7, 9.8, 9.9,9.10,9.11; UNIT-V : Section 12.1, 12.2, 12.3, 12.4, 12.5, 12.8]
Reference Books:
1. NPTEL Lectures by Dr. Chitralekha Mahanta
https://www.youtube.com/playlist?list=PL350612601E2DBFDE
2. Circuits and Electronics Course by Prof. Anant Agarwal
https://www.edx.org/course/circuits-electronics-mitx-6-002x-0
3. Anolg signal processing 1-Prof . k. Radhakrishna Rao
https://www.youtube.com/playlist?list=PL2TD85PPCEFFB5529
[Book 1: Unit 1 : 2.1 to 2.13; Unit 2 : 3.1 to 3.13, 4.1, 4.4, 4.5, 4.6 to 4.13, 5.1, 5.2,
5.4, 5.5, 5.6; Unit 3 : 5.1, 5.2, 5.4, 5.5, 5.6, 6.1 to 6.10; Book 2: Unit 4 : 8.1 to 8.5,
8.8; Unit 5 : 4.1, 5.1 to 5.5, 7.1, 7.2]
Reference Books
1. Dr. S L Uppal, (2007), Electric Power, Khanna Publishers.
2. Rai, G. D., (2007), Non-Conventional Sources of Energy, 4th Edition, Khanna
Publishers, New Delhi.
3. Mukherjee, D., and Chakrabarti, S., (2005), Fundamentals of Renewable Energy
Systems, New Age International Publishers.
Video Lectures
1. nptel.ac.in/video.php?subjectId=108102047
2. freevideolectures.com Electrical Engineering IIT Delhi
11. Understand the how to make voltage to current & current to voltage conversion
12. Explain the principle of operation of active filters
13. Use of active filters in almost all circuits & their advantages compare to only passive
filters.
14. Specialized analog ICs will be understood clearly to implement on practical circuit
15. Know about different IC regulators which are used in voltage regulators.
16. DAC & ADC working which are main parts of microprocessor/microcontroller.
17. Different oscillator circuit using different amplifier devices will be understood.
UNIT I
10 Hours
Feedback and difference amplifiers: Feedback concepts, Feedback connection types,
Difference amplifier, Constant current source (current mirror), Input resistance, Active load,
Level translator, Output stage.
UNIT II
12 Hours
Basics of operational amplifiers: Voltage-series feedback amplifier, Voltage-shunt
feedback amplifier, The practical op-amp: Input offset voltage, Input bias current, Input offset
current, Total output Offset voltage, Thermal drift. Effect of variation in power supply
voltages on offset voltage, Change in input offset voltage and input offset current with time,
Noise, Common mode configuration and common mode rejection ratio, Frequency response
slew rate.
UNIT III
10 Hours
Applications of operational amplifiers: Instrumentation amplifier, Voltage to current
converter, Current to voltage converter, Integrator, Differentiator, Active filters: first order
and second order low-pass and high-pass Butterworth filter, band-pass, band-reject filters,
all filters, Comparators: basic comparator, Zero crossing detector, Schmitt trigger.
UNIT IV
10Hours
Specialized IC applications: The 555 timer: the 555 as a mono-stable, astable multivibrator
and its applications. Phase-Locked loops, IC Voltage regulators, 723 general purpose
regulator, switching regulator, A/D and D/A converters: introduction, basic DAC techniques,
AD converters, DAC/ADC specifications.
UNIT V
10 Hours
Oscillators: Oscillator operation, Phase-shift oscillator, Wein-bridge oscillator, Tuned
oscillator circuit, Crystal oscillator, Design of oscillator using op amp, BJT and JFET.
Text Books
1. Boylestead, Louis Nashelsky, (2006), Electronic Devices and Circuit Theory, 9th
Edition, PHI Publication.
2. Ramakant A.Gayakwad, (1992), Op-amps and Linear Integrated Circuits, 4th Edition,
Pearson education.
3. D. Roy Choudhury, Shail Jain, (2003), Linear Integrated Circuits, New Age
International (P) Ltd.
[Book 1: UNIT-I : 14.1 14.4; UNIT-V : 14.5 14.9; Book 2: UNIT-II: 3.3 3.5, 4.1
4.11, 5.2 5.5, 5.10; UNIT-III : 6.6, 6.8, 6.9, 6.10, 6.12, 6.13, 7.2 7.10, 8.2 8.6,
8.13, 8.14;UNIT-IV : 9.4, 9.5; Book 3 : UNIT-I : 2.4.1 2.4.6; UNIT-IV : 6.3, 6.4, 6.5,
10.1 10.4]
Testing of transformer- Objective of testing, phasing out, polarity test, DC resistance test,
OC and SC tests, back-to-back (Sumpners) test, numerical on the above. Parallel operation
of two single phase transformers- different cases.
UNIT V
10 Hours
Three phase transformer: Connections of transformers- star-star, delta-delta, star-delta,
delta star, three-phase/six-phase, open delta connection, three-phase to two phase
connections, Scott connection, auto transformers, principle, equivalent circuit, advantages
and disadvantages No load and on load tap changers.
Text Book
1. I.J.Nagrath & D.P. Kothari, (2004), Electrical Machines,TMH Publication.
[ Book 1: UNIT I : Sections 6.3, 7.1, 7.3, 7.4, 7.5, 7.7, 7.8, 7.9, 7.10, 7.11 ; UNIT
II : Sections 7.12, 7.16 ; UNIT III, IV : Sections 3.1 to 3.9 ; UNIT V : Sections
3.11,3.13,3.14,3.15,3.16,3.17]
Thyristors: Thyristor characteristics, two transistor model of thyristor, Thyristor turn-on di/dt
protection, dv/dt protection, thyristor turn-off, thyristor types - phase-controlled thyristors,
fast-switching thyristors, GTOs, TRIACs,RCTs,SITHs and LASCR, Series operation of
thyristors,parallel operation of thyristors.
UNIT II
10 Hours
Thyristor commutation techniques: Natural Commutation, Forced Commutation Self
commutation, impulse commutation, resonant pulse commutation, complementary
commutation.
DC Choppers: Principle of step-down operation, step-Down chopper with RL load, principle
of step-up operation, switch mode regulators buck regulator, boost regulator, buck-boost
regulators.
UNIT III
10 Hours
.Pulse-width-modulated inverters: Principle of operation, single-phase bridge inverters,
three-phase inverters 180-degree and 120-degree conduction, voltage control of singlephase inverters- single-pulse-width modulation, multiple pulse-width modulation, sinusoidalpulse-width modulation, phase-displacement control.
UNIT IV
10 Hours
Controlled rectifiers: Principle of phase-Controlled converter operation, Single-phase semi
converters, Single-phase full converters, single phase dual converters, three-phase full
converters with RL load, power factor improvements- extinction angle control.
UNIT V
10 Hours
AC voltage controllers: Principle of on-off control, principle of phase control, single-phase
bidirectional controllers with resistive loads, Single-Phase Controllers with inductive loads.
Text Book
1. M. H Rashid, (2003), Power Electronics devices, 3rd edition, Pearson education.
[UNIT I : 1.3,1.4,1.5 ---- 4.2,4.3,4.4,4.5,4.6,4.7,4.8(4-8.1 to 4-8.7),4.9,4.10; UNIT II :
7.1,7.2,7.3(7-3.1 to 7-3.4)-----9.1,9.2,9.3,9.4,9.7(9.7.1 to 9.7.3); UNIT III : 10 .1, 10.2,
10.3, 10.4, 10.5, 10.6(except 10-6.4); UNIT IV : 5.2, 5.3,5.4,5.5,5.9,5.11(5-11.1);
UNIT V: 6.1,6.2,6.3,6.4,6.5 ]
Reference Books
1. M.D.Singh & Khanchandani K.B. (2006), Power Electronics, 2nd Edition, Tata Mcgraw
Hill.
2. Ned Mohan, (2002), Power Electronics, Converters, Applications and Design, John
Wiley and Sons.
LIST OF EXPERIMENTS
1. RC Phase-shift Oscillator
2. Wein-bridge Oscillator
3. Colpitts Oscillator
4. Hartley Oscillator
5. 555 Mono-stable multivibrator
6. 555 Astable multivibrator
7. Voltage Regulators : IC 7805 and 7905
8. D/A converter R-2R Ladder network
9. Op-amp Integrator
10. Op-amp Differentiator
11. Op-amp Active filters : 1st order / 2nd order Low-pass and High-pass Butterworth
filter
12. Band-pass filter
13. Open ended experiment
2.
3.
4.
5.
6.
Course Outcomes
At the end of the course the students will:
1. Learn how to connect D.C shunt & compound generator for load characteristics
2. Learn to construct circuit connection of DC motor to get speed-torque & efficiency
charateristics
3. Construct practical circuit of transformer parallel operation
4. Construct circuit for Hopkisons test
5. Develop the laboratory circuit to control speed of DC motor
6. Learn & construct to find constant losses & copper loss of transformer
7. Learn & construct circuit for Scott connection.
LIST OF EXPERIMENTS
1. Load characteristics of a D.C. shunt and compound generator.
2. Load test on a DC motor- determination of speed-torque and BHP-efficiency
characteristics.
3. Swinburnes Test.
4. Hopkinsons Test.
5. Fields test on series motors.
6. Retardation test- electrical braking method.
7. Speed control of DC motor by armature voltage control and flux control.
8. Ward Leonard method of speed control of D.C. motor.
9. OC and SC test on single phase transformer & predetermination of efficiency &
regulation.
10. Sumpners test.
11. Parallel operation of two dissimilar (different kVA) 1-phase transformers.
12. Polarity test & connection of 3 phase transformers in star delta and determination
of efficiency & regulation under balanced UPF load.
13. Scott connection for balanced and unbalanced two phases UPF loads.
4. Design and assemble control circuit using power electronic devices for stepper
motor, DC motor, 1-phase induction motor and universal motor.
Course Outcomes
At the end of the course the students will:
1. Learn how to construct circuits using power electronics devices to get their V-I
characteristics
2. Learn to construct commutation circuits for SCR.
3. Construct practical circuit to produce chopper operation
4. Construct circuit to convert AC to controlled DC
5. Construct circuit to convert DC to controlled AC
6. Construct circuit to control speed of PMDC motor & single phase universal motor.
LIST OF EXPERIMENTS
1. Design and assembly of a driver circuit for an IGBT. To obtain the static
characteristics of an IGBT and obtain the value of the minimum gate to emitter
resistance of the IGBT.
2. To experimentally obtain the static characteristics of an SCR. Design a simple
triggering circuit using op-amps and transistors to generate triggering pulses for an
SCR operating on an AC supply.
3. To design and assemble a self commutation circuit for commutating an SCR
operating on a DC supply.
4. Design and assemble an impulse commutated circuit to turn off an SCR operating on
a DC supply.
5. Design a single quadrant MOSFET/IGBT based chopper supplying R/R-L load,
including the driver circuit for the MOSFET/IGBT.
6. Design and testing a digital firing circuit for a line commutated SCR circuit.
7. Study of a full bridge converter supplying R-L load, including generation of triggering
pulses for the devices for both continuous and discontinuous modes of conduction.
8. Design and assemble a boost converter with waveform analysis for continuous and
discontinuous modes of operation.
9. Design and assemble a single phase full bridge inverter and study of waveforms on
R and R-L loads.
10. Design and assemble a control circuit for a stepper motor and study the operation.
11. Design and assemble a single quadrant chopper to control a PM dc motor.
12. Assemble a voltage controller to run a 1-phase induction motor & a universal motor.
1. Learn applied mathematics with all the objectives to be able to apply to field of
electrical engineering
2. Develop the ability to apply the concepts of matrix theory
3. Get mathematical formulations and apply Linear programming method
4.
5. Familiarize with different computational methods that can be applied to different
applications
Course Outcomes
At the end of the course the student will be able to:
1. Make use of Eigen values and Vectors in electrical related applications
2. Model the optimization problems using objectives and constraints and find solution
using different graphical and simplex methods
3. Apply the numerical methods to solve ODE, PDE, Laplace equations and also apply
to heat and wave equations
UNIT I
12 Hours
Advanced matrix theory: QR decomposition, Eigen-values using QR decomposition
Generalized Eigen vectors Canonical forms Singular value decomposition and
applications Pseudo inverse Least square approximations.
UNIT II
10 Hours
Optimization : Linear Programming Formulation of the problem Graphical Method
General linear programming problem Simplex Method Artificial Variable Technique Big M
method.
UNIT III
10 Hours
Computational Methods: Solution of algebraic and transcendental equations- iterative
methods based on second degree equation Muller method,(no derivation) Chebyshev
method, general iteration method (first order), acceleration of convergence, system of nonlinear equations, and complex roots Newton-Raphson method, polynomial equations
Birge Vieta method and Bairstows method.
UNIT IV
10 Hours
5. Kenneth Hoffman and Ray Kunze, (2011), Linear Algebra, 2nd edition, PHI.
Reference Books:
th
1. L.S. Srinath, (2013), Reliability Engineering, 4 Edition, East-West Press, New Delhi.
th
2. L.Balagurusamy, (1984), Reliability Engineering, 9 Print 2010, Tata Mc-Graw Hill, New
Delhi.
3. A.K.Govil, (1983), Reliability Engineering, Tata Mc-Graw Hill Publishers, New Delhi.
UNIT V
8
Hours
Introduction of DSP in ARM Representing signal, FFT etc App3: Building a Spectrum
Analyser.
Study of the Sensors: Touch, Proximity sensor, Sound sensors, ultra-sonic sensors,
temperature sensor, accelerometers. App 4: Building a system that detect sounds and take
certain actions
Mini-project presentation/discussions.
Reference Books:
1. Sloss, A., Symes, D., & Wright, C. (2004). ARM system developer's guide: designing
and optimizing system software. Morgan Kaufmann.
2. Furber, S. B. (2000). ARM system-on-chip architecture. Pearson Education.
3. LPC21xx Data sheet
http://www.nxp.com/documents/data_sheet/LPC2101_02_03.pdf
4. LPC21xx User manual http://www.nxp.com/documents/user_manual/UM10161.pdf
5. Pont, M. J. (2002). Embedded C. Addison-Wesley Longman Publishing Co., Inc.
6. Barr, M. (1999). Programming embedded systems in C and C++. " O'Reilly Media,
Inc.".
7. Siegesmund, M. (2014). Embedded C Programming: Techniques and Applications of
C and Pic Mcus. Newnes.
8. Kernighan, B. W., & Ritchie, D. M. (1988). The C programming language (Vol. 2).
Englewood Cliffs: prentice-Hall.
12 Hours
System models: Characteristic equation - Eigen values and Eigen vectors - Invariance
of Eigen values -Diagonalization - Jordan Canonical form - Concepts of controllability and
observability - Kalman's and Gilbert's tests - Controllable and observable phase variable
forms - Effect of pole-zero cancellation on controllability and observability.
UNIT V
10 Hours
Liapunov stability: Liapunov stability analysis - Stability in the sense of Liapunov Definiteness of Scalar Functions Quadratic forms - Second method of Liapunov - Liapunov
stability analysis of linear time invariant systems.
Reference Books:
1. Katsuhiko Ogata, (2002), Modern Control Engineering, Prentice Hall of India Private
Ltd., New Delhi.
2. Nagrath I.J. and Gopal M., (2006), Control Systems Engineering, New Age
International Publisher, New Delhi.
3. Gopal M, (2003), Digital Control and State Variable Methods, Tata McGraw-Hill
Publishing Company Limited, New Delhi, India.
4. Nise S. Norman, (2000), Control Systems Engineering, John Wiley & Sons, Inc,
Delhi.
5. Benjamin C Kuo, (2002), Automatic Control Systems, John Wiley & Sons, Inc., Delhi.
10 Hours
UE15EE561:
POWER
ELECTRONIC
TECHNOLOGIES (4-0-0-0-4)
DEVICES
AND
CONVERTER
Course Objectives
1. To understand the basic electrical characteristics and ratings of power semiconductor
devices
2. To provide students the insight useful for understanding semiconductor devices, gate
drive circuits, and applications
3. To understand and acquire knowledge about various power converters
4. To prepare the students to analyze and synthesis different power converter topologies
Course Outcomes
At the end of the course, the student will be able to
1. Analyze and utilize semiconductor devices for various applications
2. Understand and utilize the basic gating circuits for devices
3. Make use of various power converters in commercial and industrial applications.
4. Identify and analyze PWM inverters for various power requirements of consumer
applications
UNIT I
12 Hours
Semiconductor Devices: Static characteristics, typical ratings and applications of the
power BJT, MOSFET, IGBT, GTO, MCT, and SiC diode & SiC MOSFET. Switching
characteristics and switching losses of BJT, MOSFET and IGBT di/dt & dv/dt limitations,
Base and gate drive requirements and circuits for BJT, MOSFET, IGBT.
UNIT II
10 Hours
Line Commutated Converters: Phase control, single phase semi-converter & fully
controlled converter, three phase semi controlled & fully controlled converter R & R-L
load. Single-phase dual converters, power factor improvement methods, effect of source
inductance, single phase series converters, twelve pulse converter and design of converter
circuits with problems.
UNIT III
12 Hours
UNIT IV
12 Hours
Discrete Computation Essentials: Numeric formats, fixed -point numeric format, floating point numeric format, tracking the base point in the fixed point system, addition of numbers,
subtraction of numbers, multiplication of numbers, normalization and scaling, multiplication
algorithm, arithmetic algorithm reciprocal, square root, reciprocal of square root, sine and
cosine exponential, logarithm.
UNIT V
11 Hours
Design & Simulation of closed loop systems: Closed loop control of buck, boost & buckboost converters using analog & digital controllers.
Reference Books:
1. Mohan, N., & Undeland, T. M. (2007). Power Electronics: Converters, Applications,
and Design. John Wiley & Sons.
5. Umanand, L. (2009). Power Electronics Essentials and Applications. 1st Edition,
Wiley Publishers, New York, NY.
2. Erickson, R. W., & Maksimovic, D. (2007). Fundamentals of Power Electronics.
Springer Science & Business Media.
12 hours
Digital controllers for power electronics: Introduction, DSP controllers for drives. Analog
to Digital converters, sampling. Essentials of digital control. FPGA and PSoC controllers.
Reference Books
1. G. K. Dubey, S. R. Doradla, A. Johsi, and R. M. K. Sinha, (2010), Thyristorised Power
Controllers, 2nd Edition, New Age International.
2. Chryssis, (1989), High Frequency Switching Power Supplies, 2nd Edition, MGH.
3. Unitrode application notes: http://www.smps.us/Unitrode.html
4. Texas instruments\Spartan application notes
Small signal equations of the induction machine: Derivation of small signal equations of
induction machine, space phasor model, DQ flux linkages model derivation, control principle
of the induction motor.
UNIT IV
11 Hours
Modeling of Synchronous Machines: Introduction, voltage equations and torque equation
in machine variables, stator voltage equations in arbitrary and rotor reference frame
variables, Parks equations, torque equations in substitute variables, rotor angle and angle
between rotors, per unit system, analysis of steady state operation.
UNIT V
10 Hours
Dynamic analysis of synchronous machines: Dynamic performance during sudden
change in input torque and during a 3-phase fault at the machine terminals, approximate
transient torque versus rotor angle characteristics, comparison of actual and approximate
transient torque-angle characteristics during a sudden change in input torque; first swing
transient stability limit, comparison of actual and approximate transient torque-angle
characteristics during a 3-phase fault at the machine terminals, critical clearing time, equal
area criterion
Reference Books:
1. P.S.Bimbra, (1995), Generalized Theory of Electrical Machines, 5thEdition, Khanna
Publications,
2. R. Krishnan, (2009), Electric Motor Drives - Modeling, Analysis & Control, PHI
Learning Private Ltd.
4. P.C.Krause, Oleg Wasynczuk, Scott D.Sudhoff, (2010), Analysis of Electrical
Machinery and Drive Systems, 2nd Edition, Wiley(India).
nd
5. Arthur R Bergen and Vijay Vittal, (2009), Power System Analysis, 2 Edition,
Pearson.
6. Prabha Kundur, (2010) Power System Stability and Control, TMH.
7. Chee-MunOng (1998), Dynamic Simulation of Electric Machinery using Matlab /
Simulink ,Prentice Hall,
10 hours
Introduction to smart grid: Evolution of Electric Grid, Concept, Definitions and Need for
Smart Grid, Smart grid drivers, functions, opportunities, challenges and benefits, Difference
between conventional & Smart Grid, Concept of Resilient & Self Healing Grid, Present
development & International policies in Smart Grid, Diverse perspectives from experts and
global Smart Grid initiatives.
UNIT II
12 hours
Smart grid technologies: Technology Drivers, Smart energy resources, Smart substations,
Substation Automation, Feeder Automation ,Transmission systems: EMS, FACTS and
HVDC, Wide area monitoring, Protection and control, Distribution systems: DMS, Volt/VAr
control, Fault Detection, Isolation and service restoration, Outage management, HighEfficiency Distribution Transformers, Phase Shifting Transformers, Plug in Hybrid Electric
Vehicles (PHEV).
UNIT III
10 hours
Smart meters and advanced metering infrastructure: Introduction to Smart Meters,
Advanced Metering infrastructure (AMI) drivers and benefits, AMI protocols, standards and
initiatives, AMI needs in the smart grid, Phasor Measurement Unit(PMU), Intelligent
Electronic Devices(IED) & their application for monitoring & protection.
UNIT IV
10 hours
Power quality management in smart grid: Power Quality & EMC in Smart Grid, Power
Quality issues of Grid connected Renewable Energy Sources, Power Quality Conditioners
for Smart Grid, Web based Power Quality monitoring, Power Quality Audit.
UNIT V
10 hours
High performance computing for smart grid applications: Local Area Network (LAN),
House Area Network (HAN), Wide Area Network (WAN), Broadband over Power line (BPL),
IP based Protocols, Basics of Web Service and CLOUD Computing to make Smart Grids
smarter, Cyber Security for Smart Grid.
Reference Books:
1. Gngr, V. C., Sahin, D., Kocak, T., Ergt, S., Buccella, C., Cecati, C., & Hancke, G. P.
(2011), Smart Grid Technologies: Communication Technologies And Standards, IEEE
transactions on Industrial informatics, 7(4), 529-539.
2. Stuart Borlase, (2012), Smart Grid: Infrastructure, Technology and Solutions, CRC
Press.
3. Janaka Ekanayake, Nick Jenkins, KithsiriLiyanage, Jianzhong Wu, Akihiko Yokoyama,
(2012) Smart Grid: Technology and Applications, Wiley.
UNIT I
10 Hours
Phase, current & speed controlled DC drive: Three-phase controlled converter, control
circuit, control modeling of three phase converter Steady state analysis of three phase
converter control DC motor drive Two quadrant, Three phase converter controlled DC
motor drive DC motor and load, converter. Current and speed controllers - Current and
speed feedback Design of controllers Current and speed controllers Motor equations
filter in the sped feed back loop speed controller current reference generator current
controller and flow chart for simulation Harmonics and associated problems sixth
harmonics torque.
UNIT II
10 Hours
Chopper controlled DC motor drives & simulation: Steady state analysis of chopper
controlled DC motor drives rating of the devices Pulsating torque. Closed loop operation:
Speed controlled drive system current control loop pulse width modulated current
controller hysteresis current controller modeling of current controller
design of current controller.
Simulation of DC motor drives: Dynamic simulations of the speed controlled DC motor
drives Speed feedback speed controller command current generator current controller.
UNIT III
10 Hours
Stator Side Control of Induction Drives: Scalar control Voltage fed inverter control
Open loop volts/Hz control speed control slip regulation speed control with torque and
flux control current controlled voltage fed inverter drive current fed inverter control
Independent current and frequency control Speed and flux control in Current Fed inverter
drive Volts/Hz control of Current fed inverter drive Efficiency optimization control by flux
program.
UNIT IV
12 Hours
Rotor side conrol of induction drives: Slip power recovery drives Static Kramer Drive
Phasor diagram Torque expression speed control of Kramer Drive Static Scheribus
Drive modes of operation.
Vector control of induction motor drives: Principles of Vector control Vector control
methods-Direct methods of vector control Indirect methods of vector control Adaptive
control-principles Self tuning regulator Model referencing control.
UNIT V
10 Hours
Control of utility interactive inverters: Grid connected renewable energy systems.
Synchronizing of inverters. PLL types. Vector control of grid connected single phase and
three phase inverters. Reference signal generation, control of power flow.
Reference Books:
1. Dubey, G. K. (2002), Fundamentals of Electrical Drives. CRC Press.
2. Bose, B. K. (2010), Power Electronics and Motor Drives: Advances and Trends,
Academic Press.
3. Shepherd, W., Hulley, L. N., & Liang, D. T. W. (1995), Power Electronics and Motor
Control, Cambridge University Press.
4. Rashid, M. H. (2003), Power Electronics: Circuits, Devices, and Applications,
Pearson Education India.
5. Dewan, S. B., Slemon, G. R., & Straughen, A. (1984), Power Semiconductor Drives,
Wiley-Interscience.
1.
2.
3.
4.
Course Outcome
At the end of the course the student will be able to
1. Learn the planning of HVDC transmission, and understand the modern trends in DC
transmission
2. Appreciate the choice of converter and study the configuration
3. Analyse the converter in two and three, and three and four valve conduction modes,
along with the LCC bridge characteristics
4. Analyse the operation of Capacitor Commutated and voltage source converters.
5. Learn the strategies used to Control Converters such as, firing angle control, current
and extinction angle control, Starting and stopping of DC link, Power control,
Frequency control, Reactive power control, and Tap changer control
6. Understand the faults that occur in converters and adapt suitable methods to protect
them
7. Gain a knowledge on reactive power control
8. Learn the concept of harmonics generation and design AC and DC filters to eliminate
the harmonics
9. Analyze the concept of power flow with VSC based HVDC system.
10. Analyze the voltage stability in asynchronous AC/DC system
UNIT I
10 Hours
DC power transmission technology: Introduction - comparison of AC and DC transmission
application of DC transmission classifications of DC transmission system - Planning for
HVDC transmission modern trends in DC transmission DC breakers cables, VSC
based HVDC. comparison of line commutated converter (LCC) link and voltage source
converter (VSC) link.
UNIT II
12 Hours
Analysis of HVDC converters and HVDC system control: Pulse number, choice of
converter configuration simplified analysis of Graetz circuit - converter bridge
characteristics characteristics of a twelve pulse converter- detailed analysis of converters.
General principles of DC link control converter control characteristics System control
hierarchy - firing angle control Current and extinction angle control generation of
harmonics and filtering - power control higher level controllers.
UNIT III
10 Hours
Multiterminal DC systems and harmonics: Introduction potential applications of MTDC
systems - types of MTDC systems - control and protection of MTDC systems - study of
MTDC systems- parallel operation of AC and DC transmission. Harmonics on AC and DC
sides filters
UNIT IV
10 Hours
Power flow analysis in AC/DC systems: Per unit system for DC quantities - modeling of
DC links - solution of DC load flow - solution of AC-DC power flow - case studies.
UNIT V
10 Hours
Stability analysis of HVDC systems: Introduction system simulation tools modeling of
HVDC systems for digital dynamic simulation dynamic interaction between DC and AC
systems inclusion of HVDC model in small signal stability (SSS) algorithm inclusion of
HVDC model in transient stability algorithm and voltage stability analysis.
PESU Student Handbook 2015-16 286
Reference Books:
1. Padiyar, K. R. (1990). HVDC Power Transmission Systems: Technology and System
Interactions. New Age International.
2. Arrillaga, J. (1998). High Voltage Direct Current Transmission (No. 29). IET
Technology and Engineering.
3. Kundur, P. (1994). Power System Stability and Control (Vol. 7). N. J. Balu, & M. G.
Lauby (Eds.). New York: McGraw-hill.
4. Uhlmann, E. (2012). Power Transmission by Direct Current. Springer Science &
Business Media.
5. Kim, C. K., Sood, V. K., Jang, G. S., Lim, S. J., & Lee, S. J. (2009). HVDC
Transmission: Power Conversion Applications in Power Systems. John Wiley &
Sons.
6. Arrillaga, J., Liu, Y. H., & Watson, N. R. (2007). Flexible Power Transmission: the
HVDC Options. John Wiley & Sons.
SSSC-Power flow control with STATCOM and SSSC- Modeling of STATCOM and SSSC for
power flow and transient stability studies operation of Unified and Interline power flow
controllers(UPFC and IPFC)- Modeling of UPFC and IPFC for load flow and transient
stability studies- Applications.
UNIT V
10 Hours
Controllers and their co-ordination: FACTS Controller interactions SVCSVC interaction
- co-ordination of multiple controllers using linear control techniques Quantitative treatment
of control coordination.
Reference Books:
1. Mathur, R. M., & Varma, R. K. (2002). Thyristor-based FACTS Controllers for
Electrical Transmission Systems. John Wiley & Sons.
2. K.R.Padiyar, (2008), FACTS Controllers in Power Transmission and Distribution,
New Age International (P) Ltd., Publishers, New Delhi.
3. A.T.John, (1999), Flexible AC Transmission System, Institution of Electrical and
Electronic Engineers (IEEE).
4. NarainG.Hingorani, Laszio. Gyugyl, (2000), Understanding FACTS Concepts and
Technology of Flexible AC Transmission System, IEEE Press, NY.
5. Sood, V. K. (2004). HVDC and FACTS Controllers: Applications of Static Converters
in Power Systems. Springer Science & Business Media.
RENEWABLE
ENERGY
AND
Course Objectives
1. To learn the state of the art global expertise on power electronics and its application
in transportation, renewable energy and different industrial applications
2. To learn and understand the existing technology and future trends of suitable
converters in renewable energy and transportation
Course Outcomes
1. Ability to identify the challenging practical projects in research of renewable energy
2. Ability to identify and design suitable converters based on the market and industry
demand
3. Capacity to analyze and examine the control aspects in renewable energy systems
4. Ability to differentiate various electric vehicles and charging infrastructure systems in
transportation application
UNIT I
10 Hours
Challenges of the current energy scenario & the power electronics contribution:
Introduction, Energy Transmission and Distribution Systems, Renewable Energy Systems,
Transportation Systems, Energy Storage Systems.
UNIT II
10 Hours
A new class of power converters for renewable energy and transportation: Introduction
, Hard Switching AC-Link Universal Power Converter, Soft Switching ac-link, Universal
Power Converter, Principle of Operation of the Soft Switching AC-Link Universal Power
Converter, Design Procedure, Analysis, Applications.
UNIT III
12 Hours
High power electronics: Key technology for wind turbines & photovoltaic energy
conversion systems: Introduction, Development of Wind Power Generation, Wind Power
Conversion, Power Converters for Wind Turbines, Power Semiconductors for Wind Power
PESU Student Handbook 2015-16 288
Converter, Controls and Grid Requirements for Modern Wind Turbines, Emerging Reliability
Issues for Wind Power System,
Introduction, Power Curves and Maximum Power Point of PV Systems, Grid-Connected PV
System Configurations, Control of Grid-Connected PV Systems, Recent Developments in
Multilevel Inverter-Based PV Systems.
UNIT IV
10 Hours
Controllability analysis of renewable energy systems: Introduction, Zero Dynamics of
the Nonlinear System, Controllability of Wind Turbine Connected through L Filter to the Grid,
Controllability of Wind Turbine Connected through LCL Filter to the Grid, Controllability and
Stability Analysis of PV System Connected to Current Source Inverter, Conclusions.
UNIT V
10 Hours
Electric and plug-in hybrid electric vehicles: Introduction, Electric, Hybrid Electric and
Plug-In Hybrid Electric Vehicle Topologies, EV and PHEV Charging Infrastructures, Power
Electronics for EV and PHEV Charging Infrastructure , Vehicle-to-Grid (V2G) and Vehicle-toHome (V2H) Concepts, Power Electronics for PEV, Charging.
Reference Books:
1. Abu-Rub, H., Malinowski, M., & Al-Haddad, K. (2014). Power Electronics for
Renewable Energy Systems, Transportation and Industrial Applications. John Wiley
& Sons.
2. Emadi, A. (Ed.). (2014). Advanced Electric Drive Vehicles. CRC Press.
10 hours
Introduction with first simple embedded program Compile, Link and Build cycle and
dissection of stages Physical memory types, data types and impact on memories, memory
addressing Operators, Constants, pre-processors and expressions Control Flow
Coding conventions, readability and portability.
UNIT II
10 hours
Inputs and Outputs including I/O ports- Functions and Program Structure, Pointers and
Arrays Macros Structures Programming Exercise 1External and standard library.
UNIT III
12 hours
Interrupt handling, concurrent tasks, re-entrant functionsstatic and dynamic memory
allocation - Programming Exercise 2 Timers, design and implementationWatch dog
timers and implementation Communication and buffers.
UNIT IV
12 hours
Planning for mini-projects Stack memory, recursion - Programming models: polling,
sequential State machine Review of case studies, papers, examples.
UNIT V
Mini Projects, discussions of issues and presentation.
10 hours
Reference Books:
1. Siegesmund, Mark. (2014), Embedded C Programming: Techniques and Applications of
C and Pic Mcus. Newnes.
2. Pont, Michael J, (2002), Embedded C, Addison-Wesley Longman Publishing Co., Inc.,
3. Barr, Michael, (1989), Programming Embedded Systems in C and C++, O'Reilly Media,
Inc.
4. Kernighan, Brian W., and Dennis M. Ritchie, (1988), The C programming Language. Vol.
2. Englewood Cliffs: Prentice-Hall.
10 hours
TWO CHANNEL FILTER BANKS: Analysis and Synthesis Filter Banks-Quadrature Mirror
Filter (QMF) banks-Filter bank with perfect reconstruction - Paraunitary filter banks Biorthogonal and Linear phase filter banks-Transmultiplexer filter banks.
UNIT III
10 hours
UNIFORM-CHANNEL FILTER BANKS: Filter banks with tree structures, parallel structureComplex modulated filter banks-Cosine modulated filter banks-Transmultiplexer filter banksPolyphase QMF banks Paraunitary polyphase and DFT polyphase filter banks-Subband
coding.
UNIT VI
10 hours
WAVELET TRANSFORM: Short-Time Fourier Transform limitations - time-frequency
scaling- Heisenbergs uncertainty Continuous Wavelet Transform Discrete Wavelet
Transform Haar, Daubechys wavelets Multi Resolution Analysis of audio signal.
UNIT V
12 hours
POWER SPECTRUM ESTIMATION: Estimation of spectra from finite duration observation
of signals: Periodogram-use of DFT in power spectrum estimation - Non-parametric
Methods: Bartlett-Welch-Blackman and Tuckey - Parametric Methods: Relationship between
the autocorrelation and the model parameters - AR model: Yule-Walker method-Burg
method-Unconstrained least squares method-Sequential estimation methods-MA model and
ARMA model for power spectrum estimation-Filter bank realization of the periodogram-Eigen
analysis algorithm for spectrum estimation.
Reference Books:
1. N.J.Fliege, (2000), Multirate digital signal processing, John wiley & sons Ltd.
2. Fredric J Harris, (2004), Multirate signal processing for communication systems,
Prentice Hall.
3. Vaidyanathan, P.P., (1993), Multirate Systems and Filter Banks, Prentice Hall,
Englewood cliffs, NJ.
4. Ronald E Crochiere, Lawrence R Rabiner, (1983), Multirate DSP, Prentice Hall.
5. John G Proakis and Dimitris G Manolakis, (2007), Digital signal processingPrinciples, Algorithms and Applications, 4th Edition, Prentice Hall of India.
6. Rao, R.M and A.S.Bopardikar, (1998), Wavelet Transforms: Introduction to Theory
and Applications, Addison Wesley, MA.
7. K.P.Soman and K.I.Ramachandran, (2005), Insight into Wavelets-From Theory to
Practice, Prentice Hall of India.
8. Stephane Mallat, (2008), A Wavelet Tour of Signal Processing, 2nd Edition, Reed
Elsevier India private limited.
1. Comprehend the knowledge & concepts of digital signal processing techniques, basic
adaptive filters.
3. Learn about interfacing of memory and I/O devices to the processor.
UNIT I
12 hours
Introduction: Introduction, Digital signal-processing system, The sampling process, Discrete
time sequences. Discrete Fourier Transform (DFT) and Fast Fourier Transform (FFT), Linear
time-invariant systems, Digital filters, Decimation and interpolation, Number formats for
signals and coefficients in DSP systems, Dynamic Range and Precision, Sources of error in
DSP implementations, A/D Conversion errors, DSP Computational errors, D/A Conversion
Errors.
UNIT II
10 hours
Architectures for programmable DSP devices: Basic Architectural features, DSP
Computational Building Blocks, Bus Architecture and Memory, Data Addressing Capabilities,
Address Generation Unit, Programmability and Program Execution, Speed Issues, Hardware
looping, Interrupts, Stacks, Relative Branch support, Pipelining and Performance, Pipeline
Depth, Interlocking, Branching effects, Interrupt effects, Pipeline Programming models.
UNIT III
10 hours
Programmable digital signal processors: Commercial Digital signal-processing Devices,
Data Addressing modes of TMS320 series DSPs, Data Addressing modes of TMS320 series
Processors, Memory space of TMS320 series Processors, Program Control, TMS320 series
instructions and Programming, On-Chip Peripherals, Interrupts of TMS320 series
processors, Pipeline Operation of TMS320 series Processors.
UNIT VI
10 hours
Implementations of basic DSP algorithms: The Q-notation, FIR Filters, IIR Filters,
Interpolation Filters, Decimation Filters, PID Controller, Adaptive Filters, An FFT Algorithm
for DFT Computation, A Butterfly Computation, Overflow and scaling, Bit Reversed index
generation, An 8-Point FFT implementation on the TMS320 series, Computation of the
signal spectrum.
UNIT V
10 hours
Interfacing memory and I/O peripherals to programmable DSP devices: Memory space
organization, External bus interfacing signals, Memory interface, Parallel I/O interface,
Programmed I/O, Interrupts and I/O, Direct memory access (DMA). A Multichannel buffered
serial port (McBSP), McBSP Programming, a CODEC interface circuit, CODEC
programming, A CODEC-DSP interface example.
Reference Books:
1. Avtar Singh and S. Srinivasan, (2004), Digital Signal Processing, Thomson
Publications.
2. Lapsley et al., (2000), DSP Processor Fundamentals, Architectures & Features, S.
Chand & Co.
3. B. Venkata Ramani and M. Bhaskar, (2004), Digital Signal Processors, Architecture,
Programming and Applications, TMH.
4. Jonatham Stein, (2000), Digital Signal Processing, John Wiley.
modern processors, memories, and networks and explain how these concepts and
mechanisms interact.
2. To apply this understanding to new computer architecture design problems within the
context of balancing application requirements against technology constraints; more
specifically, quantitatively assess a designs execution time in cycles and qualitatively
assess a designs cycle time, area, and energy.
3. To evaluate various design alternatives and make a compelling quantitative and/or
qualitative argument for why one design is superior to the other approaches.
Course Outcomes
At the end of the course the student will be able to:
1. Suggest alternate processor architectures for specific applications
2. Do comparative analysis of different architectures & choose right architecture for specific
applications
3. Architect a solution for a given problem
UNIT I
12 hours
Fundamental processors: instruction set architecture; single-cycle processors; hardwired
vs. microcoded FSM processors; pipelined processors; resolving structural, data and control
hazards; analyzing processor performance.
UNIT II
10 hours
Fundamental memories: memory technology; direct-mapped vs. associative caches; writethrough vs write-back caches; single-cycle, FSM, pipelined caches; analyzing memory
performance.
UNIT III
10 hours
Fundamental networks: single-cycle global crossbars; arbitration; traffic patterns; torus and
butterfly topologies; routing algorithms; channel and router microarchitecture; analyzing
network performance.
UNIT IV
10 hours
Advanced processors: superscalar execution, out-of-order execution, register renaming,
memory disambiguation, branch prediction, speculative execution; multithreaded, VLIW, and
SIMD processors.
UNIT V
10 hours
Advanced memories: non-blocking cache memories; memory protection, translation, and
virtualization; and memory synchronization, consistency, and coherence.
Reference Books:
1. J. L. Hennessy and D. A. Patterson, (2012), Computer Architecture: A Quantitative
Approach, 5th edition, Morgan Kaufmann.
2. D. M. Harris and S. L. Harris (2012), Digital Design and Computer Architecture, 2nd
Edition, Morgan Kaufmann
1. To give an insight to the students about the significance of CMOS technology and
fabrication process.
2. To teach the importance and architectural features of programmable logic devices.
3. To introduce the ASIC construction and design algorithms
4. To teach the basic analog VLSI design techniques.
5. To study the Logic synthesis and simulation of digital system with Verilog HDL.
UNIT I
10 Hours
CMOS design: Overview of digital VLSI design Methodologies- Logic design with CMOStransmission gate circuits-Clocked CMOS-dynamic CMOS circuits, Bi-CMOS circuits- Layout
diagram,
Stick
diagram-IC
fabrications
Trends
in
IC
technology.
UNIT II
10 Hours
Programmable logic devices: Programming Techniques-Anti fuse-SRAM-EPROM and
EEPROM technology Re- Programmable Devices Architecture- Function blocks, I/O
blocks,Interconnects, Xilinx- XC9500,Cool Runner - XC-4000,XC5200, SPARTAN, Virtex Altera
MAX
7000-Flex
10KStratix.
UNIT III
10 Hours
Basic construction, floor planning, placement and routing: System partition FPGA
partitioning Partitioning methods- floor planning placementphysical design flow global
routing detailed routing special routing- circuit extraction DRC.
UNIT IV
10 Hours
Analog VLSI design: Introduction to analog VLSI- Design of CMOS 2stage-3 stage OpAmp High Speed and High frequency op-amps-Super MOS-Analog primitive cellsrealization of neural networks.
UNIT V
12 Hours
Logic synthesis and simulation: Overview of digital design with Verilog HDL, hierarchical
modelling concepts, modules and port definitions, gate level modelling, data flow modelling,
behavioural modelling, task & functions, Verilog and logic synthesis-simulation-Design
examples,Ripple carry Adders, Carry Look ahead adders, Multiplier, ALU, Shift Registers,
Multiplexer,
Comparator,
Test
Bench.
Reference Books:
1. M.J.S Smith, (1997), Application Specific Integrated Circuits, Addition Wesley
Longman Inc.
2. Kamran Eshraghian, Douglas A.Pucknell and Sholeh Eshraghian, (2005), Essentials
of VLSI Circuits and System, Prentice Hall India.
3. Wayne Wolf, (2006) Modern VLSI Design Prentice Hall India.
4. Mohamed Ismail,Terri Fiez, (1994) Analog VLSI Signal and Information Processing,
McGraw Hill International
5. Samir Palnitkar, (2005) VeriLog HDL, A Design Guide to Digital and Synthesis 2nd
Edition, Pearson.
6. John P. Uyemera, (2011), Chip Design for Submicron VLSI CMOS Layout and
Simulation, Cengage Learning India Edition.
Course Outcomes
At the end of the course the student will be able to:
1. Learn PLDs, FPGA design and architecture.
2. Understand different types of arrays.
3. Understand FSM and different FSM techniques like petrinets and different case
studies.
UNIT I
10 Hours
Programmable logic: ROM, PLA, PAL, PLD, PGA Features, programming and
applications usingcomplex programmable logic devices Altera series Max 5000/7000
series and Altera FLEX logic 10000 series CPLD, AMDs CPLD (Mach 1 to 5); Cypres
FLASH 370 Device Technology, Lattice pLSIs Architectures 3000 Series Speed
Performance and in system programmability.
UNIT II
10 Hours
FPGAs: Field Programmable Gate Arrays Logic blocks, routing architecture,
Design flow,Technology Mapping jfor FPGAs, Case studies Xilinx XC4000 & ALTERAs
FLEX 8000/10000 FPGAs: AT & T ORCAs (Optimized Reconfigurable Cell Array):
ACTELs ACT-1,2,3 and their speed performance.
UNIT III
12 Hours
Finite State Machines (FSM): Top Down Design State Transition Table, state
assignments for FPGAs. Problem of initial state assignment for one hot encoding.
Derivations of state machinecharges. Realization of state machine charts with a PAL.
Alternative realization for state machine chart suing microprogramming. Linked state
machines. One Hot state machine, Petrinetes for state machines basic concepts,
properties. Extended petrinetes for parallel controllers. Finite State Machine Case Study,
Meta Stability, Synchronization.
UNIT IV
10 Hours
FSM architectures and systems level design: Architectures centered around nonregistered PLDs.State machine designs centered around shift registers. One Hot design
method. Use of ASMs in One Hot design. K Application of One Hot method. System level
design controller, data path and functional partition.
UNIT V
10 Hours
Digital front end digital design tools for FPGAs & ASICs: Using Mentor Graphics EDA
Tool (FPGA Advantage) Design Flow Using FPGAs Guidelines and Case Studies of
parallel adder cell, paraller adder sequential circuits, counters, multiplexers, parallel
controllers.
Reference Books:
1. P.K.Chan & S. Mourad (1994), Digital Design Using Field Programmable Gate Array,
Prentice Hall.
2. S.Trimberger (1994), Field Programmable Gate Array Technology, Kluwer Academic
Publications.
3. J. Old Field, R.Dorf (1995), Field Programmable Gate Arrays, John Wiley & Sons,
Newyork.
1.
2.
3.
4.
Course Outcomes
At the end of the course, the student will be able to
1. Describe different modalities and current techniques in image acquisition
2. Describe how digital images are represented and stored efficiently depending on the
desired quality, color depth, dynamics
3. Use the mathematical principles of digital image enhancement
4. Describe and apply the concepts of feature detection and contour finding algorithms.
5. Analyze the constraints in image processing when dealing with larger data sets
(efficient storage and compression schemes)
UNIT I
8 Hours
Introduction: Examples of fields that use digital image processing, fundamental steps in
digital image processing, components of image processing system.
Digital image fundamentals: Light and the Electromagnetic Spectrum, Image sensing and
Acquisition, A simple image formation model, Image sampling and Quantization, basic
relationships between pixels.
UNIT II
12 Hours
Reference Book:
1. Gonzalez, R. C. (2009), Digital Image Processing.,Pearson Education India.
1. Sukhatme, K., & Sukhatme, S. P. (1996). Solar Energy: Principles of Thermal Collection
Publishing Corporation,Washington, DC
4. Kaygusuz, K. (2001). Renewable Energy: Power For A Sustainable Future.ENERGY,
Production. Elsevier.
7. Bridgewater, A. V. (1984). Thermochemical processing of biomass. InThermochemical
Hall.
Khandelwal, K. C., & Mahdi, S. S. (1988). Biogas Technology: A Practical Handbook.
Tata McGraw-Hill.
10 Hours
Harmonics: Harmonic sources from commercial and industrial loads, locating harmonic
sources. Power system response characteristics - Harmonics Vs transients. Effect of
harmonics - harmonic distortion - voltage and current distortion - harmonic indices - inter
harmonics resonance. Harmonic distortion evaluation - devices for controlling harmonic
distortion
passive
and
active
filters.
IEEE
and
IEC
standards.
UNIT V
12 Hours
Power quality monitoring: Monitoring considerations - monitoring and diagnostic
techniques for various power quality problems - modeling of power quality (harmonics and
voltage sag) problems by mathematical simulation tools - power line disturbance analyzer
quality measurement equipment - harmonic / spectrum analyzer - flicker meters
disturbance analyzer. Applications of expert systems for power quality monitoring.
Reference Books:
1. Dugan, R. C., McGranaghan, M. F., Santoso, S., & Beaty, H. W. (2003). Electric power
system quality.
2. Heydt, G. T. (1991). Electric power quality. Stars in a circle publications.
3. Bollen, M. H. (2000). Understanding power quality problems (Vol. 3). New York: IEEE
press.
4. Arrillaga, J., Watson, N. R., & Chen, S. (2000). Power system quality assessment. Wiley.
5. PSCAD User Manual
UNIT IV
10 Hours
Expansion planning: Basic concepts on expansion planning-procedure followed for
integrate transmission system planning, current practice in India-Capacitor placer problem in
transmission system and radial distributions system.
UNITIV
12 Hours
12 Hours
principles and characteristics of: Solar PV, Fuel cells, wind electrical systems-control
strategy, operating area.
UNIT II
06 Hours
Electrical machines for renewable energy conversion: Review of reference theory
fundamentals-principle of operation and analysis: IG, PMSG, SCIG and DFIG.
UNIT III
09 Hours
Power converters: Solar: Block diagram of solar photo voltaic system: line commutated
converters (inversion mode) - Boost and buck-boost converters- selection of inverter, battery
sizing, array sizing. Wind: three phase AC voltage controllers- AC-DC-AC converters:
uncontrolled rectifiers, PWM Inverters, Grid Interactive Inverters-matrix converters.
UNIT IV
09 Hours
Analysis of wind and PV systems:Stand alone operation of fixed and variable speed wind
energy conversion systems and solar system-Grid connection Issues -Grid integrated PMSG
and SCIG Based WECS-Grid Integrated solar system.
UNIT V
09 Hours
Hybrid renewable energy systems: Need for Hybrid Systems- Range and type of Hybrid
systems- Case studies of Wind-PV Maximum Power Point Tracking (MPPT).
Reference Books:
1. S.N.Bhadra, D. Kastha, & S. Banerjee, (2009), Wind Electricaal Systems, Oxford
University Press
2. Rashid .M. H, (2001), Power Electronics Hand book, Academic press.
3. Rai. G.D, (1993), Non conventional energy sources, Khanna publishes.
4. Rai. G.D, (1993), Solar energy utilization, Khanna publishes.
5. Gray, L. Johnson, (1995), Wind energy system, Prentice Hall lnc.
06 Hours
I/O and Interrupts: General Purpose Input/output (GPIO) functionality interrupts on the
TMS320LF2812, Analog-to-Digital Converter (ADC), event managers (EVA, EVB).
UNIT III
09 Hours
DSP-Based Applications: DC-DC buck-boost converters, DSP based control of stepper
motors, DSP-Based control of permanent magnet brushless DC machines, Park and
Clarke's transformations.
UNIT IV
09 Hours
Space Vector Pulse Width Modulation, DSP-based control of permanent magnet
synchronous machines.
UNIT V
DSP-based vector control of induction motors, Simulation using MATLAB
09 Hours
Reference Books:
1. Hamid Toliyat and Steven Campbell, (2011) DSP-Based Electromechanical Motion
Control, CRC Press.
2. P.C.Krause, Oleg Wasynczuk, Scott D.Sudhoff, (2010) Analysis of Electrical
Machinery and Drive Systems, 2nd Edition, Wiley India
3. Chee-Mun Ong, (1998), Dynamic Simulation of Electric Machinery using Matlab /
Simulink, Prentice Hall
08 Hours
5. Learn the strategies used to Control Converters such as, firing angle control, current
and extinction angle control, Starting and stopping of Dc link, Power control,
Frequency control, Reactive power control, and Tap changer control
6. Understand the faults that occur in converters and adapt suitable methods to protect
them
7. Gain a knowledge on reactive power control
8. Learn the concept of harmonics generation and design AC and DC filters to eliminate
the harmonics
9. Analyze the concept of power flow with VSC based HVDC system.
10. Analyze the voltage stability in asynchronous AC/DC system
UNIT I
06 Hours
DC power transmission technology: Introduction, comparison with AC transmission,
application of DC transmission, description of DC transmission system, planning of HVDC
transmission, modern trends in DC transmission, operating problems.
UNIT II
06 Hours
HVDC converters: Introduction to line commutated converter, choice of converter
configuration for any pulse number, analysis of 6 and 12 pulse Graetz bridge converter
without overlap, effect of smoothing reactor. two and three level voltage source converters,
pulse width modulation. analysis of converter in two and three, and three and four valve
conduction modes, lcc bridge characteristics, twelve pulse converter, detailed analysis of
converters. analysis of capacitor commutated and voltage source converters.
UNIT III
09 Hours
Control of converters and HVDC link: DC link control principles, converter control
characteristics, firing angle control, current and extinction angle control, starting and
stopping of DC link, power control, frequency control, Reactive power control, Tap changer
control, Emergency control and Telecommunication requirements. Control of voltage source
converter.
UNIT IV
09 Hours
Converter faults and protection: Converter faults, protection against over currents, over
voltages in converter station, surge arrestor, protection against over voltages. Protection
against faults in voltage source converter.
Reactive power control: Reactive power control in steady state and transient state, sources
of reactive power, SVC and STATCOM.
UNIT V
09 Hours
Harmonics and filters: Introduction, generation of harmonics, design of AC and DC filters.
Power flow analysis in AC/DC systems: Introduction, DC system model, solution
procedure, inclusion of constraints, case study,
Reference Books:
1. K. R. Padiyar (2012), HVDC Power Transmission Systems, New Age International.
2. E.W.Kimbark (2006), Direct Current Transmission, Vol.1, Wiley Inter-Science,
London.
3. Arrilaga (2007), High Voltage Direct Current Transmission, 2nd Edition, The Institute
of Engineering and Technology.
4. S Kamakshaiah and V Kamaraju (2011), HVDC Transmission, TMH.
Course Objectives
1. To understand the basics of mathematical modeling in digital control system, linear
systems and non-linear systems
2. To study the stability analysis of digital and non linear systems
Course Outcomes
At the end of the course the student will be able to:
1. Understand and apply the basic mathematical concepts of Z-transform in digital
control systems
2. Appreciate the stability analysis of digital control systems using Jurys Stability Test
and Bilinear Transformation
3. Develop state models for continuous time and discrete time systems
4. Analyze the concept of controllability and observability for continuous time and
discrete time systems
5. Understand the need for state feedback and observer
6. Develop system model for full order and reduced order observer
7. Understand the design concepts of state regulator, output regulator, model reference
control systems, and adaptive control systems
8. Define the nonlinearities, and singular points in non-linear systems
9. Study the stability of nonlinear systems using Lyapunovs stability criterion, and
Popovs criterion.
10. used for storage
UNIT I
10 Hours
Digital control systems: Review of difference equations and Z - transforms, Z- transfer
function (Pulse transfer function), Z - Transforms analysis, sampled data systems, stability
analysis (Jurys Stability Test and Bilinear Transformation), pulse transfer functions and
different configurations for closed loop discrete-time control systems.
UNIT II
06 Hours
Modern control theory: State model for continuous time and discrete time systems,
solutions of state equations (for both continuous and discrete systems), concepts of
controllability and observability (for both continuous and discrete systems)
UNIT III
06 Hours
State Feedback and Observer: Pole placement by state feedback (for both continuous and
discrete systems), full order and reduced order observes (for both continuous and discrete
systems), dead beat control by state feedback
UNIT IV
09 Hours
Optimal and Adaptive Control: Optimal control problems using state variable approach,
state regulator and output regulator, concepts of model reference control systems, adaptive
control systems and design.
UNIT V
08 Hours
Non linear control systems: Common nonlinearities, singular points, stability of nonlinear
systems - phase plane analysis and describing function analysis, Lyapunovs stability
criterion, Popovs criterion.
Reference Books:
1. Ogata. K, (2010), Modern Control Engineering, 5th Edition, PHI.
2. Ogata K, (2011), Discrete Time Control Systems, 2nd Edition, PHI.
3. Nagarath and Gopal, (2012), Control Systems Engineering, New Age International
Publishers.
4. M Gopal, (2011), Modem Control System Theory, New Age International.
5. M. Gopal, (2011), Digital Control & State Variable Methods, TMH.
PESU Student Handbook 2015-16 306
3. Joseph Giarratano and Gary Riley, (2004), Expert Systems Principles and
Programming, 4th Edition, Vikas Publishing House, New Delhi.
4. Patrick Henry Winston, (1992), Artificial Intelligence, 3rd Edition, Pearson Education,
New Delhi.
5. Dan W Patterson (1990), Introduction to AI and Expert Systems, Prentice Hall, New
Delhi.
6. Eugene Charniak, Drew McDermott (1985), Introduction to Artificial Intelligence,
Pearson Education, New Delhi.
Course Objectives
1. To introduce the concepts of stresses and strains and to equip them with the
necessary skills and knowledge to solve simple problems of engineering importance.
2. To explain the mechanical behavior of common engineering materials.
3. To teach important concepts which quantify important properties of a fluid.
4. To teach important terminologies in belt and gear drives and enable them to
understand the engineering treatment of such power transmission drives.
5. To explain the principle of working of 2-stroke and 4-stroke IC engines and thereby
enable the students to appreciate the working of an engine in an automobile
6. To enable the students to understand the principle of refrigeration as applied to vapor
compression and vapor absorption cycles.
7. To enable students to learn and appreciate various techniques, methods and
practices in manufacturing technology such as, metal cutting, casting, forming and
welding
Course Outcomes:
At the end of the course the students will be able to
1. explain the mechanical behavior of important engineering materials and apply their
understanding of stresses and strains to solve simple problems of engineering
importance
2. calculate the important properties of a fluid and demonstrate skill in solving problems
related to capillarity and explain the phenomenon of capillarity and cavitation
3. apply their understanding of the simple mathematical treatment of belt and gear
drives to solve simple problems
4. explain the working of IC engines and different refrigeration systems, and
differentiate between their types
5. explain metal cutting process such as drilling, turning and milling and their types and
engineering significance
6. explain the principle of metal casting, its engineering significance and types and
understand the principle of arc and gas welding and appreciate their engineering use
7. understand different metal working processes and differentiate between their types
UNIT I
10 Hours
Simple stresses and strains: Introduction, stresses in the members of a structure, Normal
Strain under axial loading, Stress-strain diagram, True stress and true strain, Hooke's law;
Elastic Moduli, Poisson's ratio, numerical problems involving determination of stresses,
strains in simple and compound members and elastic constants.
UNIT II
10 Hours
Properties properties of fluids: Definition of a fluid, distinction between a solid and fluid,
concept of continuum, Fluid Properties and their definition, formulae and units - Mass
density, Specific volume, Specific weight, Specific gravity; Viscosity, Newtons law of
viscosity, dynamic and kinematic viscosity, Newtonian and Non-Newtonian fluids; Surface
tension and capillarity; Compressibility, Vapour pressure, simple numerical
UNIT III
10 Hours
Thermal Engineering: I.C. Engines classification, parts, principles of working of petrol and
diesel engines (two and four stroke), efficiencies of IC engines and simple numericals;
principles of refrigeration, properties of refrigerants, principles of working of vapor
compression and vapor absorption systems.
UNIT IV
12 Hours
Power transmission: Introduction, Belt Drives types of belt drives, Flat and V Belts,
Stepped Cone Pulley, Length of the belt, Velocity ratio of Belt Drives, Tensions in Flat Belt
Drives, Ratio of Tensions, Power Transmitted, Concepts of Slip and Creep, Belt Materials,
PESU Student Handbook 2015-16 310
Simple Numericals; Gear Drives, Types of Gears, Velocity Ratio, Gear Trains Simple and
Compound, Simple Numericals
Machine tools: Introduction, classification, principle of working of an Engine Lathe, brief
explanation on various lathe operations plain and taper turning, thread cutting. Milling
Machine: principles of working of vertical and horizontal types, Concepts of Up milling and
Down Milling; Drilling Machine: principle of working, brief explanation on various drilling
operations boring, counter-boring, tapping, reaming, counter-sinking.
UNIT V
10 Hours
Manufacturing processes: (i) Metal Casting: Introduction, principle of casting, types, steps
involved, advantages & applications; defects, patterns, sand molding, properties of molding
sand, gating system (ii) Metal Joining Processes: Welding Principle, classification of
welding processes; Arc Welding principle, equipment, electrodes, Oxy Acetylene Gas
welding process principle, equipment, types of flames, applications. (iii) Metal Forming
Processes: Introduction to mechanical working, hot and cold working, advantages /
disadvantages, methods of hot working forging and extrusion (brief description only).
Text Books
1. Beer and Johnson (2011), Mechanics of Materials, 6th Edition, Tata McGraw-Hill
Education.
2. Modi & Seth (2013), Hydraulic & Fluid Mechanics, 19th Edition, Standard Book
House, New Delhi.
3. K.R. Gopalakrishna (2006), Elements of Mechanical Engineering, 26th Edition
Subhash Publishers.
4. S.K. Sharma (2014), Manufacturing Processes, I K International Publishing House
(Text book 1: Unit I - Chapters 1 & 2; Text book 2: Unit II - Chapters 1; Text book 3:
Unit III & IV - Chapters 3 & 9; Text book 4: Unit IV - Chapters 3, 4, 12 & 16)
5. identify different phases in iron-carbon diagram for steels and cast-iron and non
equilibrium phases
6. use the phase diagrams effectively to identify the phase-state of the material for a
given temperature condition
7. select the best heat treatment process based on application
8. identify the composition, properties and application of various ferrous, non-ferrous
and composite materials
UNIT I
10 Hours
Lattice, unit cell, basis and crystal structure: Fundamental concepts of Unit cell space
lattice, Bravais Lattices, Unit cells for cubic structures and HCP. Calculations of radius, coordination No. and Atomic Packing Factor for different cubic structures & HCP, Miller indices
of planes and directions in cubic and hexagonal structures, Numerical problems
Crystal imperfections: Point, line & surface imperfections in crystalline solids
Atomic diffusion: Applications of Diffusion, diffusion mechanism, Ficks laws of diffusion,
Factors affecting diffusion, Numerical problems
UNIT II
10 Hours
Mechanical properties and behavior: Types of deformations, Tensile test, Properties
obtained from Tensile test, true stress & true strain, Plastic deformation - slip and twinning,
Hardness of Materials Rockwell, Vickers & Brinell Hardness testing, Strain rate effects and
Impact testing, Numerical problems
UNIT III
10 Hours
Solid solutions and phase equilibrium: Phases and Phase diagram, solid solutions, Rules
governing formation of solid solutions, Phase diagrams Basic terms, phase rule, cooling
curves, construction of Phase diagrams, interpretation of equilibrium diagrams, Types of
Phase diagrams, Lever rule, Numerical problems
Principles of solidification: Technological significance, Nucleation, Applications of
controlled nucleation, Growth mechanisms, Solidification time and dendrite size,
Solidification defects, Cast structure, Numerical problems
UNIT IV
11 Hours
Iron carbon equilibrium diagram: Phases in the Fe-C system, Invariant reactions, critical
temperatures, Microstructures of slowly cooled steels, effect of alloying elements on the FeC diagram, ferrite and austenite stabilizers. The TTT diagram, drawing of TTT diagram, TTT
diagram for hypo & hyper eutectoid steels, effect of alloying elements on CCT diagram.
Heat treatment of steels: Annealing and its types, Normalizing, Hardening, Tempering,
Martempering, Austempering, Surface hardening like carburizing, cyaniding, nitriding, and
induction hardening,
Harneability of steels: Hardenability concept Jominy End Quench test, effect of alloying
elements
UNIT V
11 Hours
Engineering alloys (ferrous): Properties and uses of Carbon steels, Steel designation as
per AISI designation; Properties and uses of Cast Irons gray Cast Iron, White Cast Iron,
Malleable Cast Iron & Ductile Iron and Austempered Ductile Iron
Engineering alloys (Non - Ferrous): Properties and uses of light alloys - Al,
Mg and Ti alloys; Properties and uses of Copper and its alloys
Ceramic and polymeric materials: Applications of ceramics, properties of ceramics,
Sintered ceramics; Classification of polymers, addition and condensation polymerization,
typical thermoplastics and thermosetting polymers
Text Books
1. Donald R Askeland and Pradeep, P. Phule (2006), The Science and Engineering of
Materials for Science and Engineering, 5th edition, Thomson Engineering.
PESU Student Handbook 2015-16 313
Reference Books
1. William D. Callister, Jr. (2003), Materials Science and Engineering: An Introduction,
Characterization of Materials: Volumes 1 and 2, 6th Edition John Wiley & Sons, New
Jersey.
expressions for mechanical displacement work for different quasi static processes;
expressions for other forms of work; net work transfer between a system and its
surroundings; definition of heat transfer; characteristics of heat transfer; illustrative
examples.
UNIT II
10 Hours
First Law of Thermodynamics: First law for a closed system undergoing a cyclic process;
first law for a closed system undergoing a non-cyclic process; energy a property of a
system; different forms of stored energy; definition of a pure substance; two-property rule for
a pure substance; specific heat at constant pressure and specific heat at constant volume;
first law for an isolated system; perpetual mot ion machine of first kind; illustrative examples.
First law for an open system (control volume): control volume; law of conservation of
mass and first law equation for a steady state steady flow system; application of steady flow
equation to systems like turbines, compressors/pumps, heat exchangers, nozzles/diffusers,
throttle valve; comparison of steady flow energy equation with Euler and Bernoulli equations;
first law equation for unsteady flow open systems; illustrative examples.
UNIT III
10 Hours
Second Law of Thermodynamics: Limitations of first law; qualitative differences between
heat and work; Cyclic heat engine; energy reservoirs; performance of a direct heat engine
and Kelvin-Planck statement of second law; reversed heat engine- refrigerator and heat
pump; coefficient of performance for a refrigerator and for a heat pump; Clausius statement
of second law; equivalence between the two statements; reversibility and irreversibilitydefinition of a reversible heat engine; corollaries of second law of thermodynamics;
reversibility and irreversibility as applied to a non-cyclic process; causes which make a
process irreversible and types of irreversibility; Kelvins absolute scale of temperature;
illustrative examples.
UNIT IV
12 Hours
Entropy: Introduction; Clausius inequality(or Clausius theorem); Entropy as a property of a
system; Temperature entropy plot and its usefulness in analyzing thermodynamic
processes; entropy change for an irreversible process; principle of increase of entropy
;Carnot cycle on T-s diagram and expression for Carnot efficiency; applications of principle
of increase of entropy heat transfer through a finite temperature difference, mixing of two
fluids, maximum work obtainable from two identical bodies at two different temperatures and
maximum work obtainable from a finite body and a thermal energy reservoir; entropy
generation in closed and open systems; Tds relations and their significance(first and second
law combined), Isentropic process; illustrative examples
Availability: Introduction to concepts of available energy and unavailable energy; quality of
energy; dead state; availability; availability analysis for closed and open systems; second
law efficiency; illustrative examples.
UNIT V
10 Hours
Properties of gases and gas mixtures: Avogadros law; equation of state for a gas; ideal
gas; equations of state; properties of mixtures of gases- Daltons law and Gibbs law- internal
energy, enthalpy , specific heats and entropy of a mixture of gases; illustrative examples.
Properties of Pure Substances: p-v and p-T diagrams for a pure substance; T-s and h-s
diagrams for a pure substance; quality/dryness fraction ; steam tables and charts for
thermodynamic properties; measurement of steam quality; illustrative examples.
Text Books
1. P.K.Nag (2008), Engineering Thermodynamics, Tata-Mcgraw Hill Publishing Co. Ltd.
Reference Book
PESU Student Handbook 2015-16 315
UNIT II
8 Hours
Principles of gating: Gating System, Principles of gating system, Pouring cups and basins,
sprues, gates characteristics, types of gates, design of gating system objectives achieved
from a good design, defects due to improper gating, turbulence in gating, metal flow rate and
velocity, design criteria for pouring basin, design for sprue, pouring time, design of runner
and gates.
Principles of risering: Introduction, Functions of a riser, types of risers, riser and directional
solidification, increasing riser efficiency, chills, padding, riser shape, riser size, riser location
and riser feeding distance.
UNIT III
8 Hours
Melting furnaces and practices: Introduction, types of furnaces, brief description of each.
Cupola- cupola design, preparation of cupola, charging the cupola, cupola zones and
computing the cupola metal charge and simple problems.
Ladle metallurgy: Different degassing techniques, gas scavenging, desulphurization, liquid
metal cleanliness and inoculation.
Special casting methods: Permanent mould casting, die casting, centrifugal casting, shell
moulding, investment moulding and plaster mould casting
UNIT IV
7 Hours
Special casting methods: Introduction. Permanent mould casting, slush casting, die
casting, vacuum die casting, centrifugal casting de Lavand process, semi-centrifugal
casting, centrifuging, investment casting, carbon di oxide moulding, continuous casting, shell
moulding, plaster mould casting, anitioch process and squeeze casting.
UNIT V
7 Hours
Welding and allied processes: Introduction to welding. Classification of welding
processes, concept of weld. Resistance welding, resistance spot welding, resistance butt
welding, resistance flash welding, resistance seam welding, projection welding. Gas welding
oxy-acetylene welding and cutting equipments gas cylinders. Electric arc welding, metal
arc welding, arc blow, use of AC and DC for welding, comparison between AC and DC for
welding, arc welding electrodes.
Special welding processes: Inert gas arc welding, inert gas tungsten arc (TIG) welding,
inert gas metal (MIG) arc welding, submerged arc welding, electro slag welding, electro gas
welding, stud arc welding, plasma arc welding, atomic hydrogen welding, thermit welding
Text Book
1. O P Khanna (1996), A Text book of Foundry Technology, Dhanpat Rai Publications.
2. B.S. Raghuwanshi (2005), A course in Workshop Technology, Volume I,
Manufacturing Processes, Dhanpat Rai & Co. (P) Ltd..
Reference Book
1. Richard W. Heine, Carl R. Loper Jr and Philip C, Rosenthal (2010), Principles of
Metal Casting, 36th Reprint, Tata McGraw Hill Publication.
2. Richard L. Little (2009), Welding and Welding Technology, 37th Reprint, Tata
McGraw Hill Publication.
Course Outcomes
At the end of the course, the student will be able to
1. Apply the concepts of 1st and 3rd angle projection to project 2D and 3D objects using
the drawing tool on CAD software
2. Read and interpret engineering drawings of a given job
3. Use part modeling tool in CAD software to model 3D parts to exact dimensions and
contours
4. Use assembly tool in CAD software to assemble the 3D modeled parts to obtain the
complete 3D model of a given object
5. Use drafting tool in CAD software to project various sectional and orthographic views
of a 3D modeled object
UNIT I
CAD packages:
Introduction to CAD Tools
Isometric projection. Single and combination of solids
Sectional views of solids, like prism and pyramids.
Orthographic view of machine parts
Orthographic view of machine parts with section
4 Hours
UNIT II
Thread forms, Bolts and Nut
Rivets and Riveted Joints - Single Riveted, Double Riveted joint
4 Hours
UNIT III
Cotter and pin joints
Couplings
4 Hours
Reference Books
1. K.R.Gopalakrishna (2003), Machine Drawing, 5th Edition, Subhash Publications.
2. K.R.Goplakrishna (2003), A Text Book of Engineering Graphics, Subhas
Publications.
1.
2.
3.
4.
5.
6.
10 Hours
3. apply the concepts of limit, fits and tolerances in solving numerical problems and to
differentiate between different types of gauges used in checking dimensions of
components
4. understand and describe the working principles of form measuring instruments
.
Unit I
12 Hours
Introduction to measurements: Definition and significance of measurement, fundamental
methods, Generalized measuring system, types of input quantities, calibration, accuracy,
precision, sensitivity, linearity, loading effect, errors in measurement, classification of errors.
Standards of length: International prototype meter, Imperial standard yard, Wave Length
standard, subdivision of standards, line and end standards, transfer from line standard to
end standard, calibration of end bars, Slip gauges-Wringing phenomena, Indian Standards
(M-87, M-114).
Transducers: Transfer efficiency, primary and secondary transducers-mechanical, electrical
and electronic transducers, advantages of each type transducers.
Intermediate modifying devices Mechanical systems-inherent problems, electrical
intermediate modifying devices, input circuitry, ballast circuit, electro, amplifiers and
telemetry.
Terminating Devices-- Mechanical counters, Cathode Ray Oscilloscope, Oscillographs, XY Plotter.
Unit II
10 Hours
Measurement of strain, force and torque: Strain gauges, Methods of strain measurement.
Force measurement--Analytical balance, Multi lever system, Proving ring, Torque
measurement- Pony brake and hydraulic dynamometer.
Pressure measurement and temperature measurement:Elastic diaphragms, Bourdon
tube, Bridgeman gauge, McLeod gauge, Pirani gauge. Resistance thermometers,
thermocouple, laws of thermocouple, materials used for thermocouple construction.
Pyrometers-Total radiation pyrometer, Optical Pyrometer.
Unit III
10 Hours
Fundamentals of geometrical dimensioning and tolerancing systems: Definition of
tolerance, Specification in assembly, Principle of inter changeability and selective assembly
limits of size, Indian standards, concept of limits of size and tolerances, compound
tolerances, accumulation. of tolerances, definition of fits, types of fits and their designation
(IS 919), geometrical dimensioning (ASME)definition, symbols, modifiers and datums,
hole basis system, shaft basis of system, classification of gauges, Taylor principle of limit
gauges, Wear allowance on gauges. Types of Gauges - plain plug gauge, ring gauge, snap
gauge, progressive gauge, gauge materials.
Unit IV
10 Hours
Comparators: Introduction, Characteristics, classification of comparators, Mechanical
comparators - Johnson Mikrokator, Sigma Comparator, Dial indicator. Optical Comparators principles, Zeiss ultra optimeter, Electric and Electronic Comparators - principles, LVDT;
Pneumatic Comparators , back pressure gauges, Solex air gauge.
Unit V
10 Hours
Form measurements:Angular measurements--Bevel protractor, Sine bar, Sine center,
Angle gauges, Clinometers, InterferometerMichelsons interferometer, Autocollimator,
Optical flats. Screw thread and Gear measurements--Terminology of screw threads,
measurement of major diameter, minor diameter, pitch, angle and effective diameter of
screw threads by 2-wire and 3-wire methods, best size wire, Tool makers microscope,
Profile projector, Gear terminologyGear tooth vernier caliper.
PESU Student Handbook 2015-16 324
Text Books
1. Thomas Beckwith, Marangoni and Lienhard (2010), Mechanical Measurements, 6th
Editon, Pearson.
2. R.K.Jain (2002), Engineering Metrology, 18th Edition, Khanna Publishers.,
Text Books:
1. L.Meriam & L G Kraige (2006), Engineering Mechanics Dynamics, 6th Edition,
Wiley India,
2. S.S.Ratttan (2006), Theory of Machines, 3rd Reprint, Tata McGraw Hill Publishing Co.
Ltd.
2. Amos Gilat (2014), Matlab: An Introduction with Application, 5th Edition, Wiley India.
Reference Books:
1. Rudra Pratap (2010), Getting Started with MATLAB: A Quick Introduction for
Scientists and Engineers, Oxford University Press.
2. www.mathworks.com for various learning resources.
Course Objectives
1. To train the students in the use of precision measuring instruments
2. To train the students in the process of calibration for various measuring instruments
Course Outcomes
At the end of the course, the student will be able to
1. calibrate different instruments for the measurement of pressure, temperature, linear
distance, speed, surface finish etc.
2. use profile projector and tool makers microscope and identify various screw thread
parameters
3. choose the appropriate measuring instrument based on the required accuracy and
application
LIST OF EXPERIMENTS:
1. Calibration of Pressure Gauge (Foot Pump Type)
2. Calibration of Thermocouples (J & K -Type)
4. Calibration of Linear Variable Differential Transformer (LVDT)
5. Calibration of Load Cell
6. Calibration of Resistance Thermister and Thermometer
7. Calibration of diaphragm type Strain Gauge Pressure Pickup
8. Measurement of screw thread parameters using profile projector
9. Measurement of screw thread parameters using tool makers microscope
10. Measurement of angle of a given specimen using sine bar and sine center
11. Measurement of alignment using autocollimator/roller set
12. Acceptance test using electronic comparator
13. Measurement of gear tooth profile using gear tooth vernier caliper
Reference Book
Laboratoty Manual prepared by the Department of Mechanical Engineering, PES University
10 Hours
Review of
basic concepts: Introduction; Definition of Terms; Calibration;
Standards; Dimensions and Units; Generalized Measurement System; Basic
Concepts in Dynamic Measurements; System Response; Distortion; Impedance
Matching; Experiment Planning; Problems.
Analysis of experimental data: Introduction; Causes and Types of Experimental
Errors; Error Analysis on a Commonsense Basis; Uncertainty Analysis; Evaluation of
Uncertainties for Complicated Data Reduction; Statistical Analysis of Experimental Data;
Probability Distributions; The Gaussian or Normal Error Distribution; Comparison of Data
with Normal Distribution; The Chi-Square Test of Goodness of Fit; Method of Least Squares;
The Correlation Coefficient; Multivariable Regression ;Standard Deviation of the Mean;
Students t-Distribution; Graphical Analysis and Curve Fitting; Choice of Graph Formats;
General Considerations in Data Analysis ; Problems
UNIT II
12 Hours
Basic electrical measurements and sensing devices: Introduction; Forces of
Electromagnetic Origin; Waveform Measures; Basic Analog Meters; Basic Digital Meters;
Basic Input Circuits; Amplifiers; Differential Amplifiers; Operational Amplifiers; Transformers;
Power Supplies; Signal Conditioning; The Electronic Voltmeter (EVM);Digital Voltmeters;
The Oscilloscope; Oscilloscope Selection; Output Recorders; CountersTime and
Frequency Measurements; Transducers; The Variable-Resistance Transducer; The
Differential Transformer (LVDT) ;Capacitive Transducers; Piezoelectric Transducers;
Photoelectric Effects; Photoconductive Transducers; Photovoltaic Cells; Ionization
Transducers; Magnetometer Search Coil; Hall-Effect Transducers; Digital Displacement
Transducers; Comparison of Analog and Digital Instruments; Problems
Pressure measurement: Introduction ; Dynamic Response Considerations; Review of
Mechanical Pressure-Measurement Devices like Dead-Weight Tester; Bourdon-Tube
Pressure Gage; Diaphragm and Bellows Gages The Bridgman Gage ;Low-Pressure
Measurement ;The McLeod Gage ; Pirani Thermal-Conductivity Gage; The Knudsen Gage;
The Ionization Gage; The Alphatron; Problems
UNIT III
10 Hours
Flow measurement: Review of flow measurement using Positive-Displacement Methods
like Obstruction Methods; Practical Considerations for Obstruction Meters; Sonic Nozzle;
10 Hours
Wind tunnel testing: Introduction; Types of wind tunnels and their applications; flow
visualization; calibration of test section of a wind tunnel; measurement of aerodynamic
forces and moments; interpretation of wind tunnel data-scale effects
Thermal and nuclear radiation measurements :Introduction; Detection of Thermal
Radiation; Measurement of Emissivity; Reflectivity and Transmissivity Measurements; Solar
Radiation Measurements; Nuclear Radiation; Detection of Nuclear Radiation; The GeigerMuller Counter; Ionization Chambers; Photographic Detection Methods; Scintillation
Counter; Neutron Detection; Statistics of Counting; Problems
UNIT V
10 Hours
Data acquisition and processing : Introduction; General Data Acquisition System; Signal
Conditioning Revisited; Data Transmission; Analog-to-Digital and Digital-to-Analog
Conversion; Data Storage and Display; The Program as a Substitute for Wired
Logic;Problems
Design of experiments: Introduction; Types of Experiments; Experiment Design Factors;
Experiment Design Protocol and Examples; Problems
Reference Books:
1. J.P.Holman (2001), Experimental Methods For Engineers, , 7th Edition, McGraw Hill
Co.
2. S.P.Venkateshan (2010), Mechanical Measurements, Ane Books Pvt. Ltd.
3. William H. Rae, Jewel B. Barlow and Alan Pope (1999), Low Speed Wind Tunnel
Testing, 3rd Edition, Wiley Inter Science.
10 Hours
Quadratic Shape functions Bar, Beam Elements Bars and beams of arbitrary
orientation - Applications to Heat Transfer problems.
UNIT II
12 Hours
10 Hours
10 Hours
10 Hours
Special topics : Dynamic Analysis Equation of Motion Mass & damping matrices
Free Vibration analysis Natural frequencies of Longitudinal, Transverse and
torsional vibration Introduction to transient field problems. h & p elements special
element formulation Solution techniques Explicit & Implicit methods
Reference Books:
1. Zienkiewicz.O.C, Taylor.R.L, & Zhu, J.Z (2013), The Finite Element Method: Its Basis
& Fundamentals, Butterworth-Heinemann (An imprint of Elsevier), 7th Edition, India
Reprint, Elsevier India Pvt. Ltd., New Delhi.
2. Cook, R.D., Malkus, D. S., Plesha, M.E., and Witt, R.J (2007), Concepts and
Applications of Finite Element Analysis, Wiley Student Edition, 4th Edition, First
Reprint, Authorized reprint by Wiley India (P) Ltd., New Delhi,
3. Zienkiewicz.O.C, Taylor.R.L (1991), The Finite Element Method, 4th Edition, Volume
2 (Chapters 7&8), McGraw Hill International Editions.
4. Reddy, J.N. (2015), Introduction to Non-Linear Finite Element Analysis, 2nd
Edition,Oxford Uniiversity Press.
5. Rao, S.S. (2010), The Finite Element Method in Engineering, 5th Ediion, ButterworthHeinemann (An imprint of Elsevier).
6. Huebner, K.H., Dewhirst, D.L.,Smith, D.E & Byron,T.G. (2004), The Finite Element
Method for Engineers, Wiley Student Edition 4th Edition 2001, John Wiley &Sons
(Asia) Pvt. Ltd.
7. Ramamurthi, V. (2009), Finite Element Method in Machine Design, Revised Edition
2012, Narosa Publishing House.
1. Askeland, Fulay, Wright and Balani (2012), The Science and Engineering of
Materials, 6th Edition, Cengage Learning India.
2. Rober M. Jones,(1984), Mechanics of Composite Materials, 2nd Edition, Taylor &
Francis
Reference Books
1. Selection of Materials ASM Metals Hand book Vol. 1
2. Composite Materials ASM Metals Hand book Vol. 21
Unit IV
12 Hours
Hyperbolic Equations: Explicit Schemes and von Neumann Stability Analysis, Implicit
Schemes, Multistep (Splitting, Predictor Corrector) Methods, Nonlinear Problems, Second
order One Dimensional Wave Equations; Burgers Equation Explicit and Implicit Schemes,
Runge-Kutta Method;
Unit V
12 Hours
Transformed Equations:, Application of Neumann Boundary Conditions, Solution by
MacCormack Method; Example Problems for Elliptic Equation (Heat Conduction), for
Parabolic Equation (Couette Flow), for Hyperbolic Equation (Second Order Wave Equation),
for Non-linear Wave Equation
Reference Books:
1. T.J.Chung (2003), Computational Fluid Dynamics, First South Asian Edition,
Cambridge University Press.
2. Jiyuan Tu, Guan Heng Yeoh and Chaoqun Liu (2008), Computational Fluid
Dynamics A Practical Approach, 1st Edition, Butterworth- Heineman.
10 Hours
Power MEMS: Thermo Electric Energy Harvesting - Basics, Uses, Types, Specifications
and Design, Process flow for fabrication, Simulation, Current Challenges
UNIT V
10 Hours
OTHER MEMS: OPTICAL MEMS - Micro Mirror, Basics, Uses, Types, Specifications and
Design, Process flow for fabrication, Simulation, Current Challenges
BIO MEMS: Micro Pump, Basics, Uses, Types, Specifications and Design, Process flow for
fabrication, Simulation, Current Challenges
Tutorials: Tutorials using COMSOL
One mini-project with a design and simulation
Reference Books
1. Chang Liu (2006), Foundation of MEMS, Pearson International Ed., ISBN 0 13
199204 X
2. G K Ananthsuresh, K Gopalakrishnan, K J Vinoy, K N Bhat and V K Aatre (2012),
Micro and Smart Systems, 1st Edition, Wiley.
3. N. Maluf (2004), An Introduction to Microelectromechanical Systems Engineering, 2nd
Edition, Artech House Print on Demand.
4. G. Kovacs (1998), Micromachined Transducers Sourcebook, McGraw-Hill Higher
Education.
5. Ville Kaajakari (2009), Practical MEMS, Small Gear Publishing.
6. J. Allen (2005), Micro Electro Mechanical System Design, 1st Edition, CRC Press.
7. Roland W Lewis, Perumal Nithiarasu and Kankanhally N Seetharamu (2004),
Fundamentals of the Finite Element Method for Heat and Fluid Flow, Wiley
Publications.
8. Campbell (2001) The Science And Engineering Of Microelectronic Fabrication, 2nd
Edition, Oxford, 2001, ISBN 0 19 513605 5
9. Madou (1997), Fundamentals of Microfabrication, CRC Press, ISBN 0 8493
9451 1.
10. Sergey Y Yurish, Maria Teresa, Sr Gomes (Eds.) (2000),Smart Sensors and MEMS,
Nato Science Series, Kluwer Academic Publishers, London.
10 hours
Combustion appliances & emissions: Gas burners; Gas burner Classification; Functional
requirement of burners; Stoker firing; Pulverized system of firing; Industrial and Process
furnaces; Kilns, Batch & continuous furnaces; Chemical emission from combustion;
Quantification of emission; Emission control methods.
Text Books
1. D. P. Mishra (2008), Fundamentals of Combustion, Prentice Hall of India, New Delhi.
2. B. P. Pundir (2007), Engine Emissions: Pollutant Formation and Advances in Control
Technology, Narosa Publishing House, New Delhi.
Reference Books
1. Kuo K. K (2005), Principles of Combustion, John Wiley and Sons.
2. Strehlow R (1984), Fundamentals of Combustion, McGraw Hill Book Company.
3. Irvin Glassman (1984), Combustion, 2nd Edition, Academic Press.
4. John Griswold (1991), Fuels Combustion and Furnaces; Mc-Graw Hill Book
Company Inc.
5. Samir Sarkar (2009), Fuels and Combustion, 3rd Edition; Universities Press.
10 hours
Thermal design theory for regenerators: Heat transfer analysis; NTU method;
method; influence of longitudinal and transverse wall heat conduction; influence of pressure
and carryover leakages; influence of matrix material, size and arrangement.
UNIT III
10 hours
Heat exchanger pressure drop analysis: Introduction; extended surface heat exchanger
pressure drop; regenerator pressure drop; tubular heat exchanger pressure drop; plate
exchanger pressure drop; pressure drop dependence on geometry and fluid properties.
UNIT IV
10 hours
Surface basic heat transfer and flow friction characteristics: Basic concepts;
dimensionless groups; analytical and semi-empirical heat transfer and friction correlations for
simple and complex geometries; influence of temperature-dependent fluid properties;
influence of superimposed free convection and radiation.
Heat Exchanger Surface Geometrical Characteristics: Tubular heat exchangers; Tube-fin
heat exchangers; plate-fin heat exchangers; Regenerators with continuous cylindrical
passages; shell and tube exchangers with segmental baffles; gasketed plate heat
exchangers.
UNIT V
10 hours
Heat exchanger design procedures: Fluid mean temperatures; plate-fin heat exchangers;
tube-fin heat exchangers; plate heat exchangers; shell and tube heat exchangers; heat
exchanger optimization.
Fouling and Corrosion: Fouling and its effect on exchanger heat transfer and pressure drop;
fouling resistance design approach; prevention and mitigation of fouling; corrosion in heat
exchangers.
Reference Books
1. Ramesh K Shah, Dusan P. Sekulic (2003), Fundamentals of Heat Exchanger Design,
John Wiley & Sons.
2. Sadic Kakac, Hongtan Liu (2002), Heat Exchanger Selection, Rating & Thermal
Design, 2nd Edition, CRC Press.
3. T.Kuppan (2000), Heat Exchanger Design Hand Book, 1st Edition, Marcel Dekker.
4. Robert Serth (2007), Process Heat Transfer - Principles, Applications and Rules of
Thumb, Elsevier.
engineering problems
5. Analyze flow over solid bodies for solving real-world engineering problems involving
turbomachines, hydraulic pumps and turbines
6. Appraise the stability factor of fluid flows and obtain phenomenological observations
after applying standardized solution models
UNIT I
Fundamentals: Introduction, Velocity, acceleration and the material derivative.The local
continuity equation. Path lines, stream lines and the stream function a. Lagranges stream
function for two-dimensional flows b. Stream functions for three-dimensional flows,including
Stokes stream function. Newtons momentum equation; Stress; Rates of deformation,
Constitutive relations for Newtonian fluids, Equations for Newtonian fluids, Boundary
conditions, Vorticity and circulation, The vorticity equation; The work-energy equation, The
first law of thermodynamics, Dimensionless parameters, Non-Newtonian fluids, Moving
coordinate systems Problems.
Inviscid irrotational flows: Inviscid flows; Irrotational flows and the velocity potential a.
Intersection of velocity potential lines and streamlines in two dimensions b. Basic twodimensional irrotational flows c. Hele-Shaw flows d. Basic three-dimensional irrotational
flows e. Superposition and the method of images f. Vortices near walls g. Rankine half body
h. Rankine oval i. Circular cylinder or sphere in a uniform stream
UNIT II
Singularity distribution methods :a. Two and three-dimensional slender body theory b.
Panel methods 2.4 Forces acting on a translating sphere 2.5 Added mass and the Lagally
theorem 2.6 Theorems for irrotational flow a. Mean value and maximum modulus theorem b.
Maximum-minimum potential theorem c. Maximum-minimum speed theorem d. Kelvins
minimum kinetic energy theorem e. Maximum kinetic energy theorem f. Uniqueness theorem
g. Kelvins persistence of circulation theorem h. Weiss and Butler sphere theorems Problems
Irrotational Two-Dimensional Flows: Complex variable theory applied to two-dimensional
irrotational flows; Flow past a circular cylinder with circulation; Flow past an elliptical cylinder
with circulation; The Joukowski airfoil; Krmn-Trefftz and Jones-McWilliams airfoils; NACA
airfoils; Lifting line theory; Krmn vortex street; Conformal mapping and the SchwarzChristoffel transformation; Cavity flows; Added mass and forces and moments for twodimensional bodies Problems.
UNIT III
Exact solutions of the Navier-Stokes equations: Solutions to the steady-state NavierStokes equations when convective acceleration is absent a. Two-dimensional flow between
parallel planes b. Poiseuille flow in a rectangular conduit c. Poiseuille flow in a round tube d.
Poiseuille flow in tubes of arbitrarily shaped cross-section e. Couette flow between circular
cylinders. Unsteady flows when convective acceleration is absent a. Stokes first problemimpulsive motion of a plate b. Stokes second problem-oscillation of a plate. Other unsteady
flows when convective acceleration is absent a. Impulsive plane Poiseuille and Couette
flows b. Impulsive circular Couette flow. Steady flows when convective acceleration is
present. a. Plane stagnation point flow b. Three-dimensional stagnation point flow c. Flow
into convergent or divergent channels d. Flow in a spiral channel e. Flow due to a round
laminar jet f. Flow due to a rotating disk Problems.
UNIT IV
The Boundary Layer Approximation: Introduction to boundary layers; The boundary layer
equations; Boundary layer thickness; Falkner-Skan solutions for flow past a wedge a.
Boundary layer on a flat plate b. Stagnation point boundary layer flow c. General case. The
integral form of the boundary layer equation; Axisymmetric laminar jet; Flow separation;
Transformations for non-similar boundary layer solutions a. Falkner transformation b. von
Mises transformation c. Combined Mises-Falkner transformation d. Croccos transformation
PESU Student Handbook 2015-16 340
UNIT I
08 Hours
Conduction: 1.Heat conduction equations: Concept of heat conduction; Fundamental
Law of heat conduction; Heat conduction equation in differential form in Cartesian, cylindrical
and spherical coordinate systems. Heat conduction equation in two dimensions for non
isotropic materials; Integrated and variational form of heat conduction equation; Boundary
conditions of first, second and third kind; Radiation boundary condition; 2. 2.Steady State
conduction: One dimensional steady state conduction-Analysis of one dimensional steady
state conduction in solids without and with heat generation; One dimensional steady state
conduction in fins of uniform and non-uniform cross sections fin of minimum weight and fin
effectiveness and fin efficiency. One dimensional steady state conduction in solids of
variable thermal conductivity. Two- dimensional steady state conduction in rectangular
plates Method of separation of variables and principle of superposition ;problem.
UNIT II
10Hours
Conduction (continued): 3. Unsteady state conduction: Solids with negligible internal
temperature gradient; one dimensional conduction in infinite flat plate, infinite circular
cylinder and sphere; Heisllers charts for single and multi dimensional problems. Unsteady
state conduction in semi-infinite solid subjected to prescribed surface temperature,
prescribed heat flux; Application to welding; Semi-infinite solid with heat generation. 4.
Approximate analytical methods: Integral methods basic concepts, application to Linear
transient conduction in a semi-infinite medium; application to non-linear transient conduction;
application to a finite region; The Galerkin method ; partial integration; application to
transient problems
UNIT III
10Hours
Conduction (continued): 5.Heat conduction with moving boundaries: Heat conduction
in melting and solidification solid phase of negligible heat capacity; melting and
solidification in one dimension, approximate method ;Melting of solid with complete removal
of melt (ablation); Moving heat sources the thin rod, the point source. 6. Finite Difference
Methods in Conduction: Finite difference scheme for One dimensional steady state
conduction in plane walls, cylinders and spheres subjected to different types of boundary
conditions; Finite difference schemes for two dimensional steady state conduction ;. One
dimensional unsteady state conduction- explicit method and implicit method (CrankNickelson scheme)
UNIT IV
7 Hours
Convection: 7. Review of basic concepts of convection: Velocity and thermal boundary
layers for flow over surfaces; general expression for local and average drag coefficients;
general expression for heat transfer coefficient; Nusselt number and its physical significance;
Prandtl number and its significance; Concepts for flow through tubes- hydro dynamically
developing and developed flow; hydrodynamic entrance length; thermally developing and
thermally developed flow; Thermal entrance length; 8. Derivation of Governing Equations
of Convection: Derivation of Continuity, momentum and energy equations in Cartesian
coordinate system ; reduction of these equations for boundary layer flow over a flat plate. 9.
Solution of Boundary Layer Equations for flow over a Flat Plate: Similarity solution;
Integral method of solving momentum and energy equations for laminar flow.
UNIT V
12Hours
Natural Convection and Radiation: 10. Natural Convection: The laminar boundary layer
equations and solution using integral method for free convection from a plane vertical
surface; Correlations for free convection plane surfaces, cylinders and enclosed spaces
11 Radiation Heat exchange in Non-Participating Media: Review of basic concepts and laws
of radiaition; The view factor; view factor relations; Hottels cross string formula; Radiation
exchange between black surfaces; radiation exchange between gray surfaces; Radiation
exchange in enclosures network method and radiosity-matrix method.
PESU Student Handbook 2015-16 342
Text Books
1. William Graebel (2007), Advanced Fluid Mechanics, 2nd Edition, Elsevier.
Reference Books
1. P.A. Aswatha Narayana & K.N.Seetharamu (2005), Engineering Fluid Mechanics, 2nd
Edition, Narosa Publications.
2. K.Muralidhar and G Biswas (1996), Advanced Fluid Mechanics, 1st Edition, Narosa
Publication.
3. Midleman (1998), Introduction to Fluid Dynamics - Principles of Analysis & Design,
1st Edition, , John Wiley and Sons.
Casting Quality Control: Casting defects and factors responsible for them. Different
inspection and testing methods to evaluate the casting. Quality control activities in a foundry.
Salvaging methods of defective, Casting.
UNIT IV
10 Hours
Steel casting practice: Common steel casting, their composition,structure and properties.
Melting and refining of steel. Gating andrisering of steel castings cleaning of steel castings.
Aluminium foundry practice: Composition, properties and application of common
aluminium alloy casting, Melting and casting of AI-alloys. Gating and risering of AI-alloy
casting.
Copper alloy foundry practice: General characteristics of common cast copper alloys.
Melting and casting of copper alloys. Gating and risering of Cu-alloy castings.
UNIT V
10 Hours
Special casting processes - Process Principles of Flask less Moulding, Vacuum Casting,
Rheo casting, Mechanization of foundries - need, mechanization of melting, pouring,
Computer Application to Metal Casting.
Text Books
1. Heine and Rosenthal (2010), Principles of Metal Casting, 2nd Edition, Tata McGrawHill Publishing.
2. O.P. Khanna (1997), Foundry Technology, 37th reprint, Dhanpat Rai Publications
Ltd.
Reference Books
1. Beelely, P.R and Sons (2001), Foundry Technology, 2nd Edition, Butterworth
Publications.
2. ASM Hand Book on Casting Processes, 6th Edition and above.
UNIT I
10 Hours
Introduction to forming process: Introduction to metal forming, Effect of temperature on
forming process-hot working, cold working. Effect of Metallurgical structure, Effect of speed
of deformation work of Plastic deformation, Friction in forming operation
UNIT II
12 Hours
Forging: Classification, various stages during forging, Forging equipment, brief description,
deformation in compression, forging defects. Residual stresses in forging.
UNIT III
10 Hours
Rolling of metals: Classification, forces and geometrical relationships in rolling.
Variables in Rolling: Deformation in rolling, Defects in rolled products, Residual stresses in
rolled products. Torque and Horsepower.
UNIT IV
10 Hours
Extrusion: Classification, Extrusion equipment, variables in extrusion, Deformation in
extrusion, Extrusion defects, ork done in extrusion.
Drawing: Principles of Rod and wire drawing, variables in wire drawing, Residual stresses in
rod, wire and tube drawing, Defects in Rod and wire drawing.
UNIT V
10 Hours
Sheet metal forming: Introduction, Forming methods, shearing and Blanking, Bending,
stretch forming, Deep drawing, redrawing operations, Defects in formed products.
Reference Books
1. Dieter G.E.(1986), Mechanical Metallurgy, , 3rd Edition, Mc Graw Hill Publications.
2. R.Rowe (1965), Principles of Metal Working, Amold London.
3. ASM Metals Handbook on Metal Working
10 Hours
Distortion- occurrence, different types and methods to avoid distortion. Stresses in Joint
Design.
UNIT II
12 Hours
Advanced Welding processes ; Electro Slag Welding, Electron Beam Welding, Plasma arc
Welding, Laser Beam Welding, Explosion Welding, Diffusion Welding, Ultrasonic Welding,
Friction welding and Thermit welding.
UNIT III
10 Hours
Hardfacing; Cladding, overlaying and surfacing of Dissimilar Materials, methods,
metallurgical characteristics and bonding mechanisms.
Welding of Plastics; issues in joining plastics, edge preparation, methods of joining
UNIT IV
10 Hours
Inspection of welds: Destructive techniques like Tensile, Bend, Nick break, Impact &
Hardness. Non-Destructive techniques like 'X' rays, Ultrasonic, Magnetic particle, Dye
Penetrant, Gamma ray inspection.
Welding Symbols- Need for, Representing the welds, Basic weld symbols, Location of
Weld, Supplementary symbols, Dimensions of welds, Examples
UNIT V
10 Hours
Quality control in welding - Introduction, Quality assurance v/s Quality control, Weld
quality, Discontinuities in welds, their causes and remedies, and Quality conflicts.
Reference Books
1. AWS Welding Engineering Handbook
2. Richard Little (2009), Welding and Welding Technology, 37th Reprint, McGraw Hill.
3. O.P. Khanna (2013), Foundry Technology, 17th reprint, Dhanpat Rai Publications.
12 Hours
Vacuum coating: PVD & CVD metal spraying: Methods ; Plasma Coating ; surface
preparation and mechanical Properties of Sprayed Metals
UNIT III
10 Hours
Plastic coating of metal: PVC coating Spherodising process details, phosphate coating mechanism of formation; Testing of Surface Coating
UNIT IV
10 Hours
Heat treatment methods: Aneleaing, Normalizing, Tempering, Case hardening methods,
flame hardening sub zero treatment. Heat treatment methods for gears, spindles, cutting
tools.
UNIT V
10 Hours
Advanced coating technologies: Hard facing, electro deposition technique, nanocoatings,
coating characterization
Reference Books
1. ASM Hand Book on Surface Engineering, Vol. 5
2. James A Murphy (1971), Surface Preparations & Finishes for Metals, 1st Edition,
McGraw Hill.
3. David Russell Gabe (1978), Principles of Metal Surface Treatment and Protection,
Pergamon Press, 2nd Edition, Oxford, New York.
UNIT II
12 Hours
Design of super hard materials- Super hard nano composites, its designing and
improvements of mechanical properties.
UNIT III
10 Hours
Nanofiller synthesis, applications, Polymer nano composites, particulate and fibre modified
nanocomposites, matrices and fibres, polymer- filler interphase, pull- out strength, effect of
various treatments.
UNIT IV
10 Hours
Mechanics of polymer nanocomposites, Interfacial adhesion and charecterisation, factors
influencing the performance of nano composites, physical and functional properties. Nano
composite fabrication, matrices, methods, additives, moulding processes.
Polymer-carbon nanotubes based composites, processing methods and characterization
using SEM, XRD, TEM
UNIT V
10 Hours
Characterization of polymer nanotubes based composites for Mechanical, Electrical and
Thermal Properties and their applications - Polymer / nanofillers (metallic nanopowders)
systems, Rheological measurements, processing characteristics
Testing of Nanocomposites, Thermal analysis such as TGA, TMA, DSC, DMTA
Reference Books
1. Fred W. Billimeyer, Jr (1994), Text Book of Polymer Science, 3rd Edition, Wiley
Interscience Publication.
2. Joel R. Fried (2000), Polymer Science and Technology, Prentice- Hall, Inc.
Englewood Cliffs, N. J., USA.
3. - A. K. Bhowmic and H. C. Stephense(Eds.) (1995), New Developments and
Technology -Hand book of Elastomers, Marcel - Dekker Inc., New York.
4. D. R. Paul and S. Newman (1978), Polymer Blends, Academic Press, New York.
5. M. J. Folkes (1982), Short Fibre Reinforced Thermoplastics, John Wiley, New York.
6. P. M. Ajayan, L.S. Schadler, P. V. Braun (2003), Nanocomposites Science and
Technology, Wiley-VCH.
5. Perform response analysis for different steering conditions on steady state handling
characteristics for road and off-road vehicles and also to understand various
concepts and topics in braking performance
6. Develop mathematical representations of quarter car, half car and full car model
7. Understand properties of air suspension system and various aerodynamic loads on
the vehicle
8. Understand the different types of suspensions, kinematic and dynamic requirements
of suspension, related important angles and understand Castor theory
Unit I
10 Hours
Basics of vibration: Definitions, Modeling and Simulation, Global and Vehicle Coordinate
System, Free, Forced, Undamped and Damped Vibration, Response Analysis of Single
DOF, Two DOF, Multi DOF, Magnification factor, Transmissibility, Vibration absorber,
Vibration measuring instruments, Torsional vibration, Critical speed. Modal analysis
Unit II
10 Hours
Tyres :Tyre forces and moments, Tyre structure, Longitudinal and Lateral force at various
slip angles, rolling resistance, Tractive and cornering property of tyre. Performance of tyre on
wet surface. Ride property of tyres. Magic formulae tyre model, Estimation of tyre road
friction. Test on Various road surfaces. Tyre vibration..
Braking Performance: Basic equations, Braking forces, Brakes, Brake Proportioning,
Antilock Brake system, Braking efficiency, Rear wheel lockup, Standards and Legislations,
Numerical Examples.
Unit III
10 Hours
Vertical dynamics: Human response to vibration, Sources of Vibration. Design, analysis
and computer simulation of Passive, Semi-active and Actives suspension using Quarter car,
half car and full car model. Influence of suspension stiffness, suspension damping, and tyre
stiffness. Control law for LQR, H-Infinite, Skyhook damping. Air suspension system and their
properties.
Vehicle Aerodynamics:Aerodynamic, Aerodynamic forces lift and drag components,
Pitching, yawing, rolling moments, and Total road loads, Numerical Examples.
Unit IV
12 Hours
Steady state handling characteristics of road vehicles:Steering Geometry, Derivation of
fundamental equation governing the steady-state handling behavior of a road vehicle,
Neutral Steer, Understeer and Oversteer characteristics, characteristic and critical speeds,
Neutral Steer Point, Static margin, Steady-State Response to Steering Input-Yaw Velocity
Response, Lateral Acceleration Response, Sideslip Response and Curvature Response;
Numerical Problems.
Performance characteristics of off-road vehicles: Drawbar Performance - Drawbar Pull
and Drawbar Power, Tractive Efficiency, Coefficient of Traction, Weight-to-Power Ratio for
Off-Road Vehicles; Fuel Economy of Cross- country Operations Transport Productivity and
Transport Efficiency, Mobility Map and Mobility Profile, Selection of Vehicle Configurations
for Off-Road, Numerical Problems.
Unit V
08 Hours
Suspension mechanisms: Solid Axle Suspension, Independent Suspension, Roll Center
and Roll Axis, Car Tire Relative Angles, Toe, Caster Angle, Camber, Trust Angle,
Suspension Requirements and Coordinate Frames, Kinematics Requirements, Dynamic
Requirements, Wheel, wheel body, and tyre Coordinate Frames, Caster Theory, Numerical
examples.
PESU Student Handbook 2015-16 349
Text Books
1. Reza N. Jazar (2009), Vehicle Dynamics: Theory and Applications, 2nd Edition,
Springer Verlag.
2. J. Y. Wong (2008), Theory of Ground Vehicles, 4th Edition, John Willey & Sons, NY.
3. T D Gillespie (1992), Fundamentals of Vehicle Dynamics, SAE Feb. 1992.
4. John C. Dixon (1996), Tyres, Suspension, and Handling, 2nd Edition, Society of
Automotive Engineers Inc.
Reference Books
1. Hans B.Pacejka (2012), Tyre and Vehicle Dynamic, 3rd Edition, SAE April 2012.
2. Giancarlo Genta, Motor Vehicle Dynamics: Modeling and Simulation World Scientific
Publishing Co. Pvt. Ltd. 1997.
3. Hucho W. H. (1998), Aerodynamics of Road Vehicles, SAE International.
4. Thomas D. Gillespie (1992), Fundamentals of Vehicle Dynamics, Society of
Automotive Engineers Inc.
Matching Engine and Transmission: Road loads and axle loads, Deriving condition
diagram, Ideal transmission and engine-transmissions matching, Total ratio and overall gear
ratio- Selecting the largest power- train ratio, Selecting the smallest power- train ratio,
Selecting the intermediate gears- saw tooth profile, Geometrical gear steps, Progressive
gear steps, Numerical problems.
Unit II
8 Hours
Start-up devices: One -way clutch, Band clutch, Multi-disk clutch, Clutch Design and
Analysis.
Hydrodynamic clutches and torque converters: Principles, Characteristic curves of
Hydrodynamic Clutches, Construction and operation of Torque Converter, Input/output
characteristics, Design Considerations, Trilok Converter, Torque Converter test diagram,
Interaction of engine and Trilok Converter, Numerical problems
Unit III
10 Hours
Manual transmissions: Manual Transmission Layouts and Components, Basic gear box
construction, gear-sets with fixed axles, countershaft transmission and epicyclic gears,
schemes for reverse gear. Transmission Power Flows, Numerical problems.
Gear shifting mechanisms, Layout and design of Synchronizers: Internal shifting
mechanisms and External shifting mechanisms, Classification of shifting elements,
synchronizer functional requirements, synchronizing process, design of synchronizers,
alternative transmission synchronizers
Unit IV
10 Hours
Automatic transmissions: Level of automation, Gear shift mode, stepped and
Continuously Variable Transmissions, synchronizer gear boxes, epicycloidal gear boxes, Car
CVTS: Van Doorne Continuously Variable Transmission (CVT) and Torotrak Continuously
Variable Transmission (CVT). Design and analysis of planetary gear trains, Gear ratios and
clutch engagement schedule, Clutch torques in steady state condition, Torque analysis in
shifting process, Numerical problems.
Unit V
10 Hours
Differential and final drives: Outline of differential theory-friction free differential,
Differential with internal friction, Self locking differential, final drives: formats, performance
limits, transmission ratios. Differential gears, differential locks and locking differentials, types
of self locking differential, Numerical problems.
Design of other transmission elements: Design of slip joint, universal joint, dead & live
axle, constant velocity joints, Bearing Design, Selection of ball and roller bearing, Gear box
housing design.
Text Books
1. Gisbert Lechner, Harald Naunheimer (2011), Automotive Transmissions:
Fundamentals, Selection, Design and Application, 2nd Edition, Springer-Verlag Berlin
Heidelberg, New York, ISBN 3-540-65903.
2. Giri N K (2008), Automobile Mechanics, Khanna publications, ISBN-13: 9788174092168.
Reference Books
1. Society of Automotive Engineers (1994), Design Practices: Passenger Car
Automatic Transmissions, 3rd Edition, AE-18, SAE, Warrendale.
2. J. Fenton (1998), Handbook of Automotive Powertrain and Chassis Design,
Professional Engineering Publishing, London.
3. J.G. Giles (1969), Gears and Transmissions, Vol. 4, Automotive Technology Series,
Butterworth, London.
sound package solution to reduce the interior noise: acoustic isolation, acoustic absorption
and damping material solutions; Exterior noise sources in vehicles such as air intake
systems and exhaust systems; Tyre noise.
Unit IV
12 Hours
Sources of vehicle vibration: Power train and Engine vibrations; driveline vibrations;
chassis and suspension vibrations; Control strategies; Human response to vehicle
vibrations, concept of harshness; subjective and objective evaluation of vehicle harshness.
Vibration Isolation and Control: Introduction; damping of vibrations; vibration isolation and
absorption; design of a Vibration Absorbers, unconstrained and constrained layer damping
treatment, add on dampers and stiffeners, Introduction to Active Vibration Control.
Unit V
10 Hours
Vibration measurement and instrumentation: Definition of Modal Properties, Modal
analysis theory, FE & Experimental modal analysis, Transducers and accelerometers
Excitation sources Impact Excitation, Shaker excitation, Excitation signals, applications of
Modal Analysis, laser based vibration measurements; analysis and presentation of vibration
data.
Reference Books
1. Bies D A and Hansen C H (2003), Engineering Noise Control: Theory and Practice,
Spon Press, Taylor &Francis, NY, USA.
2. Xu Wang (Ed.) (2010), Vehicle Noise & Vibration Refinement, Elsevier Publishing
Limited.
3. Mathew Harrison (2004), Vehicle Refinement Controlling Noise & Vibration in Road
Vehicles, Elsevier Publication.
12 Hours
Car body details: Types of car bodies, visibility, regulations, drivers visibility, methods of
improving visibility, safety design, constructional details of roof, under floor, bonnet, boot,
wings etc, Classification of coach work
Bus Body Details: Types: Mini bus, single Decker, double Decker, two level, split level and
articulated bus - Bus body lay out Floor height - Engine location - Entrance and exit
location - Seating dimensions - Constructional details: Frame construction, Double skin
construction- types of metal section used - Regulations - Conventional and integral type of
construction.
Unit II
8 Hours
Commercial vehicle details : Types of body - Flat platform, drop side, fixed side, tipper
body, tanker body, Light commercial vehicle body types, Dimensions of driver's seat relation
to controls - Drivers cab design.
Unit III
8 Hours
Body materials, trim, mechanisms: Steel sheet, timber, plastic, GRP, properties of
materials - Corrosion - Anticorrosion methods - Scalation of paint and painting process Body trim items - Body mechanisms.
Unit IV
12 Hours
Body loads and design of vehicle bodies: Idealized structure- structural surface, shear
panel method, symmetric and asymmetrical vertical loads in car, longitudinal loads, and
different loading situations.
Design of Vehicle Bodies Vehicle Layout design, preliminary design, safety, Load
distribution on vehicle structure, Calculation of loading cases, stress analysis of bus body
structure under bending and torsion, stress analysis in integral bus body, Design of chassis
frame, Rules and regulations for body, Recent safety measures, Testing of body.
Unit V
10 Hours
Steering dynamics: Kinematics Steering, Vehicles with More Than Two Axles, Vehicle with
Trailer, Steering Mechanisms, Four wheel steering, Steering Mechanism Optimization,
Trailer - Truck Kinematics, Numerical examples.
Suspension mechanisms: Solid Axle Suspension, Independent Suspension, Roll Center
and Roll Axis, Car Tire Relative Angles, Toe, Caster Angle, Camber, Trust Angle,
Suspension Requirements and Coordinate Frames, Kinematics Requirements, Dynamic
Requirements, Wheel, wheel body, and tyre Coordinate Frames, Caster Theory, Numerical
examples.
Text book
1. Pawloski J (1969), Vehicle Body Engineering, Business Books Ltd., London.
Reference Books
1. Reimpell J (2001), The Automotive Chassis: Engineering Principles, 2nd Edition,
Elsevier.
2. John Fenton (1980), Vehicle Body Layout and Analysis, Mechanical Engg.
Publications Ltd., London.
3. Giles J. G. (1971), Body Construction and Design, Illife Books.
2. To enable the students to understand and perform free and forced finite element
vibration analysis of 1d, 2d (free) and 3d (free) structures
3. To teach the procedure to perform finite element based steady-state and transient
response analysis
Course Outcomes
At the end of the course, the student will be able to
1. Understand and appreciate Hamiltons and Lagrangian principle and develop
mathematical equations for constrained systems
2. Calculate element energy functions for 1-D, 2D and 3D finite elements
3. Understand and apply Raleigh Ritz method and develop mathematical expressions
for vibration of rods, shafts and beams
4. Understand and appreciate the finite element method of treating vibrations in solids
and solve simple problems of engineering significance
5. Understand important concepts and developments such as area co-ordinates,
Cartesian co-ordinates, in-plane and flexural vibration of plates
6. Develop triangular and rectangular - thin and thick finite elements
7. Possess a sound understanding of different damping types and modal analysis
8. Solve large Eigen value problems
9. Perform excitation response analysis for periodic and random excitations
UNIT I
10 Hours
Formulation of the equations of motion: Dynamic equilibrium- Principal of virtual
displacements, Hamiltons principle, Lagranges equations, Equations of motion for a system
with constraints, Element energy functions-Axial element, Torque element, Beam bending
element, Deep beam bending element, Membrane element, Thin plate bending element,
Thick plate bending element, Three-dimensional solid, Axi-symmetric solid, The dissipation
function, Equations of motion and boundary conditions, Problems.
Finite element displacement method: Raleigh- Ritz method, Finite element displacement
method, Axial vibration of rods, Tensional vibration of shafts, Bending vibration of beams,
Techniques for increasing the accuracy of elements, Shear deformation and rotary inertia
effects, Numerical integration, and other considerations for beams
UNIT II
10 Hours
Vibrations of solids: Ax-symmetric solids, Applied loading, Displacements, Reduced
energy expressions. Linear triangular element, Core elements, Arbitrary shaped solids,
Rectangular hexahedron, Isoperimetric hexahedron, Right heptahedron, Volume coordinates
for tetrahedral, Tetrahedron element, Increasing the accuracy of elements, Problems.
In-plane and flexural vibration of plates: In-plane vibration of plates, linear triangular
element, linear rectangular element, linear quadrilateral element, Area coordinates for
triangles, linear triangle in area coordinates, increasing the accuracy of elements and
Problems Flexural vibration of plates, Thin rectangular element (non- conforming and
conforming), Thick rectangular element, Thin triangular element (non- conforming and
conforming), Cartesian coordinates, Area coordinates, thick triangular element, other plate
bending elements, Problems.
UNIT III
12 Hours
Analysis of free vibration I: Some preliminaries, Orthogonality of eigenvectors,
Transformation to standard form, Sturm sequences, Orthogonal transformation of a matrix,
The Jacobin method, Givens and Householders methods, Eigen values and eigenvectors of
a symmetric tridiagonal matrix, The bisection method, Inverse iteration, The QR method,
Reducing the number of degrees of freedom. Making use of symmetry, Rotationally periodic
structures.
PESU Student Handbook 2015-16 355
UNIT IV
12 Hours
Analysis of free vibration II: Elimination of unwanted degrees of freedom - Component
mode synthesis, Solution of large Eigen problems - Bisection /inverse iteration, Subspace
iteration, Simultaneous iteration, Lanczos method and Problems.
Forced response I: Modal analysis- Representation of damping, Structural damping;
Viscous damping, Harmonic response - Modal analysis, Direct analysis; Response to
periodic excitation, Transient response Modal analysis - Central difference method, The
Houbolt method, The Newmark method, The Wilson method.
UNIT V
08 Hours
Forced response II: Direct analysis - Central difference method, The Houbolt method, The
Newmark method, The Wilson method; Selecting a time step and Problems. Response to
Random excitation, representation of the excitation, Response of Single DOF system, Direct
and Modal Response Multi DOF system,
Text Book:
1. Maurice Petyt (2010) Introduction Finite Element Vibration Analysis, 2nd Edtiion
Cambridge University Press
Reference Books:
1. K J Bathe (2014), Finite Element Methods in Engineering Analysis, 2nd Edition,
Prentice Hall.
2. M.J.Fagaan (1992), Finite Element Analysis Theory and Practice, Longman Scientific
and Technology Publishing House.
3. R. D. Cook (2001), Concept and Applications of Finite Element Analysis, 4th Edition,
John Wiley and Sons Inc.
12 Hours
Introduction and fatigue of materials: (1) Introduction - Role of failure prevention analysis
in mechanical design, Modes of mechanical failure, Review of failure theories for ductile and
brittle materials including Mohrs theory and modified Mohrs theory, Numerical examples.
(2) Fatigue of Materials: Introductory concepts, High cycle and low cycle fatigue, Fatigue
design models, Fatigue design methods, Fatigue design criteria, Fatigue testing, Test
methods and standard test specimens, Fatigue fracture surfaces and macroscopic features,
Fatigue mechanisms and microscopic features.
Unit II
12 Hours
Stress-life (S-N) approach and strain-life (-N) approach: (1) Stress-life (S-N)
approach: S-N curves, Statistical nature of fatigue test data, General S-N behavior, Mean
stress effects, Different factors influencing S-N behaviour, S-N curve representation and
approximations, Constant life diagrams, Fatigue life estimation using SN approach. (2)
Strain-life (-N) approach: Monotonic stress-strain behavior ,Strain controlled test methods
, Cyclic stress-strain behavior , Strain based approach to life estimation, Determination of
strain life fatigue properties, Mean stress effects, Effect of surface finish, Life estimation by N approach.
Unit III
14 Hours
LEFM approach: LEFM concepts, Crack tip plastic zone, Fracture toughness, Fatigue crack
growth, Mean stress effects, Crack growth life estimation. Notches and their effects:
Concentrations and gradients in stress and strain, S-N approach for notched membranes,
mean stress effects and Haigh diagrams, Notch strain analysis and the strain life
approach, Neubers rule, Glinkas rule, applications of fracture mechanics to crack growth at
notches.
Unit IV
08 Hours
Fatigue from variable amplitude loading: Spectrum loads and cumulative damage,
Damage quantification and the concepts of damage fraction and accumulation, Cumulative
damage theories, Load interaction and sequence effects, Cycle counting methods, Life
estimation using stress life approach.
Unit V
06 Hours
Surface failure: Introduction, Surface geometry, Mating surface, Friction, Adhesive wear,
Abrasive wear, Corrosion wear, Surface fatigue spherical contact, Cylindrical contact,
General contact, Dynamic contact stresses, Surface fatigue strength.
Text Books
1. Ralph I. Stephens, Ali Fatemi, Robert, Henry O. Fuchs (2001), Metal Fatigue in
Engineering, 2nd Edition, John Willey New York.
2. Jack. A. Collins (1992), Failure of Materials in Mechanical Design, John Willey, New
York.
4.
Robert
L.
Norton (2000), Machine Design, Pearson Education India.
Reference Books
1. S. Suresh (1998), Fatigue of Materials, 2nd Edition, Cambridge University Press.
2. Julie A Benantine (1989), Fundamentals of Metal Fatigue Analysis, Prentice Hall.
3. Fatigue and Fracture, ASM Hand Book, Vol 19, 2002.
3. To expose the concepts of 2-DOF systems, vibration isolation and different vibration
control strategies
4. To help the students develop the concept of dynamic vibration absorber and its
applications
5. To provide the students with the necessary understandings about concepts and
significance of natural frequencies and mode shapes
Course Outcomes
At the end of the course, the student will be able to
1. Develop mathematical models for damped, un-damped, free and forced (harmonic)
behaviour of single and two DOF systems and list sources of vibration
2. Apply the concept of vibration isolation and understand the use of vibration testing
instruments
3. Physically interpret the system response to arbitrary and non-harmonic excitations
and model transient vibration and impact loading
4. Calculate critical speeds of shaft and apply co-ordinate coupling and principal coordinates in solving 2-DOF problems and understand the mathematical formulation of
MDOF systems
5. Develop flexibility and stiffness matrices and calculate Eigen values and vectors for
a MDOF system
6. Understand basics of vibration control and vibration severity standards, analyze
dynamic and damped vibration absorbers and perform static and dynamic balancing
7. Have an overall understanding of balancing machines and active vibration control
and experimental vibration analysis
8. Apply cumulative knowledge in testing for resonance and mode shapes and system
identification
UNIT I
Fundamentals of vibration: Introduction -Sources
Displacement, velocity and Acceleration- Review of
Vibration isolation Vibrometer and accelerometers
harmonic Excitations Transient Vibration Impulse
systems.
10 Hours
of Vibration-Mathematical ModelsSingle Degree Freedom Systems - Response to Arbitrary and nonloads- Critical Speed of Shaft-Rotor
UNIT II
10 Hours
Two degree freedom system: Introduction-Free Vibration of Undamped and DampedForced Vibration with Harmonic Excitation System Coordinate Couplings and Principal
Coordinates
UNIT III
12 Hours
Multi-degree freedom system and continuous system : Multi-degree freedom system
influence coefficients and stiffness coefficients- Flexibility Matrix and Stiffness Matrix Eigen
Values and Eigen Vectors-Matrix Iteration Method Approximate Methods: Dunkerley,
Rayleighs, and Holzer Method -Geared Systems-Eigen Values & Eigen vectors for large
system of equations using sub space, Lanczos method - Continuous System:Vibration of
String, Shafts and Beams
UNIT IV
10 Hours
Vibration control: Specification of Vibration Limits Vibration severity standards- Vibration
as condition Monitoring tool-Vibration Isolation methods- -Dynamic Vibration Absorber,
Torsional and Pendulum Type Absorber- Damped Vibration absorbers-Static and Dynamic
Balancing-Balancing machines-Field balancing Vibration Control by Design Modification- Active Vibration Control
PESU Student Handbook 2015-16 358
UNIT V
10 Hours
Experimental methods in vibration analysis: Vibration Analysis Overview - Experimental
Methods in Vibration Analysis.-Vibration Measuring instruments - Selection of SensorsAccelerometer Mountings, Vibration Exciters-Mechanical, Hydraulic, Electromagnetic and
Electrodynamics Frequency Measuring Instruments, System identification from Frequency
Response -Testing for resonance and mode shapes
Text Books
1. William T. Thomson, Marie Dillon Dahleh, Chandramouli Padmanabha, (1997),
Theory of Vibration with Application, 5th Edition, Pearson Education.
2. S. Graham Kelly (2000), Fundamentals of Mechanical Vibration, McGraw-Hill.
3. S. S. Rao (2010), Mechanical Vibrations, 4th Edition, Pearson Education.
Reference Books
1. S. Graham Kelly (2007), Mechanical Vibrations, Schaums Outlines, Tata McGraw
Hill.
2. C Sujatha (2010), Vibraitons and Acoustics Measurements and signal Analysis,
Tata McGraw Hill.
Unit I
8 Hours
10 Hours
Course Objectives
1. To introduce and provide core knowledge of the fundamentals of CFD, the various
methods and analysis techniques
2. To enable students to develop a better intuition of fluid mechanics with a variety of
flow situations (turbulent, laminar) using commercial CFD codes
3. To help the students understand the process of developing a geometrical model of
flow, applying boundary conditions, specifying solution parameters, and visualizing
and analysing results
4. To enable the students to develop an appreciation for the factors limiting the
accuracy of CFD solutions and employment of subsequent correction factors
Course Outcomes
At the end of the course, the student will be able to
1. Understand the major theories, approaches and methodologies used
2. Compare, contrast and apply appropriate PDEs and other system of equations
defining flow dynamics
3. Use and apply various schemes for the improvement of accuracy
4. Appraise the importance of finite difference and iterative solution methods in solving
real-time engineering problems
5. Apply the various explicit and implicit schemes, predictor-corrector methods and
examine second order non-linear problems
6. Apply skills developed in the actual implementation of CFD methods (e.g. boundary
conditions, turbulence modelling etc.) and in using commercial CFD codes
7. Apply CFD analysis to real world engineering designs
Unit I
6 Hours
Introduction: Historical background; One-dimensional computations by finite difference
methods; One-dimensional computations by finite element methods; One-dimensional
computations by finite volume methods; Boundary conditions Neumann and Dirichlet
boundary conditions
Unit II
10 Hours
Governing equations for CFD: Classification of Partial Differential Equations; NavierStokes System of Equations; Boundary conditions
Finite Difference Methods: Derivation of Finite Difference Equations Simple methods,
general methods, higher order derivatives, multi-dimensional Finite Difference Formulas,
mixed derivatives, higher order accuracy schemes, accuracy of finite difference solutions.
Unit III
12 Hours
Solution methods of finite difference equations: Elliptic equations Finite difference
formulations, Iterative Solution Methods, Direct method with Gaussian Elimination;
Parabolic Equations Explicit Schemes and Von Neumann Stability Analysis, Implicit
Schemes, ADI Schemes, Approximate Factorization, Fractional Step Methods;
Three Dimensions, Direct Method with Tridiagonal Matrix Algorithm.
Unit IV
12 Hours
Hyperbolic Equations: Explicit Schemes and von Neumann Stability Analysis, Implicit
Schemes, Multistep (Splitting, Predictor Corrector) Methods, Nonlinear Problems, Second
order One Dimensional Wave Equations; Burgers Equation Explicit and Implicit Schemes,
Runge-Kutta Method;
Unit V
12 Hours
Transformed Equations, Application of Neumann Boundary Conditions, Solution by
MacCormack Method; Example Problems for Elliptic Equation (Heat Conduction), for
PESU Student Handbook 2015-16 365
Parabolic Equation (Couette Flow), for Hyperbolic Equation (Second Order Wave Equation),
for Non-linear Wave Equation
Reference Books
1. T.J.Chung (2003), Computational Fluid Dynamics, First South Asian Edition, Cambridge
University Press.
2. Jiyuan Tu, Guan Heng Yeoh and Chaoqun Liu (2008), Computational Fluid Dynamics
A Practical Approach, Butterworth- Heineman.
3. John D Anderson (1995), Computational Fluid Dynamics, McGraw Hill International
Edition.
UNIT V
10 Hours
Concentricity and symmetry, symbols, definitions, applications, measurements and
applications. Run out and Total run out, Circular Run out, Symbol, application, Total run out,
symbol, applications, run out and total run applied to various types of features, Profile
tolerance, symbol, definition, profile applied to lines, profile applied to surface,
interpretations, Numericals.
Text Books
1. Alex Krulikowski (1998), Fundamentals of Geometric Dimensioning and Tolerancing, 2nd
edition, University of Michigan.
2. J. Madows (2009), Geometric Dimensioning and Tolerancing - Applications, Analysis &
Measurement, James D. Meadows & Associates, Incorporated.
UNIT IV
12 Hours
Research designs: Need of research design - Important concepts relating to research
design; Research design and methods; Research design Basic Principles; Features of
good design; Research Plan
UNIT V
08 Hours
Intellectual property rights: IPRs- Invention and Creativity- Intellectual PropertyImportance and Protection of Intellectual Property Rights (IPRs) A brief summary of:
Patents, Copyrights, Trademarks.
Summary and conclusions - References Abstract-Key words. Research Ethics
Reference Books:
1. C.R. Kothari (2004), Research Methodology: Methods & Techniques, 2nd Edition,
New Age International (P) Limited
2. Valielaivan (2009), Doing Science: Design, Analysis and Communication of Science
Research
, Oxford University Press, 2009
10 Hours
UNIT I
10 Hours
Distortion: occurrence, different types and methods to avoid distortion. Stresses in Joint
Design.
UNIT II
12 Hours
Advanced welding processes: Electro Slag Welding, Electron Beam Welding, Plasma arc
Welding, Laser Beam Welding, Explosion Welding, Diffusion Welding, Ultrasonic Welding,
Friction welding and Thermit welding.
UNIT III
10 Hours
Hardfacing: Cladding, overlaying and surfacing of Dissimilar Materials, methods,
metallurgical characteristics and bonding mechanisms.
Welding of Plastics; issues in joining plastics, edge preparation, methods of joining
UNIT IV
10 Hours
Inspection of welds: Destructive techniques like Tensile, Bend, Nick break, Impact &
Hardness. Non-Destructive techniques like 'X' rays, Ultrasonic, Magnetic particle, Dye
Penetrant, Gamma ray inspection.
Welding Symbols: Need for, Representing the welds, Basic weld symbols, Location of
Weld, Supplementary symbols, Dimensions of welds, Examples
UNIT V
10 Hours
Quality control in welding: Introduction, Quality assurance v/s Quality control, Weld
quality, Discontinuities in welds, their causes and remedies, and Quality conflicts.
Reference Books
1. AWS Welding Engineering Handbook, 6th edition and above
2. Richard Little (2009), Welding and Welding Technology, 37th Reprint, McGraw Hill.
3. O.P. Khanna (2013), Foundary Technology, 17th Reprint, Dhanpat Rai Publications
7. Perform value analysis based on their knowledge of value engineering and quality
assurance practices
Unit I
12 Hours
JIT Introduction : The spread of JIT Movement, some definitions of JIT, core Japanese
practices of JIT, Creating continuous Flow Manufacture, Enabling JIT to occur, Basic
elements of JIT, Benefits of JIT.
Unit II
10 Hours
Toyota production system: The philosophy of TPS, Basic Frame work of TPS, Kanbans.
Determining the Number of Kanbans in Toyota Production System. a) Kanban Number
under Constant Quantity Withdrawal System. b) Constant Cycle, Non-constant Quantity
Withdrawal System ; Supplier Kanban and the Sequence Schedule for Use by Suppliers.
a) Later Replenishment System by Kanban.b) Sequenced Withdrawal System.c) Circulation
of the Supplier Kanban within Toyota. Production Smoothing in TPS ; Production Planning;
Production Smoothing; Adaptability to Demand Fluctuations ; Sequencing Method for the
Mixed Model Assembly Line to Realize Smoothed Production of Goal.
Unit III
10 Hours
Just-in-time production with Total Quality Control just in time concept, cutting lot sizes,
cutting set-up times, cutting purchase order costs, the JIT cause-Effect chain, Scrap/Quality
Improvements, Motivational effects, Responsibility effects, small Group improvement
Activities, withdrawal of Buffer Inventory, the total Quality Control Concept.
Unit IV
10 Hours
Total quality control: Introduction-Total Quality Control concepts, responsibility, learning
from the west, TQC concepts categorized, Goals, Habit of improvement, perfection, Basics,
process control, Easy to see Quality control as facilitator, small lot sizes, Housekeeping,
Less than full capacity scheduling, Daily machine checking, Techniques and Aids, Exposure
of problems, Fool proof Devices, Tools of Analysis, QC Circles, TQC in Japanese-owned US
Electronics plant, TQC in Japanese-owned Automotive plants.
Unit V
10 Hours
Quality circles, work improvement and specialization: The impact of Quality Control
circles, Quality Circles, Worker involvement programmes, Employee suggestions, work
simplification, Value engineering and Value analysis, Quality assurance/Quality control,
Combating specialization.
Text Books
1. Richard Schonberger (1982), Japanese Manufacturing Techniques, Pearson Higher
Education.
2. Kargoanker (2000), Just In Time Manufacturing (manual).
Reference Books
1. Yasuhiro Monden (YEAR), An Integrated Approach To Just In Time, Toyota
Production System.
2. James Womack (2003), Lean Thinking, Simon & Schuster Adult - ISBN:
0743249275.
3. P. Womack, Daniel T Jones, and Daniel Roos (1991), The Machine that Changed the
World - The Story of Lean Production, Harper Perennial Edition Published.
sU 1
8 UAmU
a v ZU: F sUz Z vz R ZPgg Ml 8
ZUz, Uq v jZAiPq Egvz.
sU 2
8 UAmU
a v ZU:F sUz Z vz R ZPgg Ml 8
ZUz, Uq v jZAiPq Egvz.
sU 3
8 UAmU
Uq v Px & P: F sUz Uqz 03 PxU U MAz
P Egvz.
sU 4
8 UAmU
Uq v - Azs: F sUz Uqz 03 AzsUz, E
Uq vz Ml PPq Egvz.
sU 5
7 UAmU
A P: F sUz A S, v, PAiU.
Az PtU, zs izsU jZAi - wP, zgz, grAi,
Zav, zgt, CAve. Pq sg - jZAi U PlPz D
Gz z g Egvz.
Reference Books:
1. Study material prepared by Department of BBA, PESU
To train the students in Hindi language by enlightening with literary work both prose and
poetry of some leading authors and train the students in Hindi grammar.
Course Outcomes
The students will be able to
1. Read and visualize text of an expository/ descriptive/ narrative nature; to identify
salient points, deduce meanings of words, and recognize text organization.
2. Interpret details, comprehend and evaluate ideas and characters.
3. Recognize the grammatically accuracy of the content
'
'
`-
'
`-
- - ---
(1)
1-9
1-9
Reference Books:
1. Study material prepared by Department of BBA, PES University
UM15BB104:SANSKRIT (3-0-0-0-3)
Course Objectives
To train the students in Sanskrit language by enlightening with literary work both prose and
poetry of some leading authors and train the students in letter writing.
Course Outcome
The students will be able to
1. Read and visualize text of an expository/ descriptive/ narrative nature; to identify
salient points, deduce meanings of words, and recognize text organization.
2. Interpret details, comprehend and evaluate ideas and characters.
3. Compose typical letters
Course Objectives
To train the students in Sanskrit language by enlightening with literary works in
prose, poetryand drama of some leading authors and train the students in Sanskrit
grammar and Comprehension..
Course Outcomes
The students will be able to
1. Read and visualize text of an expository/ descriptive/ narrative nature; to identify
salient points, deduce meanings of words, and recognize text organization.
2. Interpret details, comprehend and evaluate ideas and characters.
(
of NarayanaPandita
(
written by Kalidasa
) -
V Canto of Raghuvamsham
Reference Books:
1. Study material prepared by Department of BBA, PES University
2. Compose an answer using key words keeping in view length and relationship
between ideas as required by key words effectively within the time allotted.
3. pronounce, pause and lay emphasis correctly, describe, explain, narrate, and be an
active participant in Group Discussions effectively.
UNIT I
8 Hours
Road Not Taken - Robert Frost; In Sahayadri Hills, a Lesson in Humility Sudha Narayana
Murthy; Personal Introduction Expansions Proverbs / Idioms
UNIT II
8 Hours
The Story of the Inexperienced Ghost - HG Wells; Hillary Rodham Clintons address at the
U.N. 4th World Conference on Women Plenary Session - delivered on 5th September 1995,
Beijing, China; Writing and Delivering a speech (Vote of Thanks / Inaugural /
Commemorative).
UNIT III
8 Hours
Homecoming Rajagopal Parthasarathy; His Return Perceval Wilde; Translation work of a
poem / speech/ story from regional literature.
UNIT IV
8 Hours
The Blue Carbuncle - Sir Arthur Conan Doyle ; Little Girls Are Wiser Than Men Lev
Nikolayevich Tolstoy; Brochure / Leaflet Preparation
UNIT V
7 Hours
Because I Could Not Stop For Death Emily Dickinson; Vaman Srinivas Kudva A
Biography of one of the Founding Directors of Syndicate Bank; Film: Viewing and Reviewing
Reference Books
1. Study material prepared by Department of BBA, PESU
2. www.americanrhetoric.com/top100speechesall.html
single entry system of book keeping classification of accounts golden rules for
accounting rules of debit and credit under English system and American system.
UNIT II
10 Hours
Books of entry and rectification of error : Book of Prime entry meaning objectives
advantages of journal journalizing journal entry - recording problems on journal entryBook of secondary entry -meaning features distinction between journal and ledgerposting- steps involved in posting of entries from journal to ledger balancing of accounts verification of arithmetical accuracy - trial balance. Rectification of errors - meaning kinds
of accounting errors need for rectification of errors - suspense account rectification of
error with or without suspense account
UNIT III
8 Hours
Subsidiary books: Meaning significance -types purchase book, sales book-purchase
return book- sales return book- bills receivable book-bills payable book- journal proper.
Cash book - meaning of cash book - types of cash book- single column cash book- two
column cash book three column cash book- petty cash book.
UNIT IV
6 Hours
Bank reconciliation statement : Meaning reasons for differences in bank balance
between two sets of balances - preparation of bank reconciliation statement
UNIT V
7 Hours
Final accounts of proprietary concern: Concept of final accounts - concept of profit/loss preparation of various accounts and statements problems on final accounts of a sole
proprietor.
Text Book
1. S P Jain and K L Narang (2012), Fundamentals of Accounting, Kalyani Publishers.
Reference Books
1. S N Maheshwari, Suneel K Maheshwari, Sharad K Maheshwari (2012) , Financial
Accounting, 5th Edition including IFRS, Vikas Publishers.
2. Jawaharlal (2012), Accounting for Management, Himalaya Publishing House.
3. Anil Kumar, V., Rajesh, B. Mariyappa (2013), Fundamentals of Accounting, 2nd Revised
Edition, Himalaya Publishing House.
4. B.S. Raman (2012), Fundamentals of Accounts, Mangalore Publishers.
UM15BB107: BUSINESS MATHEMATICS (4-0-0-0-4)
Course Objectives
A strong foundation of mathematics would make application of managerial skills effective
and efficient. The subject will assist students in reaching a level of increased competence in
mathematics and expanded understanding of the applications of mathematical concepts in
business activities.
Course Outcomes
The student will be able to
1. Define basic terminology in progressions, commercial arithmetic, matrices and
differential calculus.
2. Understand concepts & procedures of Business Mathematics.
3. Apply mathematical concepts & procedures in business
UNIT I
10 Hours
arithmetic
10 Hours
progressions, geometric
UNIT III
10 Hours
Commercial Arithmetic - Ratios and Proportions, Profit and Loss, Time and work, time and
distance, simple interest, compound interest and annuities.
UNIT IV
11 Hours
Differential Calculus Limits, continuity, differentiation introduction, derivative of function of
one variable, constant with a function, sum of functions, product of two functions, quotient of
two functions, function of a function, logarithmic function, Business application.
UNIT V
7 Hours
Business Application of concept learnt using excel: (a) Solve quadratic equation using goal
seeking function of libre calc; (b) Create and solve simple linear equations using libre calc;
(c) Create and work in all basic matrix operations (matrix addition, subtraction, multiplication,
inverse, transpose) using libre calc; (d) Find out the values of variables of simultaneous
equations and higher order matrix using libre calc (e) Construct a model to calculate ratios
and proportions using libre calc; (f) Construct a model to Calculate simple interest and
compound interest; (g) Construct a model to Calculate annuity and with and without growth.
Text Books
1. G.K.Ranganath and Narasimha Rao, Quantitative Methods for Business I,
Himalaya Pubilications,
2. Dorairaj S N, Business Mathematics, United Publisher
Reference Books
1. D.C.Sanchethi and V.K.Kapoor, Business Mathematics
2. J.D. Gupta, P.K. Gupta & ManMohan. Mathematics for Business and Economics
Tata McGraw Hill Publishing Company Limited.
UM15BB108: BUSINESS ECONOMICS (3-0-0-0-3)
Course objectives:
The objective of the course is to enable the students understand the Micro Economic
concepts of demand-supply-pricing-cost and market structures which influence business
decisions. Its scope includes enabling the student to apply quantitative economic concepts in
day to day managerial decision making.
Course Outcomes:
The Students will be able to
1. Define & understand the basic concept of Micro economics.
2. Describe & illustrate the factors affecting Demand, Supply, Production & Price in an
economy
3. Classify the different types of Market structure in an economy
4. Explain the concepts of National Income & Policies of RBI.
PESU Student Handbook 2015-16 378
UNIT I
8 Hours
Business economics: Meaning - Nature - Roles and responsibilities of a business
economist. Concept of demand - its determinants. The law of demand - exceptions to the
law of demand, shifts in demand. Supply - Law of supply and its determinants.
Concept of utility - The law of diminishing marginal utility - its defects - Indifference curve
and its properties.
UNIT II
7 Hours
Elasticity of demand: Definition, measurement of elasticity of demand price; income; and
cross elasticity of demand. Arc; point and total outlay methods.
UNIT III
8 Hours
Production function: The law of variable proportions - costs of production and cost
concepts - Total Cost - fixed costs - variable costs. Average and Marginal cost - their
relationship. Revenue concepts Total, Average and Marginal revenue. Relationship
between marginal cost and marginal revenue.
UNIT IV
9 Hours
Market structures: Meaning and types - perfect monopoly, monopolistic competition and
equilibrium level of output - through Iso - quants and Iso - Costs with the help of revenue and
costs. Break Even Analysis and calculations.
UNIT V
7 Hours
National income accounting: Concepts GDP, NNP, PI, DI, PCI. Business cycle - meaning
and definition - phases of a trade cycle - control and regulations of trade cycles. Monetary
and Fiscal Policies - meaning and instruments.
Text Books
1. Suma Damodaran (2012), Managerial Economics, Oxford University Press.
2. M L Jhingan & J K Stephen (2012), Managerial Economics, Vrinda Publications (P)
Ltd.
Reference Books
1. Dominic Salvatore (2012), Managerial Economics, Oxford University Press.
2. Martin Anthony & Norman Biggs (2012), Mathematics for Economics and Finance Methods and Modeling, Cambridge University Press.
3. H.L. Ahuja (2013), Managerial Economics, S.Chand and Company Ltd.
4. Paul A. Samuelson, William D. Nordhaus (2013), Macro Economics, McGraw-Hill
Education.
5. J.K Sharma (2013), Operations Research Theory and Applications, Trinity Press.
6. N Gregory Mankiw (2012), Principles of Macroeconomics, Cengage Learning.
UM15BB109: PRINCIPLES OF MANAGEMENT (4-0-0-0-4)
Course objectives:
The objective of the course is to facilitate the students in understanding the functions and
responsibilities of a manager, provide them tools and techniques to be used in the
performance of managers job and enable them to analyze and understand the environment
of the organization.
Course Outcomes:
The Students will be able to
1. Define the concepts of Management and discuss why it is needed in an Organization
2. Explain the basic facts and procedures required for managing an organization
effectively.
PESU Student Handbook 2015-16 379
Reading Comprehension
Error correction
Listening comprehension
Presentations
Art of Public Speaking
Group discussion
Letter of application with CV
Mock interview
Verbal Aptitude
2 Hours
2 Hours
2 Hours
4 Hours
4 Hours
4 Hours
2 Hours
4 Hours
2 Hours
Text Books:
1. Rayudu C S, (2012), Communication, 10th Edition, Himalaya Publishing House, New
Delhi.
Reference Books:
1. Rajendra Paul, Korlahalli, J S, (2011). Essentials of Business Communication, Sultan
Chand & Sons, NewDelhi.
UM15BB111: ACCOUNTS LABORATORY - 1 (0-0-2-0-1)
Course Objective:
The objective of the course is to understand the basic concepts and nuances of Financial
Accounting and relate them to real life documents and business events. The course also
aims to equip the students with a strong foundation of the essential rudiments of financial
accounting and help them develop a managerial perspective towards the same.
Course Outcome:
At the end of the course the students are able to:
1. Process business transactions based on documents commonly used by businesses
2. Identify the documents related to business transactions
3. Group accounts appropriately as per Schedule III of Companies Act
4. Prepare profit and loss account for a merchandising entity, including inventory
adjustments
5. Calculate and basic analysis of financial statements using Profitability ratios
1. (a) Objective questions on advanced grouping and error rectification. (b) Grouping
practical drill level 2. (c) Practical drill on accounting error rectification level 1
Grouping: introduction to contra account concepts, accounting treatment of
grouping of contra accounts.
Error rectification:Concept of rectification, Types of error explained
2. (a) Accounting process and cycle for merchandising entities. (b)Preparation of profit
and loss account and balance sheet with adjustments.(c) Preparation of profit and
loss account and balance sheet with supporting documents for adjustments.
Accounting for merchandising entities:Revenues, expenses for merchandising entity,
accounting for inventory, disclosure of inventory, preparing profit and loss account of
merchandising entity
3. Objective Quiz and practical drills - Understanding costs
4. Objective Quiz and practical drills on 1 to 3 - Interim Assessment -1
5. Purchase process objective questions, reference to context practical drills question
with purchase related real-life documents.
4 Hours
Session III
Culture & Culture difference Hofstede Model.
3 Hours
Session IV
Career Management.
3 Hours
Session V
Image Management
3 Hours
Session VI
4 Hours
Know Your Motivational Profile: (a) Achievement Motivation; (b) Affiliation Motivation; (c)
Power Motivation; (d) System Motivation
Session VII
Ethical Issues Influencing Personality
3 Hours
Text Books
1. Harold Koontz and Heinz Weihrich (2002), Essentials of Management, Tata McGrawHill.
Reference Books:
1. George R Terry and Stephen G. Franklin (2002), Principles of Management, AITBS,
New Delhi.
Refernce Books:
2. Study material prepared by Department of BBA, PESU
UNIT V
7 Hours
Organizational Change and Stress Management:: Organizational Change - Meaning,
nature of work change, change process, factors influencing change, Resistance to change,
Overcoming resistance to change.
Stress Management- Meaning of Stress, Potential sources of stress, Consequences of
Stress, Managing stress.
Text Books
1. Stephen P. Robins, Timothy A. Judge, Neharika Vohra, (2013), Organizational
Behavior, 15th Edition, PHI Learning / Pearson Education.
2. Aswathappa. K (2010), Organizational Behaviour -Text, Cases and Games,
Himalaya Publication.
Reference Books:
1. Singh. K, (2012), Organizational Behaviour - Text and Case, Pearson Education,
New Delhi.
2. Fred Luthans (2001), Organizational Behavior, 11th Edition, Mc-Graw Hill.
3. Robbins. S. P, Judge, T. A., & Vohra, N (2011), Organizational Behaviour, Pearson
Education Asia.
4. Fincham, Robin, Rhodes, Peter (2011), Principles of Organizational Behaviour,
Oxford University Press.
UNIT I
8 Hours
Background and basic concepts: Introduction Definition of Statistics Functions
Scope Limitations.
Diagrammatic and graphic representation: Introduction Significance Difference
between diagrams and graphs Types of diagrams and graphs.
UNIT II
8 Hours
Measures of central tendency and dispersion: Introduction Types of averages
Arithmetic mean (Simple and weighted) Median - Mode
Range Quartile deviation mean deviation standard deviation coefficient of variation
UNIT III
8 Hours
Correlation and regression analysis: Meaning Types probable error Karl Pearsons
coefficient of correlation rank correlation (excluding vicariate and multiple correlation);
Regression - Meaning - Definition regression equations.
UNIT IV
8 Hours
Time series and index numbers: Meaning and components Computation of trend values
by moving average and least square method. Classification Construction of index numbers
PESU Student Handbook 2015-16 385
The riches & risks of internet use: Email & Other ways of Communicating over the Net,
The Online Gold Mine: Telephony, Multimedia, Webcasting, Blogs,
ECommerce & Social Networking.
UNIT II
8 Hours
System software: The Power behind the Power- The operating system, What it does, other
system software: Device Drivers & Utility Programs, Common features of the user interface,
Common Operating Systems.
Application software: Getting started, Application Software: Where to get it, How to use it,
Data Files & Program files, Word Processing Software, Spreadsheet Programs, Database
Software, Software Suites & Integrated Packages, Specialty Application Software.
UNIT III
8 Hours
Processing: The System Unit, Microprocessor & Main Memory- Microchips, Miniaturization
& Mobility, Representing Data Electronically, Inside the system unit: Power supply,
Motherboard & Microprocessors. The Central Processing Unit & the Machine cycle, Memory,
Expansion Cards & ports.
Secondary storage: Future Developments in processing & storage cloud storage
Basics of Business Analytics.
UNIT IV
8 Hours
Input hardware: Keyboards, Pointing devices, Sources Data- Entry Devices, The Future of
Input.
Output hardware: Softcopy Output- Display Screens, Hardware Output- Printers, Mixed
Output: Sound, Voice & Video, The Future of Output, Quality of Life- Health & Ergonomics.
UNIT V
7 Hours
Communication, networks & cyber threats: Networks & Wired & Wireless Media, From
Analog to the Digital Age, Networks, Wired Communications Media & Wireless
Communications Media.
Cyberthreats, security & privacy issues: Cyber Intruders- Trolls, Spies, Hackers &
thieves. Cyber attacks & Malware, Concern about Privacy & Identity Theft. Personal
Technology: Personal Devices for improving Productivity at School & Work- Convergence,
Portability & Personalization, Smart Phones, Tablets & E- Readers, Portable Media Players.
Personal devices for enriching leisure & life: Digital Cameras, High- Tech radio- Satellite
Radio, Hybrid Digital & Internet, Digital Television, Videogame Systems.
Text Books
1. Brian Williams, Stacey Sawyer (2014), Using Information Technology, 11th Edition,
McGraw Hill.
shares; conversion into Equity Shares;(b) Debentures - nature and difference from
shares; issue, redemption and conversion into shares; (c) Underwriting of issue of
securities.
UNIT III
8 Hours
Final accounts of companies-I: (a) Accounting Standards - important standards, their
Course Objectives
The objective of the course is to enable the students to understand the production and
operations management concepts in materials handling, operation research techniques,
quality management, production planning and decision making in an industry.
Course Outcome
The students will be able
1. Recognize the different types of automation and also identify the advantages &
disadvantages.
2. Describe, explain & choose the best process for production.
3. Understand the material management & apply of techniques for material
management
4. Understand the basic concept of production, inventory management and quality
management
5. Inculcate basic thinking and decision making relating to production and
operations management
UNIT I
8 Hours
Production management: Introduction, meaning & definition, classification, objectives and
scope of production and operation management.
Automation: introduction, meaning and definition, need, types, advantages and
disadvantages, location theory of Weber, plant layout principles.
UNIT II
8 Hours
Production planning & control: Capacity planning, corresponding production planning,
controlling, scheduling routing.
UNIT III
8 Hours
Materials management: Meaning & definition, purchasing, selection of suppliers, inventory
management, material handling principles and practices, economic consideration, criteria for
selection of materials handling equipment, standardization, codifications, simplification,
inventory control, techniques of inventory control.
Method engineering: Concepts of standard time, method study, charts and diagrams, work
measurements.
UNIT IV
8 Hours
Basics of project & inventory management:PERT & CPM, Network components, ruleserrors-dummies in networks, problems on critical path analysis, Inventory model building,
EOQ theory and application.
UNIT V
8 Hours
Basics of quality control: Statistical quality control, quality management, control charts &
operating characteristics curves, acceptance sampling procedures, quality circles, meaning
of ISO & TQM.
Maintenance & waste management:Modern scientific maintenance methods & Waste
Management.
Text Books
1. Ashwathappa. K & Sridhar Bhatt (2012), Production & Operations Management,
Himalaya Publishing House.
2. Sarin Buffa (2011), Modern Production &Operations Management, Wiley India Pvt
Ltd.
3. Victory E Sower, Michale J Sovie (2010), Production & Operations Management,
MCB Ltd
PESU Student Handbook 2015-16 389
Reference Books:
1. NK Tiwari, Shishir K Shandilya (2009), Operations Research, PHI Learning Pvt Ltd.
2. JK Sharma (2010), Operations Research - Problems & Solutions, Macmillan India
Limited.
1. Philip Kotler, Kevin Keller (2013), Marketing Management, 14th Edition, Pearson
Publications.
2. K.Karunakaran (2013), Marketing Management, 2nd Revised Edition, Himalaya
Publishing House.
percentage of different combination and illustrate it with Pie chart; Extract the details of
students using auto filter feature; Find the name of the student who has scored highest and
lowest total
Practical VI
5 Hours
Create and save power point presentation and perform the following operations:
Creating and Saving a new presentation using Auto Content wizard and Template; Editing
and Formatting Text in a Presentation and working with Drawing Objects; Inserting Pictures,
Slides, Sound, Date and Timings, Slide Number, Charts and Tables; Formatting
Presentation by Setting Background, Applying Design Template and Other features;
Customizing and Animating Presentation using Slide Show features.
5. Objective Questions on debit/ credit rules, accrual concept. Debit/ credit identification
drill for various ledger accounts. Level 1 Journal Entries relating to Service Industry :
Students will build on their vocabulary, grammar, listening, and communicative skills by
acquiring more sophisticated forms and applications to be able to express their ideas and
desires easily at a basic beginners level.
Course outcomes
At the end of the course students are be able
1. To acquires communicative skills of listening, speaking, reading and writing as the
just above basic level
2. To communicate in German Language to survive as well as feel comfortable with
German Speaking People.
UNIT I
5 Hours
Essen und Trinken (Food and Drink): How to ask the way,How to order food and drink,
Language points/Grammar: - the accusative case, - Containers and their contents, Audio,
Vocabulary Expression.
UNIT II
5 Hours
Einkaufen und Bestellen (Shopping & ordering): How to talk about going for shopping, How
to ask and give prices, How to order food and drinks in a restaurant, How to say what you
like eating and drinking, Language points/Grammar: - more plural forms of nouns, word
order, Audio, Vocabulary Expression.
UNIT III
5 Hours
Freizeit (Leisure ) : How to say what people are doing, How to talk about leisure pursuits,
How to state likes and dislikes, Language points/Grammar - - Irregular verb forms, using
gern, Audio, Vocabulary Expression.
UNIT IV
5 Hours
die Uhrzeit. ( the time.): How to tell the time, How to talk about daily routines, Language
points/Grammar - - separable verbs, - more on word order, Audio, Vocabulary
Expression.
UNIT V
6 Hours
Was machen wir heute? (What are we doing today?) :How to describe/say , whats there to
do in a given town, How to make appointments, How to say what you would like to do and
what you have to do, How to say, why you cant do things on the date suggested, Language
points/Grammar - - modal verbs konnen and mussen, use of in for focusing on position,
Audio, Vocabulary Expression.
Refernce Books:
1. Study material prepared by Department of BBA, PES University
3. Analyze current business environment and future opportunities and risks for
international business activities.
4. Develop suitable strategies to face global competition.
Unit I
8 Hours
Introduction to international business: International Business: Meaning, Definition,
Historical Evolution, Nature, Need and Importance. Economic theories related to
International Trade-Mercantilism, Absolute advantage, Comparative advantage, Factor
Endowment theory, Competitive Advantage, Porters competition Vs CKP Core competence
model debate
Unit II
8 Hours
Entry into international business: Modes of Entry: Exporting, Licensing, Franchising,
Contract manufacturing, Turnkey projects, Foreign Direct Investments (FDI), Mergers and
Acquisition, Joint Ventures and strategic alliances, Latest acquisitions and Joint ventures in
the Indian business context, Establishing global presence through e-commerce Case
studies.
Unit II
8 Hours
7 Hours
Export and import (EXIM) : a) Export credit : Methods/ Sources of Credit; Role of Export
credit guarantee corporation ECGC & EXIM banks (a) EXIM documentation: CIF, FOB and
others.(b) Export Promotion: Assistance and incentives to Indian exporters. Highlights of
EXIM policy.
Balance of Payments: Disequilibrium of Balance of Payments and rectification Role of IMF
Exchange rate determination- Capital account convertibility(Concept only) LIBOR- Issues
on integrity
Text Books
1. Hill, Charles W. L., (2000), Business, McGraw Hill, New York.
2. Francis Cherulinam (2010), International Business, Prentice Hall of India.
Reference Books
1. Ashwathappa (2003), International Business, Tata McGraw Hill
2. P.Subba Rao (2009), International Business, HPH.
3. Shyam Shukla (2010), International Business, Excel Books.
The objective of the course is to familiarize with the students with the concepts, develop
entrepreneurial talents and generate innovative business ideas in emerging industrial
scenario.
Course outcome
The student will be able to
1. Learn the basic processes involved in starting or managing new ventures
2. Understand methods to develop business plans for entrepreneurial ventures
3. Apply the entrepreneurship knowledge to a new or existing company
4. Identify the financial assistance available to MSME
Unit I
8 Hours
Entrepreneurship: Entrepreneurship: Introduction, Meaning, Definition, Differences
between Entrepreneurship, Entrepreneur & Enterprise, Functions of Entrepreneur, Role of
Entrepreneur for Economic Development, Factors influencing Entrepreneurship, Challenges
of an
Entrepreneur, Differences between Manager and Entrepreneur, Types of
Entrepreneur.
Unit II
8 Hours
Micro, small and medium enterprises: Micro, Small and Medium Enterprises: Definition,
Meaning, Product Range, Capital Investment, Ownership Patterns, Problems faced by
MSME & the steps taken to solve the problems. Sickness in MSME: Meaning, Definition,
Causes of Industrial Sickness, Preventive, Remedial measures, Rehabilitation for Sick
Industries.
Unit III
7 Hours
Preparing the business plan: Business Plan: Meaning, Importance, preparation. Business
Plan format: Financial aspects, Marketing aspects, People Resource, Technical aspects &
Social aspects. Common pitfalls in preparation of Business Plan.
Unit IV
8 Hours
Starting a business: Business Opportunity: Scanning the environment for opportunities,
Evaluation of alternatives and selection based on personal competencies.
Steps involved in promoting start-up, Steps involved in starting a Business Venture:
Location, Clearances and permits required, Formalities, Licensing and Registration
procedures. Assessment of the market for proposed project.
Unit V
8 Hours
Project assistance: Project Assistance: Financial assistance through SFCs, SIDBI,
Commercial Banks, Agile investors, Venture Capitalist & IFCI. Non-financial Assistance:
DIC, SISI, AWAKE & KVIC. Financial incentives for MSME and Tax Concessions:
Assistance for obtaining Raw Material, Machinery, Technical Assistance, Land and Building.
Industrial Estates: Role and Types.
Text Books
1. Francis Cherunilam (2010), Business Enviroment:Text & Cases, Himalaya Publishing
House.
2. Griffin, Ricky W. and Pustay Michael W (1999), Business: A Managerial Perspective,
Addison Wesley, Readings.
3. Vasant Desai (2012), The Dynamics of Entrepreneurship Development and
Management, Himalaya Publishing House.
Reference Books
1. K Aswathappa (2011), Essentials of Business Environment, Himalaya Publishing
House.
PESU Student Handbook 2015-16 396
2. M.Y. Khan , P.K. Jain (2014), Cost Accounting, 2nd Edition, McGraw Hill Education
(India) Private Limited.
3. M.Y Khan & P K Jain (2011), Management Accounting, Text, Problems And Cases,
5th Edition, 3rd Reprint, McGraw Hill Publication.
4. S.K. Gupta (2011), Management Accounting, Kalyani Publishers, New Delhi.
5. Pandey I.M. (2012), Management Accounting, Vikas publishing House, New
Delhi.
UM14BB204 MANAGEMENT OF HUMAN CAPITAL (3-0-0-0-3)
Course objectives
The objective of the course is to provide the students knowledge about nature and practice
in Human Capital Management and their influence on Decision making skills.
Course outcome
The student will be able to
1. Comprehend the fundamental principles, concepts, and practices in Human Capital
Management.
2. Analyze and synthesize the role of human resources management as it supports the
success of the organization including the effective development of human capital as
an element for organizational change.
Unit I
7 Hours
Human capital management: Introduction, Role of HC Manager, Functions & challenges of
HC Manager, Functions of HCM.
People planning- Introduction, Objectives, Importance, Short term and long term planning.
Job Analysis & Evaluation.
Unit II
8 Hours
Recruitment, selection & training: Recruitment - Sources of recruitment, procedure, erecruitment, Social Media recruitment. Selection - Process of Selection, Basis of selection,
Tests and Interviews. Training- Meaning, Importance, Training Need Analysis, Types of
Training, Training Design & Kirkpatrick's, Training Evaluation Model.
Unit III
8 Hours
Development & performance appraisal: Development- Objectives, Importance, Essential
ingredients of Executive / Management development Programme, Techniques of
Development, Self Development & ROI. Performance Appraisal - Need, objectives, Concept
of Performance management, methods of Performance Appraisal, Systems of Performance
appraisal, Feedback system and 720 degree appraisal.(based on pre and post round of
feedback)
Unit IV
8 Hours
Career Management : Career Planning, Career Development- Executive/Manager, Career
Counseling, Promotion, Demotion & Transfer. Grievance Redressal & Grievance HandlingGrievance Procedure, Discharge, Dismissal, Retrenchment, Lay-offs, Lockout.
Unit V
8 Hours
Work place ethics and recent trends in HCM: Work Place Ethics - Harassment, Sexual
exploitation at workplace, Law on Sexual Offences.
Recent Trends in HCM - Competency Mapping, Knowledge Management, Talent
Management, Employer Branding, Downsizing, Employee Engagement, etc
Text Books
PESU Student Handbook 2015-16 398
7 Hours
Text Books
1. Assael Henry (2009), Consumer Behaviour and Marketing Action, 6th Edition, Asian
Books (P) Ltd,.
2. Nair. R. Suja (2002), Consumer Behaviour in Indian Perspective, 1st Edition Reprint,
Himalaya Publishing House.
Reference Books
1. Jay D. Lindquist & M. Joseph Sirgy (2009), Consumer Behaviour, Biztantra
Publication.
2. Leon, S., & Leslie, K. (2009), Consumer Behaviour, 10th Edition, Prentice Hall.
Text Books
1. S N Maheshwari (2004), Financial Management, Sultan and Chand Publications.
2. Sharma and Sashi Gupta (2006), Financial Management, Kalyani Publications
Reference Books
1. I M Pandey (2009), Financial Management, Vikas Publishing House Pvt Ltd.
2. Prasanna Chandra (2011), Financial Management, Tata McGraw-Hill Education.
3. Khan and Jain (2012), Financial Management, Tata McGraw-Hill Education.
UNIT IV
5 Hours
die Uhrzeit. (the time.): How to tell the time, How to talk about daily routines, Language
points/Grammar - - separable verbs, - more on word order, Audio, Vocabulary
Expression.
UNIT V
6 Hours
Was machen wir heute? (What are we doing today?) :How to describe/say , whats there to
do in a given town, How to make appointments, How to say what you would like to do and
what you have to do, How to say, why you cant do things on the date suggested, Language
points/Grammar - - modal verbs konnen and mussen, use of in for focusing on position,
Audio, Vocabulary Expression.
Refernce Books:
1. Study material prepared by Department of BBA, PESU
balance, online stored value payment systems, digital cash, digital wallets, agile wallet,
digital cheque, electronic commerce & banking.
Unit V
7 Hours
E- Commerce application & strategies: Emerging trends in e-business, e- governance,
digital commerce, mobile commerce, strategies for business over web, internet based
business models.
Legal & ethical issues in E-commerce: Legal aspects of e-commerce, internet frauds,
cyber laws, IT Act 2000 features, Regulatory framework of e-commerce
Text books :
1. Whitley & David (2012), E-commerce Strategy, Technologies & Applications, Tata
McGraw Hill Publishers
2. C.S.V Murthy (2012), E- Commerce, Himalaya Publishing House.
Reference books:
1. Ravi Kalakota, Andrew B Whinstone (2000), Frontiers of Electronic Commerce
Addison- Wesley.
2. Dave Chaffey (2009), E-Business & E-commerce Management, Pearson Education.
3. Watson R T (2010), Electronic commerce - The Strategic Perspective, The Dryden
Press.
Unit IV
6 hours
Creating Resources & Assigning Costs: Understanding Resources, Creating Resource List,
Modifying Resource Information, Using Resources and Tasks, Handling Unusual Cost
Situations
Understanding Basics of Views: What is a View?, Examining Indicators, Admiring Views
Calendars, Detail Gantt, Gantt Chart, Leveling Gantt, Tracking Gantt, Multiple baselines
Gantt. Resource Allocation, Resource Form, Resource Graph, Resource Name Form,
Resource Sheet, Resource Usage. Task Sheet, Task Usage, Printing Project.
Unit V
6 hours
Tracking Project Progress I:Understanding the Principles of Tracking, Using Baseline,
Changing the Baseline, Viewing Progress with the Tracking Gantt Chart View
Interpretation, Task Variance Table, Task Cost Table, Task Work Table, Understanding
Tracking Strategies
Text Books
1. Microsoft Office Project 2007 Bible by Elaine Marmel, Wiley Publishing Inc.
Supplementary Reading Material
1. PMBOK Guide by PMI
Websites
1. www.pmi.org.in
2. http://www.project-blog.com/
Journals
Project Management by Koehler, Brandon. OfficePro. Mar/Apr 2012, Vol. 72 Issue
8 hours
oriented units; (c) Export houses; (d) Trading houses. Market development assistance;
market Access initiatives. WTO & Indias export promotion measures.
Unit IV
11 Hours
Assessment of prospects international market entry; concept of product decisions for
international markets product standardization product launch for international markets &
international product strategy.
Export promotion councils role & responsibility in International Marketing
Unit V
11 Hours
Institutional set up for export promotion in India, commodity boards & service institutions.
Need for strategic Re orientation of export promotion. State Government involvement in
promoting exports institutional infrastructure export promotion initiatives EOU, EPZ &
SEZ obstacles to state govts. In export promotion. Role of dept of commerce & foreign
trade. Functions of the Director General of Foreign Trade. International Retailing
Text books
1. S K Mishra & V K Puri (2009), Economic Environment of Business, Pearson
Education Publisher, New Delhi.
2. Anmloe, Bhalla (2001), V K International Business Environment & Management, 8th
Edition, Pearson Education Publisher, New Delhi.
Reference books
1. Annual Reports of the Ministry of Commerce (2014)
2. Annual Economic Surveys (2014)
3. Import & Export policy (Government of India) (2014)
1.
2.
3.
4.
5.
6.
Component
Print Advertising
Electronic Advertising
Internet Advertising
Specialty Advertising
Mural Advertising
Surrogate Advertising
No of hrs
06
10
04
02
02
02
course is intended as an introduction for individuals who make business decisions and
evaluate the performance of business units using data obtained from the accounting system
Course outcome
The student will be able to
1. Apply accounting knowledge in decision-making scenarios.
2. Analyzes, synthesizes, and evaluates from a wide variety of accounting
information sources and its implementation
Unit I
8 Hours
Introduction to management accounting: Management Accounting Meaning Definition Objectives Nature and Scope of management accounting Relationship
between Management Accounting, Cost Accounting and Financial Accounting. Analysis of
Financial statements -meaning, nature and objectives of financial statement analysis.
Unit II
7 Hours
Tools of financial statement analysis: Tools of financial statement analysis, Comparative
Statements Common size statements Trend percentages. Ratio Analysis, Meaning,
Definition, Classification of Ratios, Uses & Limitations Problems on Ratio AnalysisPreparation of Trading and Profit and Loss account and Balance sheet with the help of
Ratios- window dressing
Unit III
9 Hours
Fund Flow & Cash Flow Analysis: Working Capital Working capital requirements and its
computation Fund Flow Analysis and Cash Flow Analysis.
Unit IV
8 Hours
Marginal costing & profit planning: Meaning, Advantages & limitations Break Even
Analysis Contribution, P/V ratio, Margin of Safety, Absorption Costing- selling price
decisions- pricing in competition and Depression, Make or Buy decision, evaluate shut-down
or continuation decisions, Exploring foreign markets.
Unit V
7 Hours
Budgeting & budgetary control: Meaning, Objectives Budgetary control Importance
Advantages of Budgetary control Types of Budgets, Preparation of Purchase budget,
Production budget, Sales budget, Cash budget and Flexible budget- Concept of zero base
budgeting.
Text book:
1. Sharma and S.K.Gupta (2006), Management Accounting, Kalyani Publishers,
New Delhi.
Reference books
1. S.N. Maheswari (2004), Management Accounting, Sultan Chand & Sons,
New Delhi.
2. M.Y Khan & P K Jain (2011), Management Accounting, Text, Problems and Cases,
5th Edition Third reprint, McGraw Hill Publication.
3. S.P. Jain and K.L. Naran (2012), Cost and Management Accounting, 13th Edition,
Kalyani Publishers.
4. S.K. Gupta (2011), Management Accounting, Kalyani Publishers, New Delhi.
5. Pandey I.M. (2010), Management Accounting, Vikas publishing House, New Delhi.
This course enables students to understand prevailing financial system and various financial
services offered for public.
Course Outcome
The student will be able to
1. Define the structure of financial system & its components.
2. Identify the financial intermediaries & their functioning with capital market in India.
3. List the financial sector reforms in India
4. Apply the policies of RBI
5. Illustrate Non Banking financial institution, Mutual fund and Credit Rating Agencies.
Unit I
10 hours
Financial system: The Structure of Indian Financial System components of financial
system-Functions of the Financial System Financial System and Economic Development.
Financial markets- Capital market-money market- difference between capital market and
money market-.
Unit II
10 hours
Capital markets: Primary Market - Meaning Features - Players of Primary Market
Instruments in Primary Market, Stock exchanges Structure Role and Functions of stock
exchanges.- Players in the Stock Market Merits and Demerits of Stock Markets OTCEI
Origin Functions.
Unit III
10 hours
Banks as financial intermediaries: Banks as Financial Intermediaries Commercial
Banks- growth and development of banking system in India- Role and functions of
commercial banks in India- Cooperative banks-Role of co-operative banks in India. RBI
Role and functions of RBI in regulation and development of money market. Development
banks-establishments role and functions of IDBI-ICICI IFCI LIC GIC
Unit IV
10 hours
Non-banking financial intermediaries: NBFCs-Investment & Finance Companies Merchant Banks - Hire Purchase Finance. Lease Finance, Housing Finance, Venture Capital
Funds, Angle Investors and Factoring.
Unit V
12 hours
Credit rating & mutual funds: Credit rating-Meaning - credit rating process, Role and
functions of credit rating agencies- ICRA, CRISIL, CARE. Concept of Mutual Funds - Growth
of Mutual Funds in India. Mutual Fund Schemes Role of UTI-Money Market Mutual Funds
Private Sector Mutual Funds Evaluation of the performance of Mutual Funds.
Recent trends In financial services: Reforms in Financial Sector in India- Micro finance
Meaning objectives, SHG. Personalized Banking ATM Tele-banking, E-banking and
Mobile banking -IRDA Insurance-Bancasurance.
Text books
1. E Gardon & K Natarajan (2013), Financial Markets & Services, Himalaya
Publications.
2. Pathak, B.V. (2011), The Indian Financial System Markets, Institutions and Services,
Pearson Education India.
Reference books
1. Meir Kohn (2010), Financial Institutions and Markets, Tata McGraw Hill
2. L M Bhole (2009), Financial Institutions and Markets, Tata Mcgrah Hill
3. Avadhani, V. A. (2010). Financial Services and Markets, Himalaya Publications
PESU Student Handbook 2015-16 412
7 Hours
10 Hours
Financial incentives: Types of incentives with special reference to India. Group incentive
plans. Incentives for indirect workers. Executive Compensation-Compensation for Managers
and Professionals
Unit IV
11 Hours
Introduction to indian labor laws: The factories Act, 1948. Meaning of Labor Laws.
Introduction to Indian Labor Laws. History and Importance of Labor Laws with special
reference to India..Scope and Objectives of the Act. Health, Safety and Welfare Provisions
under the Act. The employees state insurance Act, 1948.Definitions. Scope and
Objectives. Benefits under the ESI-Sickness Benefit, Medical Benefit, Disablement Benefit,
Dependents Benefits, Maternity Benefits, Funeral Expenses and Penalties
Unit V
10 Hours
The employees provident fund (And Misc. Provisions) Act, 1952: Definitions. Scope and
Objectives. The Employees Provident Fund, the Employees Pension Fund and The
Employees Deposit Liked Insurance Scheme.
Text books
1. P.Saravanavel and R.Nagarajan (2013), Compensation Management, Himalaya
Publishing House.
2. A.M.Sarma (2012), Compensation Management, Himalaya Publishing House.
Reference books:
1. Kapoor N D (1994), Elements of Industrial Law, Sultan Chand & Sons Publications.
2. Ajay Garg (1998), Labour Laws, Nabhi Publications
3. Pramod Verma (2007), Labour Economics & Industrial Relations, Tata McGraw-Hill
Publications
4. Arora M N (1999), Labour Laws, Kalyani Publications.
1. Visit to one tourism destination and to see the operations of a Travel agent.
2. Educational tour to one place of worship and one ancient monument
3. Educational tour to an International Travel Agency of repute as Thomas Cook or Cox
& Kings who handles all types of tours and tourism.
Couse Objectives
To introduce students to a variety of strategies for learning German at home or at the office
and to practice at the institute. This course will focus on the development of all four language
skills, listening, speaking, reading, and writing; together with a fifth skill, culture, which is an
integral part of this program.
Course Outcomes
At the end of the course the students are able
1. To comfortable having a conversation based on daily events and to express their
ideas in writing.
2. To be familiar with appropriate vocabulary and will know the necessary grammatical
structures to briefly discuss traveling, literature, and environmental concerns.
Unit I
5 Hours
Eine Fahrkarte nach Heidelberg, bitte (a ticket to Heidelberg, please): How to buy a ticket
and read timetables, How to say how to travel to work or university, How to ask how you can
get somewhere. Language points/Grammar - dative after prepositions, Audio, VocabularyExpression.
Unit II
5 Hours
Was hast du am Wochenende gemacht (What did you do at the weekend?): How to say
what happened at the weekend, How to talk about recent events, How to describe
purchases. Language points/Grammar: perfect tense, adjectival endings, Audio, VocabularyExpression.
Unit III
5 Hours
Wir sind ins Grune gefahren (We went into the countryside): How to talk about recent
events (continued), How to talk about more distant past. Language points/Grammar - more
on the perfect tense, Audio, Vocabulary- Expression.
Unit IV
5 Hours
Wohnen in Deutschland (living in Germany): How to talk about different kinds of housing,
How to name the various rooms in a house or a flat, How to make comparisons,
Language points/Grammar - more on the dative, the comparative, Audio, Vocabulary Expression.
Unit V
6 Hours
Welches Hotel nehmen wir? (Which hotel shall we take?): How to book a hotel room, How
to compare different hotel, How to describe the location of buildings, Language
points/Grammar - the superlative
Refernce Books:
1. Study material prepared by Department of BBA, PESU
1. Define the concepts in international marketing & distinguish between domestic &
international market
2. Explain the various procedures to be followed in product & pricing decisions
3. Analyze the different marketing environment & promotion strategy to be adopted for
international standards
4. Demonstrate the marketing research process & take an effort to be updated with
global marketing practices.
Unit I
10 Hours
Introduction: Meaning of International Marketing, Reasons and motives for understanding
international marketing, Nature and scope of International marketing, Features, Need for
International Trade, International Marketing Environment, The basis of international trade,
Theory of comparative cost, Tariff and Non-tariff barriers, WTO & its Impacts
Unit II
10 Hours
International product decisions: Product, Product Mix, Branding, Packaging & Labelling,
Identifying foreign market product, scanning for exports
Unit III
10 Hours
International pricing decisions: Overseas Market research pricing, Exporters cost and
pricing objectives, Methods, approaches and steps in pricing, Transfer pricing, Dumping,
Information requirements for pricing
Unit IV
11 Hours
International distribution: International channels system, Direct and Indirect exports,
Distribution strategies and international logistics
International promotions: Marketing Environment and Promotion strategy, International
marketing communication mix, Export promotions, Trade fairs and exhibitions, Problems in
International Marketing
Unit V
11 Hours
International marketing research: Requirement and Sources of information- systems and
marketing research- Problems in International Marketing Research
Text Books
1. Francis Cherunilum (2010), International Marketing Management, PHI Publishers.
2. Philip R Cateoria (2010), International Marketing, Tata Mac Graw
Reference Books
1. B.S.Rathore & J.S.Rathore (2012), International Marketing Management, Himalaya
Publishing House.
2. B.L.Varshney and B.Bhattacharya (2013), International Marketing Management,
Kalyani Publications.
2. Discuss the role that international institutions play in the global arena.
3. Evaluate cross border investment opportunities.
4. Gain skills in international investment and financing techniques and in exchange risk
management
Unit I
10 Hours
Introduction to international finance: Meaning- Scope- significance of International
finance-issues involved in international Finance- Globalization and the Multinational Firm,
currency to be used.
Unit II
10 Hours
International financial management: Meaning of International Financial Management,
Scope & significance of International Financial Management in International Markets,
Emerging trends in International Financial Management.
Unit III
10 Hours
Foreign exchange rates: Need for foreign exchange; Foreign exchange market and market
intermediaries; Exchange risks hedging, Forward, future, swaps options, Valuation of
future and swaps- valuation of options and efficiency of the exchange market.
Unit IV
11 Hours
International financial markets: Foreign Institutional investors Regulations governing
FIIs- Global Depository Receipts Foreign Direct Investments Growth of FDI- Multinational
Capital Budgeting.
International risk management: Types of Risk- Political, Commercial, Differing Tax
system, Sources of Funds, Exchange rate fluctuations, Different Stages and rates of
Inflation, Risk of non Payment.
Unit V
11 Hours
International financial institutions and liquidity: The IMF, International liquidity and
SDRs (special drawing rights) International bank for reconstruction and development
(World Bank), International development association, International investment guarantee
agency, ADB, RCI Bank.
Text book
1. Levi, Maurice D (2009), International Finance, Routledge.
2. Rajiv Srivastava (2014), International Finance, Oxford University Press, India
3. Avadhani, V A. (2010), International Financial Management Himalaya Publishing
House, India.
Reference books
1. Vij, Madhu (2006), International Financial Management, Excel Books New Delhi.
2. O'Brien, Thomas J. (2006), International Finance : Corporate Decisions In Global
Market, Oxford University Press.
3. Pilbeam, Keith (2006), International Finance, Palgravew Macmilan.
4. Krugman, Paul (2014), International Finance: Theory and Policy, Pearson Education
Limited, Harlow.
Course outcome
The student will be able to
1. Understand the basic Concept of Stock and Derivates market.
2. Analyze Stocks, returns, understand stock market pulse, reading price quotes and
making Bid/offer price.
3. Continuously keep a track of the stock market, developments in market sentiments,
and opinions of various research analysts, regular portfolio analysis and
management.
Unit I
9 Hours
Primary market and secondary market: Mechanism of Buying and selling of shares on a
stock exchange. Recognized Stock Exchanges in India (brief discussion of NSE & BSE).
Depositaries, National Securities Depositary Ltd. (NSDL) Central Securities Depositary Ltd.(
CSDL)
Unit II
11 Hours
Trading on a stock market: Equity Trading - Various types of Orders, Stop-loss, Delivery
Vs Day trade, Margin Funding and calculation of Margin amount. Complete Settlement cycle
procedure. Patterns of Trading -Speculations Types of Speculations functions of Brokers
Brokerage Settlement Procedure. Understanding of Index- economic significance of
index movements-Index construction issues, models on Calculation of Index. Insiders,
Regulations relating to Disclosures by insiders and Investment advisors.
Unit III
10 Hours
Derivatives market: Derivatives meaning, types of contracts, History of financial
derivatives markets- economic functions of derivatives market- participants of derivatives
market. Forwards & Futures, difference between forward Vs future contracts.
Unit IV
11 Hours
Options market: Options types of options- Call and Put Options, Calculations of Premium
and Option Pricing. Various Option strategies (Long call, short call, long put, short put,
covered call, Bull call Spread, Bear Call spread, Bear Put Spread, Straddle, Strangle) with
Calculations . Uses of Derivatives in Hedging- Arbitrage.
Unit V
11 Hours
Trading in commodity: Meaning of commodity and Commodity markets. Commodity
derivatives, difference between commodity derivatives and financial derivatives-Commodity
exchanges-evaluation of commodity exchange- role and functions of commodity exchanges
in India. Indian commodity exchanges-NCDEX- Role and functions Types of transactions
Spot, Future and Forward markets. Patterns of Trading & Settlement, Price discovery
Text books
1. Hull (2009), Options, Futures & Other Derivatives, Pearson Publishers.
2. Gurusamy (2010), Financial Markets And Institutions, 3rd Edition, Tata McGraw Hill.
Reference books
1. Bodie, Kane, Marcus (2009), Investments, McGraw-Hill International.
2. Prasanna Chandra, (2006) Investment Analysis and Portfolio Management, McGrawHill.
3. Srivastava RM (2012), Management of Financial Institutions, Himalaya Publications
4. Saunders (2009), Financial Markets and Institutions, 3rd Edition, Tata McGraw Hill.
Course objectives
This course enables students to understand the basic concepts of Financial Management
and emphasizes the financial aspects of managerial decisions.
Course outcome
The student will be able to:
1. define the basics of Strategic Financial Management.
2. Interrelate Financial Management and Strategic decision making.
3. Illustrate real time financial management elements like EPS, operating profit, cost of
capital and investment decision.
Unit I
8 Hours
Strategic financing decisions: Meaning and importance of Strategic Financial
Management, Scope and Constituents of Strategic Financial Management, Financial
Planning, Capital Allocation and Corporate Strategy, Capital Structure and Firm Value,
Dividend Policy and Firm value Stock vis--vis Cash Dividends.
Unit II
12 Hours
Corporate valuation: Meaning and approaches to Corporate Valuation Adjusted Book
Value Approach, Stock and Debt Approach, Comparable Companies Approach, Discounted
Cash Flow Approach Concept of Free Cash Flow to the Firm, Two and Three Stage
Valuation Models. Valuation of Physical Assets, Valuation of Intangible Assets Brand
Equity and Human Resources.
Unit III
12 Hours
Value metrics: Shareholder Value Creation Traditional and Modern Approaches Value
Drivers. Approaches to Value Based Management Marakon Approach, Alcar Approach,
Mc Kinsey Approach, EVA Approach, BCG HOLT Approach. Metrics for Measurement of
Performance EPS, ROI, EBIT, EBITDA, RONA, ROCE, TSR, TBR, MVA, CVA, CFROI
Concept of Economic Depreciation. Executive Compensation and Value Creation.
Unit IV
12 Hours
Corporate restructuring: Meaning and forms of corporate Restructuring Spin off, Split off,
Split up, Leveraged Buyout, Divestiture and other forms of corporate Restructuring.
Mergers & acquisitions : Mergers and acquisitions Definition, Types, Motives for Merger
or Acquisition, Steps involved in Merger, Mechanics of Merger Legal, Accounting and Tax,
Valuation for Mergers and Acquisitions, Financing of Merger and settlement Exchange
Ratio, Stock Vs. Cash Payments, Takeovers, Defensive Tactics of Takeovers and
disinvestment of PSUs.
Unit V
8 Hours
Challenges in strategic: Financial Management Financial Management in Knowledge
Intensive Companies and Public Sector Companies, Financial Management in Sick Units,
Financial Innovations and Financial Engineering Overview, Scope, Tools of Financial
Engineering, Financial Engineering versus Financial Analysis.
Text books
1. Grinblatt, Mark and Titaman, Sheridan (2010), Financial Markets and Corporate
Strategy, Tata McGraw Hill.
Reference books
1. Chandra, Prasanna (2004), Financial Management, Tata McGraw Hill Publishing
Limited.
2. Sudhindra Bhat (2006), Financial Management, Excel Books.
3. R.M.Srivastava (2008), Financial Management and Police, Himalaya Publications
PESU Student Handbook 2015-16 421
Text books:
1. French, W.L. & Bell, C.H. Jr. (1980), Organizational Development, Prentice Hall,
London.
2. Kavita Singh (2010), Organizational Change and Development, Excel Books India
3. Harvey, D.R., & Brown, R, D. (2012),. An Experiential Approach To Organization
Development, 5th Edition, Pearson Prentice Hall, New Delhi.
Reference books
1. Jones, R, G. (2006), Organizational Design and Change, 5th Edition, Pearson
Education Prentice Hall, Canada.
2. Daft, R.L. (2004), Organization Theory & Design, 8th Edition, Cengage Learning,
Southwestern.
3. Cummings, T.G., & Worley, C.G. (2008), Organization Development and Change, 9th
Edition, Cengage Learning Publishers, Southwestern.
Cultural styles and social dimensions- Orientation to time and space - Leadership
Effectiveness - Global Leadership.
Unit V
11 Hours
Cross cultural competencies, culture and management: Cross-cultural CompetenciesDefinition Cross-cultural competency model, Competencies for a global managerGlobalization & Identity in Inter cultural Management
Culture & management- The Multi-Cultural Virtual Team- Influence of culture on
management practice- Managing Teams remotely- Working in culturally diverse teams.
Cognitive & Cultural Diversity- Emotional Intelligence in Teams
Text books
1. Charles W.L.Hill (2012), Global Business Today, Mc. Graw Hill Publication
2. Nancy J. Adler (2011), International Dimensions of Organizational Behavior, 4th
Edition, Pearson publications
3. Davis C. Thomas and Kerr Inkson (2004), Cultural Intelligence: People Skills for
Global Business, Mc. Graw Hill Publication.
Reference books
1. Ferraro (2005), Cultural Dimension of International Business, Pearson Publications.
1. Govindarajan and Gupta (2009), Building an Effective Global Business Team,
Himalaya Publications
Course outcome
At the end of the course, the student is capable of:
1. Understanding basic conversation & greetings in French
2. Comprehending the French terminology for common culinary terms
3. Reading and describing the French classical menu
4. Reading and comprehending wine labels
Unit I
6 Hours
General French: Pronunciation; The Alphabet; The Accents; Numbers (0 to 100); Cardinal;
Ordinal; Time (only 24 hr clock); Days of the week; Months of the year; Date
Weights & Measures; Formules de politesse; Conjugation of verbs in the present tense
relevant to the hotel industry (only je and vous forms)
Unit II
6 Hours
Unit III
6 Hours
Food & beverage service: Restaurant Brigade,Hot Plate Language,The French Classical
Menu (17courses) with classic examples of each course, terminology and meanings in
brief,Wines,Wines of France,Wine terminology,Reading a wine label,Laying a cover.
Unit IV
6 Hours
Food production: The Kitchen Brigade, Ingredients used in Kitchen, Dairy Products,
PESU Student Handbook 2015-16 424
VegetablesFruits, Herbs & Spices, Poultry, Fish, Meat, Cereals, Seasonings, French
Cheeses, Culinary Terms in French
Unit V
2 Hours
Glossary of terms: Students should be familiar with the glossary of terms pertaining to
above mentioned topic
Reference Books
1. S. Bhattacharya (2005), French for Hotel Management & Tourism Industry,Publisher:
S. Frank Bros. (Pub) Ltd., Delhi.
2. Rajeswari Chandrashekar, Rekha Hangal, Chitra Krishnan, Claude Le NInan, Asha
Mokashi (2006), A Votre Service I & II Franais pour lhtellerie et le tourisme .Leon
1 6, Goyal Publishers.
3. Dennis Lillicrap, John Cousins & Robert Smith (2006), Food & Beverage Service,
Hodder & Stoughton, U. K
4. Thangam Philip (2005), Modern Cookery for Teaching and the Trade Vol 1, Orient
Blackswan Publisher, Telengana.
5. Prosper Montagne, Jenifer Harvey Lang (1988) Larousse Gastronomique,: Crown
Publishers, U.K
Course outcome
At the end of the course, the student is capable of:
1. Communicating verbally & in writing in daily routine
2. Delivering a welcome address, introducing a guest and vote of thanks
3. Writing a personal and a business letter
4. Reading and summarizing a news item in a Kannada Daily
sU 1
5 UAmU
a v ZU : F sUz Z vz R ZPgg Ml 8 ZUz, Uq
v jZAiPq Egvz.
sU 2
5 UAmU
zsP v Pig sgv: F sUz Pig sgvz GvgPig AUz DAi 30
lUgv,
sU 3
5 UAmU
sU 4
5 UAmU
Uq v - Azs: F sUz Uqz 03 AzsUz, E Uq vz Ml
PPq Egvz.
5 Hours
sU 5
6 UAmU
Reference Books
1. Study material for I Semester prepared by Dept. of BBA-HEM, PES University
Course outcome
At the end of the course, the student is capable of:
1. Communicating verbally & in writing in daily routine
2. Delivering a welcome address, introducing a guest and vote of thanks
3. Writing a personal and a business letter
4. Reading and summarizing a news item in a Hindi Daily
1
'
`5
2:
'
----
`3:
'
6
`-
5
1-9
5:
Reference Books
1. Study material for I Semester prepared by Dept. of BBA-HEM, PES University
Course outcome
At the end of the course, the student is capable of:
PESU Student Handbook 2015-16 426
8 Hours
Unit III
4 Hours
Vaman Srinivas Kudva One of the Founding Directors of Syndicate Bank; In Sahayadri
Hills, a Lesson in Humility Sudha Narayana Murthy
Unit IV
Hillary Rodham Clintons address at the U.N. 4th World Conference on
Session - delivered on 5 September 1995, Beijing, China
Expansions Proverbs / Idioms
4 Hours
Women Plenary
Unit V
6 Hours
Writing and delivering a speech (Vote of Thanks / Inaugural / Commemorative); Paragraph
writing
Reference Books
1. English Study material for I Semester BBA - HEM
Course outcome
By the end of the course, the student is capable of:
1. Understanding concepts in consumer behaviour and attitude.
2. Perceiving others more accurately and responding appropriately
Unit I
5 Hours
Psychology: Introduction to Psychology, Definition & meaning of Psychology; Significance
of learning Psychology, Fields of psychology related to Hotel Industry; Introduction to
Consumer Behaviour; Definition, meaning, purpose of studying consumer psychology
Unit II
5 Hours
Listening, personality and emotions: The significance of listening, the challenges of
listening, reasons for listening, components of listening, types of healthy responses
Personality, life style, Culture & values.
Emotion concepts: Types of Emotions, Emotional Intelligence
PESU Student Handbook 2015-16 427
Unit III
5 Hours
Perception and attitude: The perception process, influences on perception, perceiving
others more accurately.
Attitude: Definition, Characteristics, Sources of Attitude, Attitude formation, Positive
Attitude, Benefits of positive attitude, development of Positive attitude, Attitude change
Unit IV
Consumer behavior: Consumer and customer, Consumer
Interdisciplinary nature of consumer behaviour.Group Activity.
5 Hours
Behavioral Roles,
Unit V
6 Hours
Decision making: Stages in Consumer Decision Making Process, Types of Consumer
Decisions Nominal Decision Making, Limited Decision Making, Extended Decision Making.
Group activity.
Text Books
1. Powell, John S. J (1999), Why am I afraid to tell you who I am? Zondervan
Publishing, USA.
2. Morgan, Clifford T. King, Richard A. Weisz, John R (1986), Introduction to
Psychology, Tata Mc Graw Hill, Noida, U.P.
Reference Books
1. Batra, S.K. & Kazmi S.H. (2004), Consumer Behavior - Text and Case, , Excel
Books, New Delhi.
2. Lake, L. A. (2009), Consumer Behavior, Hoboken: Wiley Publishing.
3. Rowson, P. (2009), Successful: Customer Service. Crimson Publishing, Great
Britain.
Manual & electrical operations of equipments: Various small & large equipment used in
the kitchen. Manual & electrical operations of equipments, various small & large equipment
used in the kitchen.
Unit III
8 Hours
Basic menu planning: Functions of menu, types, used as control tool, menu balancing,
wine food pairing; Basic food Production operations; Basic principles of vegetable cooking,
pigment & coloring changes, effect of heat on vegetables, controlling the changes in texture;
Changes in flavor, cuts of vegetables, some Indian cuts of vegetables, classification of fruits
and their uses in cooking. Basic principles of vegetable cooking, pigment & coloring
changes.
Unit IV
4 Hours
Text Book
1. Parvinder Singh Bali (2014), Food Production Operations, 2nd Edition, Oxford
Publications, New Delhi.
2. Parvinder Singh Bali (2014), Quantity food Production Operations & Indian Cuisine,
Oxford Publications, New Delhi.
Reference Books
1. Victor Ceserani & Ronald Kinton (2007), Practical Cookery, 10th, 11th and 12th
Editions, ELBS, UK.
2. Victor Ceserani & Ronald Kinton (2008), Theory of Catering, 10th, 11th and 12th
Editions, ELBS, UK.
3. Krishna Arora (2008) Theory of Cookery -5th and 6th Editions, Frank Bros & Co.,
New Delhi.
4. Thangam E Philip (2004), Modern Cookery Volume I&II, 5th Edition, Orient
Blackswan, Telengana.
5. Dennis Lillicrap (2006), Food and Beverage Service, 10th, 11th and 12th Editions,
ELBS, UK.
6. Jerald W. Chesser (1998), The art And Science Of Culinary Preparation, Educational
Institute of the American Culinary Federation, USA
Course outcome
By the end of the course, the student is capable of:
1. Understanding the principle & Objectives of menu planning
2. Framing balanced menus and 12 course French classical menu
3. Understanding the organization & hierarchy of service department
4. Handling different types of customers
5. Responding to the needs of customers
6. Maintaining hygiene and personal grooming
7. Demonstrating the knowledge of the range of services offered by the industry
Unit I
4 Hours
Introduction to food & beverage service operations: Different types of catering
operations; F&B Service Areas (Restaurant, Coffee Shop, Bar, Room Service, Banquets,
Pubs, Discotheques, kiosks, snack bar, Lounges, Vending machines, night clubs etc);
Organizational Hierarchy of the F&B Department; Job specification and Job description of
staff positions; Attributes of F&B Service Staff (Personal hygiene, punctuality, personality,
attitude towards guests, appearance, salesmanship; Anticipatory Service and sense of
urgency; Organizational Structure of the Food and Beverage Service staffs; Duties and
responsibilities of each staff positions. Ancillary Department & Service (Pantry, Food pick-up
area, Store, Linen Room & Kitchen Stewarding), Interdepartmental Relationships.
Unit II
5 Hours
Food and beverage service equipments: Cutlery, Crockery, Glassware, Furnitures Linen,
Special equipments, Personal equipments, Maintenance & upkeep of equipment,
Equipments and accessories in food and Beverage outlet. Usage, Maintenance & upkeep of
equipment.
Unit III
8 Hours
Meals and menu planning: Types of meals, Origin of Menu, Types of Menu, Meals and
Menu planning, Food and accompaniments, Objectives and principals of menu planning,
Factors affecting menu planning process, 17 course & 12 course French classical menu,
Food and accompaniments with cover.
Unit IV
4 Hours
Types of service: Table service French, Russian, English, American & Silver Self Service,
Assisted Service, Special Service Gueridon, Automated, Tray, Trolleys etc., Basic Food
and beverage service operations, Food and beverage order taking and control systems,
Social Skills. Mis-en-Scne and Mis-en-place, Laying of cover, Restaurant service cycle,
KOT/BOT Control System, Social skill.
Unit V
5 Hours
Banquet operations: Types of functions, Types of buffet, Banquet Organization Structure,
Job specification and Job description of staff positions, Types of Banquet Functions, Setup
for different events, Menu planning, Staff requirements for Banquets.Table and seating
plans, Equipments, Booking procedures with Forms and formats, Banquet seating
calculation.
Reference Books
1. Lillicrap & Cousins (2008), F & B Service, 7th Edition, Hodder & Stoughton,
London.
2. Sudhir Andrews (1995), Food and Beverage Service: A Training Manual, 3rd
Edition, TMH, New Delhi.
3. Vijay Dhawan (2000), Food and Beverage Service, 2nd Edition, Frank Bros. &
Co., New Delhi.
4. F&B Service Study Material prepared by Dept. of BBA-HEM, PES University
4 Hours
Lobby and bell desk: Layout of Lobby; Bell Desk Organization; Concierge
Mail & Message Handling; Room Change Procedure; Luggage Handling; Other
services performed at Bell Desk.
Unit V
6 Hours
Front office communication: The Communication Process, The Seven Cs of
Communication, Importance of Communication, Types of Communication, Barriers to
Communication; Interdepartmental Communication
Reference Books
1. S.K. Bhatnagar (2002), Front Office Management Publisher: Frank Bros. & Co.Ltd.,
New Delhi.
2. Jatashankar R Tewary (2012), Hotel Front Office Operations and Management,
Oxford University Press, New Delhi.
PESU Student Handbook 2015-16 431
3. Bardi, J. A. (2011). Hotel Front Office Management. John Wiley & Sons, USA.
4. Kasavana, M. L., & Brooks, R. M. (2013), Managing Front Office Operations. 8th
Edition, American Hotel & Lodging Educational Institute, USA.
5. Being energetic, knowing the customer needs, and adopting patience, working for long
hours
Practical No.
1. Identification of Kitchen equipments; Sample ingredients; Awareness of Kitchen
layout; Identification of Different ingredients
2. Demonstration and practice of different cuts of vegetables. Practice of cuts of various
vegetables; Julienne (Double match stick) Batonette (French fry) small dice (square
baton), Medium dice, large dice, slice, chop, mince, emincer, shred, Brunoise, fine
Brunoise, peeling, paring
3. Demonstration of cooking methods; To Explain sources of heat,; Boiling, steaming,
poaching,(Egg,Fish) blanching, sauting frying (shallow & deep).
4. immering, pressure cooking, broiling, Fricasseeing (sauting with stewing or
steaming) microwave cooking
(Details / Menu for Practicals 3 & 4: Menu - Masala vada; Boiled rice; sambar (C);
beans foogath; chappatis / Puris; Modak)
5. Demo and cuts of Chicken & Fish. Stuffing & Trussing (Roast chicken with stuffing
and barbeque sauce)
6. Eggs & remaining cooking methods II
7. Stewing, baking, (incl. basting) roasting, grilling, paper bag cooking, braising.
8. Cooking of rice and pasta
(Details / Menu for Practicals 6 to 9 - Stuffed Omelets, Irish Stew, Grilled vegetables,
Herb rice, Caramel Custard)
9. Soups, Stocks and Sauces Demo (incl. mother sauces)
10. Dishes in each Making of bread rolls
(Details / Menu for Practicals 9 & 10 - Cream of tomato soup, Macaroni Augratin,
Poisson frit orly with tartare, Sultana Spon zge Pudding)
11. Salads, Garnishes and plate presentations ; Salad dressings, cocktail sauces
(Details / Menu for Practical 11: Tossed salad with dressings, Waldrof salad,
Shellfish Cocktail, Mixed salad, Rice salad)
12. Methods of Mixing foods; Stirring, beating, Sieving, whipping, creaming
(Details / Menu for Practical 12- Cakes and small cakes, Chocolate sponge,
Ganache, Icing)
13. Folding in, blending, whisking, Rubbing inkneading, mixing in, cutting in
(Details / Menu - Strawberry Bavarois, Chocolate Mousse, Buns and Bread rolls)
Text Book
1. Parvinder Singh Bali (2014), Food Production Operations, 2nd Edition, Oxford
Publications.
2. Parvinder Singh Bali (2014), Quantity Food Production Operations & Indian Cuisine,
Oxford Publications.
Reference Books
1. Victor Ceserani & Ronald Kinton (2007), Practical Cookery, 10th, 11th and 12th
Editions, ELBS.
2. Victor Ceserani & Ronald Kinton (2008), Theory of Catering, 10th, 11th and 12th
Editions, ELBS.
3. Krishna Arora (2002), Theory of Cookery, 5th and 6th Editions, Frank Bros & Co.
PESU Student Handbook 2015-16 435
4. Thangam E Philip (2004), Modern Cookery, Volumes I & II, 5th Edition, Orient
Longman.
5. Dennis Lillicrap (2006), Food and Beverage Service, 10th, 11th and 12th Editions,
ELBS.
6. Jerald W. Chesser (1998), The Art and Science Of Culinary Preparation, ELBS.
12 Hours
Practical No. 5
Menu planning
6 Hours
Reference Books
1. Lillicrap & Cousins (2008), F & B Service, 7th Edition, Hodder & Stoughton, London
2. Sudhir Andrews (1995), Food and Beverage Service: A Training Manual, 3rd Edition,
TMH, New Delhi;
3. Vijay Dhawan; Publisher (2000), Food and Beverage Service, 2nd Edition, Frank
Bros. & Co., New Delhi.
PESU Student Handbook 2015-16 436
2 Hours
4 Hours
4 Hours
Practical No. 8
Demonstration of Public Area cleaning
2 Hours
Practical No. 9
2 Hours
Handling of Washing Machine, Vacuum Cleaner, Operation of the Front Loading and Top
Loading Washing Machine; Operating and Maintenance of Vacuum Cleaner; Practical
operation of the Scrubbing Machine
Practical No. 10
2 Hours
2 Hours
2 Hours
Text Books
1. Singh, M. (2012), Hotel Housekeeping, Tata McGraw-Hill, New Delhi.
2. G. Raghubalan & Smritee Raghubalan (2012), Hotel Housekeeping: Operations &
Management; Oxford University Press, New Delhi.
3. Sunita Srinivasan (1998), Professional Housekeeping, Anmol Publication, Bangalore.
6 Hours
4 Hours
Unit I
5 Hours
Basic commodities used in bakery and pastry: Flour, - types, gluten free, structure of
wheat grain, raising agents, fats and oils in cooking & banking, rendering the fat, clarifying
butter, milk and dairy products, cream and sweeteners.
Unit II
5 Hours
Bread fabrication & cake making: Baking, ingredients used, basic fault, equipment used
Basic sponges & cakes. Pastry techniques & principles, points to be kept in mind while
making sponges & cakes, equipments used sponge and cake making.
Unit III
5 Hours
Basic sponges and cakes: Introduction, Pastry techniques and principles, ingredients used
in sponge making, baking and cooling of sponges, points to be kept in mind while making
sponges and cakes, equipments used in sponge and cake making.
Unit IV
5 Hours
Pastes, creams, fillings and sauces: Choux, marzipan, almond, touille, puff. Creams
pastry, crme chantilly, caprice, butter, lemon, ganache, Sauces adding flavor to the pastry
sauces, common faults.
Unit V
6 Hours
Laminated pastries: Introduction, puff pastry, methods of making puff pastry, inverted puff
pastry, uses, preparation of puff pastry, Danish pastry and croissant, strudel, phyllo pastry,
common faults in laminated pastry.
Text Book
1. Parvinder S. Bali ; 2009; Food production operations Publisher: Oxford University
Press, New Delhi
Reference Books
1. Victor Ceserani & Ronald Kinton (2009), Practical Cookery, 10th, 11th and 12th
Editions, ELBS, UK.
2. Victor Ceserani & Ronald Kinton (2008), Theory of Catering, 10th, 11th and 12th
Editions, ELBS, UK.
3. Krishna Arora (2002), Theory of Cookery, 5thand 6th Editions, Frank Bros & Co., New
Delhi.
4. Thangam E Philip (1998), Modern Cookery - Volume I&II, 5th Edition, Orient
Blackswan, Telengana.
5. Dennis Lillicrap (2002), Food and Beverage Service10th, 11th and 12th Editions, ELBS,
UK.
6. Jerald W. Chesser; (1992) The Art And Science Of Culinary Preparation, 1st Edition,
Educational Institute of American Culinary Federation.
4.
5.
6.
7.
Unit I
4 Hours
Room service & breakfast: Types of room service, Room service Organization, Cycle of
service, Forms & Formats, Types of Breakfast Continental, English, American, Buffet &
Indian, Cover set up and Service.
Unit II
2 Hours
Classification of beverages: Types of beverages, Non alcoholic beverages (Tea, Coffee,
Hot chocolates, Mocktails etc), Alcoholic beverage: Meaning & classification of alcoholic
beverages.
Unit III
12 Hours
Introduction to wines: Vine Family, Grape composition, Grape varieties, cycle of harvest
& factors affecting quality (Soil, climate, viticulture & vine diseases). Classifications of wines.
Manufacturing process of table wines (red, white and rose) and sparkling wines. Old wine
regions and new wine regions of the world. Famous Brand names of wines with region and
grape used.
Unit IV
4 Hours
Service of wine: Service of wines, Decanting of wine, Service of wines, Food and wine
harmony.
Unit V
4 Hours
Beer & other fermented alcholic beverages: Manufacturing process, Types of beer.
Cider, sake & toddy, Popular brands. Service.
Text Book
1. F&B Service Study Material prepared by Dept. of BBA-HEM, PES University.
Reference books
1. Lillicrap & Cousins (1998), Food & Beverage Service, 7th Edition, Hodder &
Stoughton, London.
2. Sudhir Andrews (2015), Food and Beverage Service: A Training Manual, 3rd Edition,
TMH, New Delhi.
3. Vijay Dhawan (2000), Food and Beverage Service 2nd Edition, Frank Bros. & Co.,
New Delhi.
4. R. Singaravelan (2014), Food and Beverage Service,Oxford University Press, New
Delhi
5. Joyce Rubash (1990), Master Dictionary of Food and Wine, VNR, New York.
6. Bobby George (2008), Food and Beverage Service, Jaico Publishing House,
Bangalore.
7. Andrew Durkan and John Cousins (1995), The Beverage Book, Hodder &
Stoughton, UK
Course outcome
By the end of the course, the student is capable of:
1. Draft simple business letters, personal letters circulars memos and prepare
quotations.
2. Carry on basic banking correspondence
3. Prepare meeting minutes
4. Follow etiquettes in e mails, in meetings etc
5. Participate in meetings with sound knowledge of procedures and protocol of
meetings
Unit I
6 Hours
Instruments of business communication: Business Letters: Inquiries, Circulars,
Quotations.
Unit II
Orders, Acknowledgments, Complaints, Claims and adjustments.
4 Hours
Unit III
Banking correspondence, Sales letters, Memos.
6 Hours
Unit IV
6 Hours
Minutes of meeting, Circular and Notice, E-mail Etiquettes. Job application letters Bio data,
Covering letter, Interview letters, Letters of Reference.
Unit V
7 Hours
Meetings: Meaning, Importance, Opening and Closing meetings, Meeting Etiquettes,
Participating and conducting group discussions, Brain Storming and its benefits, E-Meetings,
Telephone Etiquettes.
Text Books
1. Rayudu C S, (2012), Communication, 10th Edition, Himalaya Publishing House New
Delhi
2. Thill J V and Bovee G L (1993), Excellence in Business Communication, McGraw
Hill New York.
Reference Books
1. Ludlow, R & Panton, F (1998), The Essence of Effective Communication, Pearson
Publication, USA.
2. Adair. J (2003), Effective Communication, Pan Mcmillann.
3. Bowman, J P and Brachaw P P (1987), Business Communication From Process To
Product , Dryden Press, Chicago
4. Meenakshi Raman & Prakash Singh (2012), Business Communication, Oxford
Publisher.
5. Kaul, (2010), Business Communication, Prentice Hall. New Delhi
6. Senguin, J, (2012), Business Communication; The Real World and Your Career,
Allied Publishers, New Delhi
7. Rutherford J. Andre, (2012), Basic Communication Skills For Technology, Pearson
Education, Noida.
8. Rajendra Pal, Korlahalli, J S.(2011). Essentials of Business Communication, 13th
Edition, S. Chand, New Delhi
Course objective
The objective of this course is to provide hands on training in practical baking &
confectionery skills & continental cuisine.
Course outcome
Text Book
1. Parvinder S. Bali (2009), Food Production Operations, Oxford University Press, New
Delhi.
Reference Books
1. Victor Ceserani & Ronald Kinton (2009), Practical Cookery, 10th,11th and 12th
Editions, ELBS, UK.
2. Krishna Arora (2002), Theory of Cookery 5thand 6th Editions, Frank Bros & Co, New
Delhi.
3. Thangam E Philip (1998), Modern Cookery, Volume I&II, 5th edition Orient
Blackswan, Telengana.
4. Dennis Lillicrap (2002), Food and Beverage Service, 10th,11th and 12th Editions,
ELBS, UK.
5. Jerald W. Chesser (1992), The Art And Science Of Culinary Preparation,1st Edition,
Educational Institute of American Culinary Federation.
6. I Nicolello & R Foote (1994), Complete Confectionery Techniques Hodder &
Stoughton, London. (Practical No. 5).
Reference Books
1. Lillicrap & Cousins (2008), F & B Service, 7th Edition, Hodder & Stoughton, London.
2. Sudhir Andrews (1995), Food and Beverage Service: A Training Manual, 3rd Edition,
TMH, New Delhi.
3. Vijay Dhawan (2000), Food and Beverage Service, 2nd Edition, Frank Bros. & Co.,
New Delhi.
4. F&B Service Study Material prepared by Dept. of BBA-HEM, PES University.
2 Hours
Practical II
Stocking of Linen, Room Amenities, Cleaning Agents
2 Hours
4 Hours
Practical V & VI
4 Hours
Procedures for cleaning a Vacant Departure Room, Vacant Rooms, Occupied Rooms.
Unit VII
Mini Bar setup
Unit VIII
Towel Art- Peacock, swan.
2 Hours
2 Hours
Unit IX
2 Hours
Guest Room Supervision- Inspecting room procedure adopted in hotel after cleaning.
Unit X
Linen Inventory-Illustrate inventory and control of linen in the hotel.
2 Hours
Unit XI
Horticulture.
2 Hours
Unit XII
Presentation on Different departments of housekeeping.
2 Hours
Unit XIII
Mock Practical.
2 Hours
Text Book
1. Singh, M. (2012), Hotel Housekeeping, Tata McGraw-Hill, New Delhi.
2. G. Raghubalan & Smritee Raghubalan (2012), Hotel Housekeeping: Operations &
Management; Oxford University Press, New Delhi.
3. Sunita Srinivasan (1998), Professional Housekeeping, Anmol Publication Pvt. Ltd.,
Bangalore.
4 Hours
Using the above-created Worksheet, perform the following operations: Sort the
Worksheet using multiple fields; Show the percentage of all the students using Bar graph
Format the above graph (use various options like legends, data. Calculate the average
percentage of different combination and illustrate it with Pie chart; Extract the details of
students using auto filter feature; Find the name of the student who has scored highest and
lowest total.
PracticaI VI
4 Hours
Create and Save Power Point presentation and perform the following operations:
Creating and Saving a new presentation using Auto Content wizard and Template;
Editing and Formatting Text in a Presentation and working with Drawing Objects; Inserting
Pictures, Slides, Sound, Date and Timings, Slide Number, Charts and Tables.
Formatting Presentation by Setting Background, Applying Design Template and Other
features. Customizing and Animating Presentation using Slide Show features
Reference Books:
1. Raja Raman V. (2010), Fundamental of Computers, 4th Edition, Prentice Hall of India,
NewDelhi.
2. Norton, Peter (2003), Introduction to Computers, 6th Edition, Mc-Graw Hill
Publications.
3. B. Ram (2007), Computer Fundamentals Architecture and Organization, New Age
International Pvt. Ltd, Delhi.
4. S.Jaiswal (2003), Fundamental of Computer & IT, Wiley Dreamtech India.
Unit I
5 Hours
Introduction to equipment used in volume cookery: Selection of kitchen equipment,
equipment required for volume production, care and maintenance of equipments
Purchasing and indenting for volumes:Principles of indenting for volume feeding,
purchase system and specification, storage for bulk, inventory control in stores, control
procedures to check pilferage and spoilage, portion size volume feeding, Modifying
recipes, challenges
Unit II
5 Hours
Planning for volume catering: Basic stages of design for a catering establishment,
optimum utilization of space for volume catering, selection of equipment and recruitment.
Dum cooking - Origin, special equipment and classical dishes.
Tandoor cooking - Origin, types, fabrication, installing, role of ingredients in kebabs, basic
Indian breads, workstation setup & work flow.
Rice cooking - Types, basic rice preparation method
Indian sweets: Origin, ingredients used regional influence, equipment used and religious
importance of sweets comfort foods of India.
Unit III
6 Hours
Indian cooking: Introduction, influence of invaders and travelers on Indian cuisine, regional
and religious influences on Indian cuisine,
Equipment used, techniques employed, concepts of slow food and organic food
Condiments, herbs and spices used in Indian cuisine:Spices used in Indian cuisine,
various ways of using spices, storage and usage tips for spices
UNIT IV
5 Hours
Masala and pastes: Blending of spices & concepts of masalas-Pastes used in Indian
cooking
Understanding commodities and their usage in Indian cooking:Souring agents, coloring
agents, thickening agents, tenderizing agents, flavoring and aromatic agents, spicing agents
Unit V
5 Hours
Basic Indian gravies: Gravies and curries, regional gravies, preparation of gravy
Text Book
1. Parvinder S. Bali (2014), Quantity Food Productions and Indian Cuisine, 2nd Edition,
Oxford University Press, New Delhi.
Reference Books
1. Victor Ceserani & Ronald Kinton (2008), Practical Cookery, 10th, 11th and 12th
Editions, ELBS, UK.
2. Victor Ceserani & Ronald Kinton (2003), Theory of Catering, 10th, 11th and 12th
Editions, ELBS, UK.
3. Krishna Arora (2008), Theory of Cookery, -5thand 6th Editions, Frank Bros & Co, New
Delhi.
4. Thangam E Philip (1988), Modern Cookery Volume I&II, 5th Edition, Orient
Blackswan, Telengana.
5. Jerald W. Chesser (1992), The Art And Science of Culinary Preparation, Educational
Institute of the American Culinary Federation.
Course outcome
By the end of the course, the student is capable of:
1. Classifying tobacco products on the basis of types, brands, manufacture, country of
origin
2. Describing the distillation process of alcoholic beverages.
3. Identification of liqueurs
4. Detailing responsible bar service procedures
5. Classifying cocktails & their preparation methods
Unit I
4 Hours
Tobacco: Tobacco products, Health hazards, Types of Tobacco, Important tobacco
producing countries of the world, Cigars (Parts of Cigar, Manufacturing process, Colour,
Strength & Size of cigars, Brands and Service), Cigarettes (Types, Brand names and
Service)
Unit II
8 Hours
Distilled alcoholic beverages: Distillation methods Pot still and Patent still,
Manufacturing process: Whisky, Rum, Gin, Brandy, Vodka and Tequila (with styles and
Brand names)Other spirits (Absinthe, Ouzo, Arrack, Feni, Schnapps, Slivovitz, Akvavit) ,
Liqueurs (Manufacturing process, Brand names with base, colour, flavour & country of
origin)
Unit III
4 Hours
Bar-responsible alcoholic service: Alcoholic Consumption benefits, Abuse and sensible
drinking, Alcoholic Strengths & Rate of absorption, Checking identification, Signs of
intoxication, Traffic light system
Bar Types, Equipment used
Unit IV
6 Hours
Cocktails- Aperitifs & Digestives, Cocktails Parts of cocktail, Families/Types & methods
used, Recipes of Classic Cocktails
Unit V
4 Hours
Gueridon service: Recipes of popular cocktails, Meaning and Impact, History, Equipment
used, Staffing, Key Ingredients, Popular Examples with recipes
Reference books
1. Lillicrap & Cousins (2008), F & B Service, 7th Edition, Hodder & Stoughton London.
2. Sudhir Andrews (1995), Food and Beverage Service: A Training Manual, 3rd Edition,
TMH, New Delhi.
3. Vijay Dhawan (2000), Food and Beverage Service, 2nd Edition, Frank Bros. & Co.,
New Delhi.
4. Bobby George (2008), Food and Beverage Service, Jaico Publication, Bangalore
5. Andrew Durkan and John Cousins (2009), The Beverage Book, Hodder & Stoughton,
UK.
6. F&B Service Study Material prepared by Dept. of BBA-HEM, PES University.
Unit I
5 Hours
Interior decoration & colour: Introduction & definition, design & elements of design,
principles of design, psychological impact of colour, colour wheel, colour scheme & types of
colour scheme
Unit II
4 Hours
Refurbishment and redecoration, & wall covering: definition & factors, procedure and
task involved snagging list, introduction & selection of floorings, types of floor coverings
Unit III
5 Hours
Soft furnishing: curtains, fabric used for curtains, weave, procedure for fixing curtains, care
and cleaning of curtains, blinds, care and cleaning of blinds, loose covers, care and
maintenance of loose covers, cushions and bolsters & its cleaning
Unit IV
6 Hours
Controlling expenses: the budget process, planning the operating budget, budget and
income statements, budgeting expense, controlling expense, purchasing systems and
capital budgets
Unit V
6 Hours
Pre-opening procedures: recruitment, areas inventory, establishing best practices,
reverse count down
Reference Books
1. Singh, M. (2012), Hotel Housekeeping, Tata McGraw-Hill, New Delhi.
2. G. Raghubalan & Smritee Raghubalan (2008), Hotel Housekeeping: Operations &
Management, Oxford University Press, New Delhi.
3. Sunita Srinivasan (2004), Theory & Practices of Professional Housekeeping, Anmol
Publication Pvt. Ltd. Bangalore
Unit III
5 Hours
Promotion and media: purpose of promotion, use of different media print, networking,
radio, T.V, internet, outdoor media etc, sponsorships, factors to make promotions effective
Unit IV
6 Hours
Conduction of the event :organizing, staffing, systematic supervision to ensure proper
distribution and discharge of duties, leading, co-ordination, controlling, fire fighting skill,
putting things back to the place
Unit V
5 Hours
Event safety and security: security, occupational safety, crowed management, major risks
and emergency planning, incident reporting, emergency procedures, Fire fighting
requirements
Reference Books
1. Anton Shone & Bryn Parry (2004), Successful Event Management A Practical
Handbook, 2nd Edition, Cengage Learning EMEA.
2. Sanjaya Singh Gaur, Sanjay V, Saggaere (2003), Event Marketing and
Management, Vikas Publishing, Noida.
3. Rapuda (2003), Media & Communication Marketing Management, Himalaya
Publishing House, Bangalore.
4. Goldblatt (2000), Best Practices in Modern Event Management, 2nd Edition John
Wiley & Sons, USA.
5. C.B. Mamoria, R L Joshi, N. I. Mulla (2003), Principles and Practice of Marketing in
India, Kitab Mahal, Odisha.
6. Razaq Raj, Paul Walters & Tahir Rashid (2008), Event Management, An Integrated &
Practical Approach, SAGE Publications, Delhi.
7. Ashutosh Chaturvedi (2009), Event Management, A Professional Approach Global
India Publications, Delhi.
8. Event Management study material prepared by the Department of BBA-HEM, PES
University.
Unit III
6 Hours
Cash book: introduction and types of cash book,practical problems on three column and
analytical petty cash book on imprest system with special reference to cash received book
used in hotels.meaning, importance and reasons for preparing bank reconciliation statement
Unit IV
4 Hours
Accounting Principles: accounting concepts and conventions,capital, revenue and
deferred revenue expenditures and incomes.
Unit V
8 Hours
Trial balance: importance, purpose and advantages,practical problem on preparation of trial
balance,final accounts of small hotels and restaurants ,need for preparation of trading
account, profit andloss account and balance sheet,practical problems on trading account,
profit and loss account and balance sheet with following,adjustments only: closing stock,
outstanding andprepaid expenses, accrued and pre- received incomes, depreciation of fixed
assets and staff meals.
Reference Books
1. T.S.Grewal (2004), Double Entry Book-keeping, 11th Revised Edition, Chand & Sons,
New Delhi.
2. S.P Jain & K.L Narang (1999), Hotel Accountancy & Finance, Kalyani Publishers,
New Delhi.
3. Ozi DCunha Gleson Ozi DCunha (2000), Hotel Accounting & Financial Control Dickey Enterprises, Mumbai.
4. L.N.Chopde, D.H.Choudhari (1999), Book Keeping & Accountancy, 14th Edition,
Sheth Publishers Pvt. Ltd., Mumbai.
5. Richard Kotas (1981), Accounting in the Hotel & Catering Industry, 4th Edition,
International Textbook Company.
Job analysis and job description - introduction, job analysis, job description, job
requirements versus personal qualities, information collection methods, design of job
description, uses of job description
Unit II
6 Hours
Recruitment, selection, induction, placement:introduction, recruitment, selection, the
process of recruitment, methods of recruitment, selection test, limitations of selection tests,
interviews, limitations of interviews, physical examination.importance of proper induction,
induction process, induction program, placement, induction as an integrated part of training
Unit III
4 Hours
Training & development:defining training and development, training - need, benefits and
development, methods determining raining needs, training policy and effective training
program, training methods, evaluation of training and development, retraining, management
development - needs and concepts, techniques
Unit IV
4 Hours
Motivation, productivity & counselling:introduction, issues in managing people, maslows
need theory, social needs and productivity, hygiene factors and motivators, creating proper
motivational climate
Employee counselling - introduction, what is counseling, need for counseling, counseling
function, counselors, skills and techniques, types of counseling
Unit V
6 Hours
Performance monitoring and reward policies:introduction, performance appraisal,
performance appraisal from an organizational perspective, the problems of validity and
reliability, methods of appraisal, making performance appraisals more effective,
Rewards policies - introduction, need for a transfer policy, types of transfer, promotion and
promotion policy, rewards policies and processes, vehicles for rewards, transfer and
promotion
Reference Books
1. Subbha Rao. P. (2003), Personnel & Human Resource Management: Text & Cases,
Himalaya Publishing House, Bangalore.
2. Ashwathappa. K, (2013), Human Resource Management: Texts & Cases, 7th
Edition, McGraw Hill Education (India) Pvt. Ltd.
Unit II
6 Hours
Basic food groups and their nutrient contribution : carbohydrates : classification, sugars,
starch, cereals, sources, functions and recommended dietary intake
Protein : plant and animal protein, classification by structure, classification by quality,
sources, functions, factors influencing protein requirements oils & fats : composition &
classification, nutritive value & digestibility, effect of heat on fats, changes during storage,
prevention of fat spoilage, hydrogenation vegetables : classification, effect of heat, nutritional
losses, buying, care & storage fruits & fruit preparations : composition, nutritional
contribution, ripening of fruit, preparation of fruit
Unit III
6 Hours
Food preservation:methods of food preservation,bacteriostatic methods,dehydration
Preservation by osmotic pressure:use of oil and spices,use of acid,use of chemical
preservatives,preservation by temperature control,food irradiation
Unit IV
6 Hours
Food adulteration and food laws: food laws,ISI standards,agmark standard,export
inspection council,standards of weights and measures,common adulterants and their ill
effects,consumer protection agencies (government & voluntary)
Unit V
6 Hours
Hygienic food handling: purchasing and receiving safe food,food storage : dry store,
refrigerated store, freezer store,sanitary procedures while preparing, cooking, holding,
serving and displaying food,haccp and safety at the work place, hazard analysis and critical
control pointtypes of accidents,how accidents take place; precautions to prevent
Reference Books
1. Sumati R. Mudambi, Shalini M. Rao, M. V. Rajagopal (2007), Food Science,New Age
International (P) Ltd.
2. Sunetra Roday (2004), Food Science & Nutrition, Oxford University Press.
3. B. Srilakshmi (2003), Food Science, New Age International.
4. S. Roday (2004) Food Hygiene and Sanitation, 2nd Edition, Tata McGraw-Hill
Education.
5. L. Kathleen Mahan MS RD CDE, Sylvia Escott-Stump MA RD LDN (2007) Krauses
Food Nutrition & Diet, Therapy, 11th Edition, Saunders Publisher.
Biryani,
Chappaties,Baghrara
Course objective
To equip students with advanced skills in housekeeping operations
Course outcome
By the end of the semester student will be capable of:
1. Making different styles of flower arrangements
2. Demonstrating supervisory skills required for housekeeping department
3. Using chemicals to remove different types of stains
4. Preparing budgets & calculating par stock
Practical (Each of 2 Hours)
1. Preparation and use of Snagging List
2. Preparation of Duty roaster
3. Preparation of budgets
4. Calculation of Par-stock
5. Case studies
6. Stain Removal Tea, Coffee, Mud, Shoe Polish, Gravy, Cream, Nail Polish, Wine,
Lipstick, Chewing gum
7. Flower Arrangement Symmetrical, A-Symmetrical, Circular, Linear, Vertical,
Horizontal
8. Presentation on wall ,fixture and fittings floor coverings
9. Practical Test - Test based on Previous Practical
Text Books:
1. Singh, M. (2012). Hotel Housekeeping. Tata McGraw-Hill., New Delhi.
2. G. Raghubalan & Smritee Raghubalan (2012), Hotel Housekeeping: Operations &
Management, Oxford University Press, New Delhi.
3. Sunita Srinivasan (1998), Theory & Practices of Professional Housekeeping; Anmol
Publication Pvt Ltd. Bangalore.
elasticity of demand. Law of supply, Elasticity of supply, Demand forecasting: Meaning &
Significance, Methods of demand forecasting
Unit III
11 Hours
Cost Analysis and Profit Analysis: Concepts, Types of cost, Cost curves, Cost Output
Relationship in the short run and in the long run, LAC curve. Basic concepts of profits,
Theories of profits, Profit maximization, Profit planning, Costvolumeprofit relationships and
breakeven analysis.
Production analysis: Concepts, production function with one variable input Law of Variable
Proportions. Functions with 2 variable inputs and Laws of returns to scale. Indifference
Curves,ISOQuant's & ISOCost line, Economies & Diseconomies of scale
Unit IV
11 Hours
Market structure and pricing practices: Perfect Competition, Features, Determination of
price under perfect competition. Monopoly: Features, Pricing under monopoly. Price
Discrimination, Monopolistic Competition: Features, Pricing Under monopolistic competition,
Product differentiation. Oligopoly: Features, Kinked demand Curve, Cartels, Price leadership
Unit V
10 Hours
Theory of the Firm: Firm and Industry, Forms of Ownership, Objectives of the firm,
alternate objectives of firm, Managerial theories: Baumols Model, Marriss Hypothesis,
Williamsons Mo del. Behavioral theories: Simons Satisfying Model, Cyert and March Model.
Agency theory
Text Book:
1. Samulelson and Nordhaus (2013), Micro Economics, 19TH Edition, , McGrawHill.
2. Atmanand (2012), Managerial Economics, 2nd Edition, Excel Books.
Reference Books:
1. Truett, Lila J. Truett, Dale B. (2004), Managerial economics : analysts, problems,
cases, , 8th Edition., John Wiley and Sons, New Jersey
2. D.N. Dwivedi (2008), Managerial Economics, 7th Edition,Vikas Publishing House,
Noida.
10 Hours
Foundations of group behavior: Work groups & Tea ms, Conflict and Negotiation. Power
and Politics, Leadership Theories and Contemporary Issues Case study on above
mentioned topics.
Unit III
11 Hours
Organizations and organization theory: Definition, Types, importance of Organizations.
Perspectives and dimensions of organization design. Evolution of organization theory and
design contemporary organization design. The role of strategic direction in organization
design, Organization purpose. Framework for selecting the strategy and design Porters
competitive strategies, Miles and Snows strategy typology and others. Assessing
organization effectiveness, contingency effectiveness approaches
Case study on above mentioned topics.
Unit IV
10 Hours
Fundamentals of organization structure: Organization Structure, Organizational Design
alternatives, functional, divisional, geographical, Matrix structures. Horizontal structures,
Modular, Hybrid structures. Case study on above mentioned topics.
Unit V
11 Hours
Fundamentals of HRM: Introduction, meaning and significance of HRM, Major functions of
HRM, Line functions and staff functions, HR Competencies. Recent trends in HRM
Case study on above mentioned topics.
Text Book:
1. Stephen P Robbins, Timothy A. Judge, Neharika Vohra (2009)., Organizational
behavior, 14th Edition, Pearson Education, New Delhi.
Reference Books:
1. Fisher, Cynthia D. Schaenfeldt, Lyle F. Shaw, James B. (2009), Human Resource
Management, 6th Edition, Biztantra Publishers, New Delhi
2. Daft, Richard L (1994), Organization Theory and Design, 8th Edition, Thomson
Learning, Australia
Reference Books
1. Chaturvedi, P D. Chaturvedi, Mukesh. (2004), Business Communication: Concepts,
Cases And Applications", Pearson Education, New Delhi
Reference Books:
1. Kuchhal, M C (2009),Business Law, 5th Edition, Vikas Publications, New Delhi
2. Gulshan, S S (2006), Business Law, 3rd Edition, Excel Book, New Delhi
8 Hours
Unit III
8 Hours
Decision theory: Decision under uncertainty Maxmin & Minmax, Decision under Risk
Expected Value, Decision tree problems.
Linear programming: Structure of Linear programming Model, Formulation and Graphical
method for solution, Duality in Linear programming.
Unit IV
8 Hours
Transportation Model: General structure, Methods of finding initial basic solution Vogels
approximation method. Test for optimality and Reading the solution from final solution
(Concept, Interpretations and inference only).
Assignment model: Introduction, General structure, Problems on minimization and
maximization.
Theory of games:formulation of game models, Two person Zero sum games & their
solution, 2 x N and M x 2games, pure strategy games with saddle point, Mixed Strategies
Algebraic method (Concept, Interpretations and inference only).
Limitations
Unit V
7 Hours
Queuing theory:General structure of queuing system, operating characteristics of queuing
System, Queuing models (Theory Only).
Project network analysis: Introduction, Basic concepts, Analysis by Critical Path Method
CPM). Analysis by PERT Technique and Theory of crashing.
PESU Student Handbook 2015-16 469
Informal risk capital and venture capital; Business Planning Meaning and Business planning
process; Advantages of business planning; Marketing plan, Production/operations plan,
financial plan, Organizational plan; Identification of business opportunities; business
feasibility study
Unit IV
8 Hours
Project management: Definition and Process; Project Management hierarchyprogrammes,
projects, processes, activities, tasks; Conceptual idea of the triple constraint: Time, cost,
scope; Planning & scheduling Tools Brainstorming, Fishbone diagrams, Bar charts , Gantt
Charts, WBS, Network diagramsCPM and PERT Concept and applications; Accelerating
projectscrashing.
Unit V
7 Hours
Project Monitoring, Progress Reporting, Updating Plans, Review Meeting: Program
reviews, Task review; Common Causes Of Schedule Delays ; Completing a project
understanding the elements. Effect of team size and project duration on project
performance; Expectations of the organization on the PM; Skills needed by a Project
manager; Conflict resolution.
Practical Components (2 Hours each)
1. Introduction to project tools
2. Organizing College sports event (cricket) contd...
3. Organizing College sports event (cricket)
4. Organizing DJ night
5. House Construction
6. Introduction to project charter A case on Hotel International contd....
7. Introduction to project charter A case on Hotel International contd...
8. Introduction to project charter A case on Hotel International
9. Organizing an International conference for MBA department
10. Starting of a new business Venture (E Commerce set up) contd.
11. Starting of a new business Venture (E Commerce set up)
12. Customer survey
13. Final Assessment
Text Books
1. Hisrich, Robert D. Peters, Michael P. Shepherd, Dean A (2005), Entrepreneurship,
6th Edition, Tata McGraw Hill.
Reference Books:
1. Clements, James P. Gido, Jack. (2006), Effective Project Management, Cengage
Learning, New Delhi.
2. Mantel, Samuel J. Meledith, Jack R. Shafer, Scott M (2005), Project Management : Core
Text Book, 1st Edition, John Wiley & Sons, New Delhi.
Course outcome
At the end of the course students are able to:
1. Have an understanding of macro environmental factors affecting International
Business
2. Describe the impact of competitive and global financial environment on business
decision making processes worldwide
3. 3. Design and manage global strategy, structure, functional level international
operations and implement the same.
Unit I
8 Hours
Introduction to international business: Objective, Scope, Importance and Current Trends,
Domestic Business v/s International Business
Reasons for International Business For Corporate and Country, Modes of Entry and
Operation
International business strategy: Industry, strategy and firm performance, Global
integration and local responsiveness, Types of strategy, Firm as value chain
Unit II
7 Hours
Basics of macroeconomics for international business: National Income, Business
Cycles, Inflation, Unemployment
PEST factors and impact on international business: Risk Analysis, Decisions to
overcome or managing risks a live current case.
Unit III
8 Hours
International trade and Factor Mobility theory: Theories of Trade patterns, Statics and
Dynamics of Trade, Factor Mobility theory
Government influence on Trade Conflicting results of trade policies, Economic and
Noneconomic rationale, Instruments of Trade Control, Dealing with governmental trade
influences.
Unit IV
8 Hours
CrossNational Cooperation and Agreements: WTO, GATT, Regional economic
integration, various regional organizations
Global foreign exchange markets Foreign exchange, How companies use foreign
exchange.
Unit V
8 Hours
Export and Import strategies: Export strategy, Import strategy.
FDI and Collaborative strategies FDI, Why companies collaborate, Types of collaborative
arrangement.
Organization of International Business Organization structure, Coordination and control,
Organization culture.
Text Book
1. Daniels, John D. Radebaugh, Lee H. Sullivan, Daniel P (2010), International
Business: Environment and Operations, 12th Edition, Pearson Education, New Delhi.
Reference Books
1. Hill, Charles W L. Jain, Arun K (2007), International Business: Competing in the
Global Market Place, 6th Edition, Tata McGrawHill, New Delhi.
2. Bennett, Roger (1999), International Business, 2nd Edition, Pearson Education,
New Delhi.
UM15MB553 MARKETING MANAGEMENT (3-0-2-0-4)
PESU Student Handbook 2015-16 473
Course objectives
This course is designed to improve the students understanding of the basic concepts of
marketing management. Students will understand the function of marketing in a competitive,
dynamic business. Students will comprehend the key elements in developing a marketing
strategy and planning a marketing program by covering topics such as customer
segmentation,
positioning,
branding,
consumer
research,
pricing,
marketing
communications, new product development, and channel strategy.
Course outcome
At the end of the course student s are be able to:
1. Explain the role and functions of marketing in a range of organizations.
2. Exhibit the Skills of applying the introduced conceptual frameworks, theory and
techniques to various marketing contexts.
3. Describe and analyze the marketing behavior of firms and consumers.
Unit I
8 Hours
Introduction & understanding the customer: Defining Marketing for the 21st century,
Developing Marketing Strategies and Plans, Gathering Information and scanning the
environment, Conducting Marketing Research, Creating Customer Value, Satisfaction and
Loyalty.
Unit II
8 Hours
Connecting with customer, building strong brands & shaping the market offerings:
Analyzing Consumer Markets & Business Markets, Identifying Market Segments and Targets
Competitive forces, competitive strategies, dealing with competition, Creating Brand Equity
definition, building, managing , and devising strategies,.
Unit III
8 Hours
Brand positioning: Crafting the Brand Positioning developing and communicating
positioning strategies and PLC strategies.
Product marketing: Product characteristics and classification and product and brand
relationships, packaging and labeling
Unit IV
8 Hours
Services marketing:Nature of services, managing service quality and brands, Designing
and Managing Services, Developing Pricing Strategies and Program.
Delivering and communicating value: Designing and managing Integrated Marketing
Channels, Managing Retailing, wholesaling and logistics.
Unit V
7 Hours
Integrated Marketing Communication: Designing and Managing IMC, Managing Mass
Communication & Persona l Communication, Introducing New Market Offerings.
Practical Components (2 Hours each)
1. Introduction to SPSS
2. Data Sheet & Coding the data sheet
3. Frequency tables, descriptive statistics, graphs and Charts
4. Hypothesis testing; Chisquare( Marketing application of Chisquare)
5. Independent sample t test
6. Paired sample t test
7. One way Anova
8. Two way Anova
9. Correlation
10. Regression Analysis
PESU Student Handbook 2015-16 474
Unit IV
11 Hours
Resource requirements planning system, material requirement planning, objectives of
MRP, elements of MRP, BOM, and benefits of MRP, Capacity Requirement Planning.
Materials managementrole of materials management: Materials and profitability,
Purchase functions, Procurement procedures including bid systems, Vendor selection and
development, Vendor rating, Ethics in purchasing, Concepts of lead time, purchase
requisition, purchase order, forms used and records maintained.
Inventory management: Concepts of inventory, types, Classification, selective inventory
management, ABC, VED, VendorManaged Inventory, Inventory models EOQ, safety
stocks, Re order point, Quantity discounts
Unit V
11 Hours
Quality management basic concepts: Quality of products / services dimensions of quality,
Relationship between quality, productivity and cost, Jurans quality trilogy,
Demings 14 principles, Quality improvement and cost reduction 7 QC tools and 7 new QC
tools, PDCA cycle, Quality circles, Quality Function Deployment and its benefits
Quality control: Statistical concepts in quality control, Control charts, Acceptance chart,
Control charts, Acceptance chart.
Quality management recognition: Malcolm Balridge National Quality Award, Demings
prize, ISO 9000 Standards and six sigma concepts
Job shop scheduling n jobs on 2 machine, n jobs on 3 machines, Numerical problems on
job shop scheduling
Text Books
1. Norman Gaither & Greg Frazier (2002), Operations Management, 9th
Edition,Thomson South, Australia.
Reference Books
1. William J. Stevenson (2007), Operations Management, 9th Edition, Tata Mc Graw Hill,
New Delhi..
2. Chase, Richard B. Jacobs, F Robert. Aquilano, Nicholas J (2006), Operations
Management,11th Edition, Tata McGraw Hill, New Delhi.
Time value of money: Future Value of single cash flow and annuity, Present Value of single
cash flow, Annuity and perpetuity, intra year compounding and discounting, doubling period,
effective interest rate and loan amortization.
Unit II
10 Hours
8 Hours
Engines and Excalibur pistons. Negotiate on a win/win strategy when both the buyer
and the seller are strong.
5. Case study: How giving face can brew success. Negotiation Success through
learning to work within Cultural differences. Role play: Negotiation Role play
6. Negotiation styles and frame work. Role play exercises: Persuasive style, Assertive
style. Empathizing style and Bridging Style
7. Case study on the negotiation problem and Resolution. Third party intervener,
winwin negotiation badly executed
8. Case study on power negotiation. Case study on negotiation over confidence
9. Creative problem solving in negotiations. The problem salesmanRamesh Sisodia
10. At times playing can get a little rough. This case is about consumer negotiations
11. Negotiating with wall mart buyers. This case is about negotiating with a powerful
buyer.
12. Case study: When diversity is the Issue.
13. Revision
8 Hours
Week 2
Week 3
Week 4
Week 5
Week 6
1. Introduction;
2. History of platform how it was develop 39,000 worldwide
3. Make everyone login - update profile
4. Brief go through help document.
5. Assessment
6. Create a task, comment, ole
7. Explain OR --- how it can be achieved using Club VA, Communication
8. Game VA leader board update and standing
1. Create Vision and Mission for Company
2. Create Vision and Mission for Dept.
3. Purpose and Tagline
4. Create a profile of their company (create this by reading about organizations
similar to these)
5. With and Without ClubVA
6. Game VA leader board update and standing (Points scheduled will be
determined)
1. 5 year plan for company - in terms of strategic objectives
2. 1 year strategic Plans - strategic plans should be bullet points
3. Sustainable competitive advantage of the company
1. Build an AOP for your company
2. List the factors you have considered while building the AOP
1. Build your dept. goals based on AOP - 5 goals
1. What is the goal?
2. How will the goal impact the AOP (top line, bottom line, Efficiency)?
3. Who will do it?
4. What is the measure?
5. What is the OR?
6. Plans With and Without ClubVA
7. Leaderboard review
1. Build detailed plans based on Dept goals. (detailed plans should span
quarters)
Week 7
Week 8
Week 9
Week 10
Week 11
Week 12
Week 13
2. Plans should detail how, when and who will be executing it to success
3. Plans With and Without ClubVA
1. Dependencies between departments.
2. Department RYG and Slow, Steady, & Fast Modes
3. With and Without ClubVA
4. Game VA leader board update and standing
1. Once the students are done building Plans --- VA to act as CEO and get the
teams to defend its Plan. Going to fun boys and girls!!!!
2. With and Without ClubVA
3. Leaderboard Review
1. Learn to manage by data, with scenario's in Club VA
2. Operational Excellence Canvas
3. Managing via ED
Test High Water Mark: Simulation exercise to validate Plan
Test Low Water Mark: Simulation exercise to validate Plan
Creating RCA, SOP, Assesment
Lab Assesment
Text Book:
1. Hill, Charles W L. Jones, Gareth R., (2008), Strategic Management: An Integrated
Approach, 6th Edition, Cengage Learning, New Delhi
Reference Books:
1. Arthur A. Thompson Jr., AJ Strickland III, John E Gamble (2013), Crafting and
Executing Strategy: The Quest For Competitive Advantage, Concepts and Cases,
18th Edition, Tata McGraw Hill, New Delhi.
2. Kenichi Ohmae (1982), Mind of The Strategist: The Art of Japanese Business,, Tata
McGrawHill, New Delhi
UM14MB602 MANAGEMENT INFORMATION SYSTEMS (3-0-2-0-4)
Course objectives
To familiarize the student with the concepts of information technology and their various
applications to business processes.
Course outcome
At the end of this course, the students are able to:
1. Explain the fundamental concepts of information systems (IS) in business.
2. Exhibit the skills required to manage information systems in business organizations.
3. Apply the concepts of information systems to gain competitive advantage in business
organizations.
UnitI
8 Hours
Fundamentals of Information systems in business: Role of information systems in
business today, Components of information systems, Types of information systems:
Transaction Processing System (TPS), Management Information System (MIS), Decision
Support System (DSS), Executive Support System (EIS or ESS), Expert System (ES)
Unit II
8 Hours
Information system strategies for competitive forces:Relationship between information
systems and organization, Impact of information systems on business organizations, Role of
information systems to achieve the competitive advantage
PESU Student Handbook 2015-16 481
Unit III
8 Hours
Enterprise business systems:Enterprise resource planning, Business value of enterprise
systems, Customer relationship management, Business value of customer relationship
management ,Supply chain management, Supply chain management software
Unit IV
8 Hours
ECommerce fundamentals:Introduction to electronic commerce, Scope of e commerce, e
commerce process, Internet business models, types of electronic commerce, Mcommerce,
electronic commerce payment systems
Unit V
7 Hours
Building and managing systems: Overview of systems development, Systems
development Life Cycle, Development processes
Security, ethical and societal challenges of IT: Hacking and cracking, cyber theft,
software piracy, theft of Intellectual property, computer virus, current state of cyber law
This course provides the central ideas and theories of modern finance and develops
familiarity with the analytical technique helpful in financial decision making.
Course outcome
At the end of the course the students are able to :
1. Explain the concepts of working capital sources, cash forecasting, Credit policies of
business venture, Inventory techniques models, Agency problem and others
2. Exhibit skills in estimating, evaluating and interpreting the Working capital leverage,
optimum size of cash, receivables and inventory etc.,
3. Identify, estimate, evaluate the Maximum permissible bank finance, credit risks, EPS
and determine the good financial planning.
Unit I
10 Hours
Working capital policy and financing: Current assets financing policy, (No problems on
estimation of Working Capital) Trade credit, Bank credit and mode of securities, Scoring and
rating model, Commercial papers, RBI guide lines on Certificate of deposits, Factoring,
Working Capital Leverages.
Unit II
10 Hours
Cash and liquidity management: Objectives of cash Management, Factors determining
cash needs, Motives for holding cash, Forecasting Cash flows-Cash Budgeting, Long Term
cash forecasting, monitoring collections and receivables, Optimum cash balancesInvestment of Surplus Funds, Strategies for managing surplus funds. Cash Management
conversion Models- Baumol Model, Miller-Orr Model,
Unit III
10 Hours
Credit management: Credit policy variables; Credit standards, Credit period, Cash
discount, collection effort. Credit evaluation; Traditional credit analysis, Numerical credit
scoring. Credit granting decision, Control of Account receivables; Days sales outstanding,
ageing schedule, Collection matrix, Credit management in India.
Unit IV
10 Hours
Inventory management: Objectives, Costs and Benefits of holding Inventory, Inventory
Control Techniques; ABC system, Economic Order Quantity, Just in Time, Determination of
inventory control levels; Ordering, Reordering, Danger level, Inventory Management in India.
Unit V
12 Hours
Theories of capital structure and dividend policy: NI approach, NOI approach, Modigliani
Miller approach, Arbitrage process in capital structure. Relevance and Irrelevance dividend
decision. Walters & Gordons Model, Modigliani & Miller approach. Dividend policiesstable
dividend, stable payout and growth.
Corporate financial modeling: Agency Problem and consideration. Effect of inflation on:
Asset Value, Firm Value, returns. Financial PlanningBasis of Financial planning, Sales
forecast method, Proforma P & L account Method, Proforma Balance Sheet Method,
Determination of External Financial Requirement [EFR], Economic Value Added [EVA]
concepts, components of EVA, Market Value Added [MVA]
Text Books:
1. Prasanna Chandra. (2011), Financial ManagementTheory and Practice, 8th Edition,
TMH Education private Ltd., New Delhi.
Reference Books:
1. Pandey, I M (2011), Financial Management, 10th Edition, Vikas Publishing House
Pvt. Ltd., New Delhi
2. Khan, M Y. Jain, P K (2004), Financial Management: Text, Problems and Cases, 4th
Edition, Tata McGraw Hill, New Delhi
PESU Student Handbook 2015-16 484
Donald E Fischer & Ronald J Jordan (1995), Security Analysis and Portfolio
Management, 6th Edition; Pearson Education, Delhi
UM14MB607 MANAGEMENT ACCOUNTING (4-0-0-0-4)
Course objectives
This course provides the students an understanding of relevance of cost in managerial
decision making. This course provides a comprehensive knowledge of classification of cost,
apportionment of overheads, process costing, activity based costing, segmental reporting,
preparation of budgets and cost volumeprofit analysis for decision making and cost control
and
PESU Student Handbook 2015-16 485
Course outcome
At the end of the course, students are able to
1. Explain the concepts of unit costing activity based costing, , apportionment of
overheads, process costing, segmental reporting and budgeting.
2. Exhibit skills in Identifying, Measuring and analyzing costing data.
3. Provide alternative solutions to cost control and related cost
management
applications in practice.
Unit I
10 Hours
Introduction : Basic Concepts of costs and Cost Classification, Centers, Profit Centers and
Investment Centers, Cost unit, Cost analysis for Management Decision Making.
Cost Sheet and Unit Costingpreparation of tenders and quotations and Job Costing.
Differences between Job Costing and Unit Costing.
Unit II
10 Hours
Apportionment of overheads and budgetary control: Accounting for Factory Overheads,
Differences between Allocation and Absorption Apportionment of Overheads Primary
Distribution of Overheads and Secondary Distribution of Overheads Repeated Distribution
Method and Simultaneous Equation Method. Overhead Absorption rates Treatment of
Overabsorption and Underabsorption of Overheads. Reasons for over and under absorption
Meaning of Budgetary Control and budgeting Preparation of Production Budgets and
Flexible Budgets.
Unit III
12 Hours
Cost volume profit analysis: cost volume profit (cvp) relationship, profit planning ,behavior
of expenses, assumptions of CVP Model ,Sensitivity Analysis, Marginal and Differential
Costing Decisions involving Make or Buy, Acceptance or Rejection of Special Orders,
Product Mix, Sell or Process further Shut Down or Continue Product and Pricing Decisions.
Unit IV
10 Hours
Process costing: Meaning, Features of Process Costing, Treatment of Normal and
Abnormal Losses and Gain in Process Accounts. Preparation of Process Accounts
Accounting Meaning Joint and ByProducts.
Unit V
10 Hours
Activity based costing: Cost Drivers, Cost Analysis at unit level, Batch Level and Product.
Merits and Demerits of ABC, Problems on ABC Costing.
Cost reduction and cost control, Management Reporting purpose of Reporting, Segment
Reporting, Applicability of Accounting Standard 17, Objectives and users of Segment
Reporting,
Text Books
1. Jiambalvo, James. (2004), Managerial Accounting, 2nd Edition, Wiley India
Publications, New Delhi.
Reference Books
1. Khan, M Y. Jain, P K (2000), Management Accounting, 3rd Edition, Tata McGraw
Hill, New Delhi.
2. Jain, S P. Narang, K L. (2012), Cost Accounting: Principles and Practice, 23rd.
Edition, Kalyani Publishers, Ludhiana.
UM14MB608 SALES & DISTRIBUTION MANAGEMENT (4-0-0-0-4)
Course objectives
PESU Student Handbook 2015-16 486
Unit IV
11 Hours
Role of groups, family & reference groups in influencing consumer behaviour:Meaning
and Nature of Groups, Types, The changing structure of family, Family decision making and
consumption related roles, Key family consumption roles, Dynamics of husband-wife
decision making, The expanding role of children in family decision making, Understanding
the power & benefits of reference groups, Types of reference groups, Reference Group
appeals
Unit V
11 Hours
Consumer influence & diffusion of innovations:Dynamics of opinion leadership process,
Opinion Leadership & Marketing Strategy, Diffusion Process, Adoption Process, Categories
of Adopters, Post Purchase Processes, Customer Satisfaction, and customer commitment,
Post purchase dissonance, Product use and non use, Disposition, Product disposition
CRM & online decision making:Meaning & Significance of CRM, Types of CRM,
Strategies for building relationship marketing, eCRM, Meaning, Importance of eCRM,
Difference Between CRM & eCRM, Meaning and Steps of Online Decision Making
Text Books
1. Leon Schiffman, Lesslie Lazar Kanuk (2010), Consumer Behaviour, 10th Edition,
Pearson education, New Delhi.
Reference Books
1. Hawkins, Del I. Mothersbaugh, David L. Mookerjee, Amit. (2010),Consumer
Behaviour Building marketing Strategy,11th Edition, Tata Mcgraw Hill, New Delhi.
2. Sheth, Jagdish N Mittal, Banwari Newman, Bruce (1999), Customer Behavior: A
Managerial Perspective, 2nd Edition, Cengage Learning, New Delhi
Unit II
8 Hours
Customer perceptions of Service: Customer Perceptions, Customer Satisfaction, Service
Quality, Service Encounters
Listening to customer through research: Using customer research to understand
customer expectations, elements in an effective service research program, analyzing and
interpreting customer research findings, upward communication
Building customer relationships:Relationship Marketing, Customer Profitability Segments,
Relationship Development Strategies
Unit III
8 Hours
Service innovation and design: Types, Stages, Service Blueprinting
Customer defined service standards: Factors Necessary for appropriate service
standards, Types of Customer Defined standards,
Physical evidence and the service scape:Definition, Types of Physical Evidence,
Strategic Roles, Guidelines for Physical evidence strategy
Unit IV
8 Hours
Employees role in service delivery:Service Culture, The critical role of service employees,
Boundary Spanning Roles, Strategies for Delivering Service Quality through people
Customers role in Service delivery: Customer Roles, Strategies for Enhancing Customer
Participation,
Delivering Services through intermediaries: Service Distribution, Direct/Company Owned
Channels, Franchising, Agents and Brokers, Electronic Channels
Unit V
7 Hours
Integrated services marketing communications:Need, Key reasons for Service
Communication Challenges, Four Category of Strategies to match service promises with
delivery
Pricing of services:3 key ways that service process are different for consumers,
Approaches to pricing services, Pricing Strategies
Text Book
1. Zeithaml, Valarie A Bitner, Mary Jo (2003), Services Marketing: Integrating Customer
Focus Across The Firm, 3rd Edition, Tata McGraw Hill, New Delhi.
Reference Books
1. Christopher Lovelock, Jayanta Chatterjee, Jochen Wirtz (2006), Services Marketing,
7th Edition, Pearson Education, New Delhi
2. Clow, Kenneth E. Kurtz, David L. (2003), Services Marketing: Operation,
Management, and Strategy, 2nd Edition, Biztantra, New Delhi.
Unit I
10 Hours
Introducing a talent management system: Talent Management Definition Building
blocks of a Talent Management Role and Importance of Job Core Competencies through
Job Descriptions, Job Analysis, and Job Design Elements of Talent PlanningUnderstand and
explain creation of a Diversity initiative into the Talent management process
Developing the building blocks of talent management: Talent acquisition eRecruitment
systems and how to evaluate and screen electronic resumes and applications for the best
talent while conforming to the legal issues in the hiring process.
Unit II
10 Hours
Talent planning: Performance Management Systems in organizations and their relationship
between rewards to performance. Develop a Career Track Planning process Evaluating
Internal and External Recruitment Strategies, Selection techniques.
.Coaching, training and development: Develop an understanding of Coaching, Training
and Development
Unit III
10 Hours
Performance appraisal techniques: Assessment Centres,BARS,360 degree feedback
system, Balanced Score card
Career planning and succession planning
Employee turnover: Methods to study turnovers & methods to retain employees
Unit IV
11 Hours
Performance monitoring and counseling services: Performance Monitoring: Concept,
DSMC/ATI model, Process of Performance monitoring, On going Mentoring & protg
development, Mentoring benefits to managers. Role of HR Professionals in Performance
Management, Ethics in Performance Management
Emergence and growth of counseling services: Approaches to counseling, counseling
process Beginning, Developing and terminating a counseling relationship and follow up.
Counselors attitude and skills of counseling, Assessing Clients problems
Unit V
11 Hours
Using information technology to support a TM system: HRIS systems and Talent
Management System Outsourcing, Contingent, Contract /temporary workforce Data Security
and Reporting Essentials in a Talent Management System
Text Books
1. Berger, Lange A. Berger, Dorothy R (2003), The Talent Management Handbook:
Creating Organizational Excellence by Identifying, Developing, and Positioning Your
Best People, 1st Edition, Tata McGrawHill, New Delhi.
Reference book
1. Allan Schweyer (2010),Talent Management Systems: Best Practices in Technology
Solutions for Recruitment, Retention and Workforce Planning 1st edition, Wiley, New
Delhi.
2. Herman Aguinis (2007), Performance Management, 1st Edition, Pearson Education,
New Delhi.
Course outcome
At the end of the course students are able to:
1. Relate compensation management to behavioral theories and concepts of human
resources management.
2. Identify the internal and external environmental factors that have an impact on the
pay structure of an organization.
3. Understand the employers obligations under labor statutes governing wage and
salary administration.
4. Understand the employee/workers rights under the Acts , Consequences of non
compliance of the statutes , The powers of the government/authorities under the Act
Unit I
10 Hours
Evolution of labour law and industrial relation: Chronological order of labour movement
in India, Constitutional provisions of labour law, Labour Commissions and their
recommendations. International Labour Organization and its role, Employee unions,
Employer unions and Labour department of the government.
Unit II
10 Hours
Collective bargaining, negotiation and service conditions: Collective bargaining and
Negotiation of service conditions, Non unionized organizations and industrial relation with
non unionized workers.
Labour laws with latest amendments: Trade Union Act 1926, Industrial disputes Act 1947.
Factories Act 1948, Contract Labour (Regulation & Abolition) Act 1970, Apprenticeship Act
1961.
Unit III
10 Hours
Law and procedure for employee discipline: Meaning and significance of employee
discipline, Service rules and standing orders, Misconduct, Show cause notice, Investigation,
Enquiry and Charge sheet, Principles of natural justice and Enquiry procedure, Sexual
Harassment cases in industry.
Unit IV
11 Hours
Introduction to compensation and benefits:Concept of wages & salary, Theories of
wages, Components of wages, Labour market, National Wage Policy, Wage boards and Pay
commission.
Principles of compensation determination:Meaning and Significance of compensation
principles. Equity (internal and external), Bargaining ability of employee unions and
individual employees, Statutory requirements, Ability to pay, Job evaluation, Salary survey.
Cost to company (CTC) approach:Understanding and calculating the cost to the company
(CTC).Dearness Allowance ConceptEmergence & Growth in India. Monetary & Non
monetary compensation. Executive Compensation Compensation Systems in Multinational
Companies and IT companies including ESOP.
Retirement plans Including VRS/Golden Handshake Schemes.
Unit V
11 Hours
Law relating to compensation & benefits and social security benefits with latest
amendments: Payment of wages Act 1936, Payment of Bonus Act 1965,Minimum Wages
Act,1948,Equal Remuneration Act 1976, Employees State Insurance Act 1948, Employees
Provident Fund and Miscellaneous Provisions Act 1952, Payment of Gratuity Act 1972
Text Books
1. Milkovich G. T, Newman J .M, & Venkata Ratnam C. S. (2009), Compensation, 1st
Edition, McGrawHill New Delhi.
Reference books
PESU Student Handbook 2015-16 492
1. Singh, B.D. (2008), Compensation & Reward Management, 1st Edition, Excel Books,
New Delhi.
2. Singh, B D (2008), Industrial Relations and Labour Law, 1st Edition., Excel Books,
New Delhi
7 Hours
Legal and cultural aspects in merger : Organizational and human aspects managerial
challenges of M & A Legal and regulatory frame work of M & A provisions of companies
act 1956 Indian Income Tax act 1961 SEBI takeover code
Text Books
1. Krishnamurti, Chandrashekar. Vishwanath, S R (2008), Mergers, acquisitions and
corporate restructuring, Response Books, New Delhi
Reference Books
1. Aswath Damodaran (2004), Corporate Finance Theory And Practice, 2nd Edition,
John Wiley & Sons, New Delhi.
2. Sudi Sudarsanam (2003), Creating Value From Mergers And Acquisitions : The
Challenges, An Integrated And International Perspective, Pearson Education, New
Delhi
7 Hours
Income from other sources: Permissible Deductions Under Section 80C to 80U. Setoff and
Carry forward of losses and Clubbing of Incomes. (Theory Only)
Computation of taxable income: Computation of Taxable Income of a company with
Special reference to MAT.
Text Books
1. Singhania, Vinod K. Singhania, Kapil. (2013), Taxmann's Direct Taxes : Law And
Practice, Covering Income Tax And Wealth Tax With Special Reference To Tax
Planning Assessment Year 2014-2015, 51st Edition., Vinod Singhania and Kapil
Singhania, Taxmann Publications, New Delhi
Reference Books
1. Singhania, Vinod K. Singhania, Monica. (2013), Student Guide To Income Tax :
Including Service Tax/Vat Problems And Solutions, 8th Edition, Taxman Publications,
New Delhi.
2. Mehrotra, H C Goyal, S P (1999), Direct Taxes, 20th Edition, Sahitya Bhavan
Publications.
UM14MB623 PROJECT FINANCING (3-0-0-0-3)
Course objectives
The course helps in preparing and assessing the financial condition of an organization and
also helps in making the decisions in the program areas. The course also helps in gaining
Knowledge of the principles, methods, managing multiple projects, includes monitoring and
taking necessary and corrective steps. Generating better ideas for mitigating the risk.
Knowledge of different tools, techniques and strategies adopted to plan a project and the
cost and its impact on monitoring the entire project.
Course outcome
At the end of this course, the students are able to
1. Explain the fundamentals of formulating the projects considering
interrelationships among various aspects.
2. Exhibit the skills in selecting the feasible projects for investment opportunities.
3. Enables the individuals in calculating the net present value of the project.
the
Unit I
8 Hours
Project planning: Concept of a project, Categories of projects, project life cycle phases,
Generation and screening of ideas, techniques of project appraisal, demand analysis,
technical analysis, Project planning and capital budgeting.
Unit II
8 Hours
Estimation of project: Preparation of Cost Estimates Projected Cash Flow statement,
Projected Balance Sheet, Investment Criteria Payback period, Accounting Rate of return,
Net Present Value, Profitability Index, MIRR.
Unit III
8 Hours
Risk analysis and capital budgeting: Sources, Measures and Perspectives of risk,
Portfolio related Risk Measures, Portfolio Construction, CAPM, Sensitivity Analysis, Scenario
Analysis, Decision Tree Analysis. Special Decision situations.
Unit IV
8 Hours
Project finance: Means of finance, promoters contribution, consortium lending, structure of
financial institutions in India, term loans procedures, raising venture capital, sample financing
PESU Student Handbook 2015-16 496
Paying Stocks , One Step Binomial Model, Black and Scholes Analysis, Call and Put pricing
using BSM, Options Greeks.
Unit IV
8 Hours
Option trading strategies: Spreads Bull Spread Bear Spread and Butterfly Spread.
Combinations StraddleLong and Short, Strangle Long and Short, Straps and Strips.
Unit V
7 Hours
Credit derivatives: Introduction to Credit Derivatives, Assessing Credit Risk ,Credit
riskBond prices and the Probability of default, Historical Default Experience, Reducing
exposure to Credit risk ,Credit Default Swaps, Total Return Swaps, Credit Spread Options,
Collateralized Debt Obligations
Value at risk: Value at Risk (VAR)Measure, Historical Simulation, Model Building Approach,
Monte Carlo Simulation, Stress Testing and Back Testing.
Text Book
1. John.C.Hull (2003), Options, Futures, and Other Derivatives, 5th Edition, Prentice
Hall, New Delhi.
Reference Books
1. S.S.S.Kumar (2007), Financial Derivatives, 12th Edition, PHI, New Delhi.
2. Vohra and B.R.Bagri (2003), Futures and Options, 2nd Edition, Tata McGraw Hill,
New Delhi.
8 Hours
Unit III
8 Hours
VAR Measure, historical simulation, model building approach, monte carlo simulation, stress
testing and back testing.
Unit IV
8 Hours
Forecasting volatility The garch model, maximum likelihood estimation of parameters,
Parallel computing and code benchmarking
Unit V
Technical Analysis of Stock Prices and Indices. Financial Data Modeling
7 Hours
Text Books
1. Pavel Ryzhov (2013), Haskell Financial Data Modeling and Predictive Analytics, Packt
Publishing, US
Reference Books
1. John L.Teall (1999) ,Financial Market Analytics, Greenwood Publishing Group, US
(2014), Multinational
Reference Books
1.
Madhu Vij (2010), International Financial Management, 3rd Edition, Excel Books,
New Delhi.
2.
V K Bhalla (2004), International Financial Management - Text and Cases, 4th Edition,
Anmol Publications, New Delhi.
PESU Student Handbook 2015-16 499
2.
Rural Retail System, Vans, Rural Mobile Traders: The last Mile Distribution, Haats/Shandies,
Public Distribution System, Cooperative Societies Behavior of the Channel, Prevalent Rural
Distribution Models Distribution Models of FMCG CompaniesDistribution Model of Durable
Companies, Distribution of fake products, emerging Distribution Models Corporate SHG
Linkage, Satellite Distribution, Syndicated Distribution, ITCs Distribution Model, Petrol
pumps and Extension counters, BarefootAgents, Agricultural agents, Agricultural input
dealers, Other channels, Ideal distribution Model for Rural
Unit V
8 Hours
Communication strategy: Challenges in Rural Communication, A view of Communication
Process, Developing Effective Profiling the Target Audience, Determining communication
Objectives, designing the message, selecting the communication channels, deciding the
Promotion mix, creating advertisement for rural audiences rural media Mass media, Non
Conventional Media, Personalized media, Rural Media: The importance of the twostep flow
of communication Media Typology, The Media Model, Media innovation, Influence of
Consumer Behavior on Communication strategies
Text Books
1.
Pradeep Kashyap & Siddhartha Raut (2008), Rural Marketing, 1st Edition, Biztantra,
New Delhi.
Reference Books
1.
Mathur U. C (2008), Rural Marketing, 1st edition Excel Books,New Delhi.
2.
Krishnamacharyulu C. G & Lalitha Ramakrishnan (2002), Rural Marketing, 2nd
Edition, Pearson Education, New Delhi.
Building and sustaining relationship in retailing: value and the value chain, retailer
relationships, differences between goods and service retailers, technology and relationships,
ethical performance and relationships in retailing
Strategic planning in retailing: Overview, Elements of retail strategy planning,
Situational analysis:
Retail institutions by ownership Horizontal Marketing System, Dynamics of Franchising
Retail institutions by store based strategy mix Considerations in planning a retail
strategy mix, Evolution of Retail Institutions and Categorization
Unit II
8 Hours
Web, Non store based and other forms of nontraditional retailing
Direct marketing; Direct selling, Vending machines, Electronic Retailing, Other non
traditional forms of retailing targeting customers and gathering information
identifying and understanding consumers Consumer demographics and lifestyles,
Consumer needs and Desires, Shopping Attitudes and Behavior, Retailer Actions,
Environmental factors affecting consumers
Unit III
8 Hours
Information gathering and processing in retailing Information Flows in a Retail
Distribution Channel, Avoiding Retail Strategies Based on Inadequate Information The Retail
Information System, The Marketing Research Process
Choosing a store location Importance of Location to a Retailer; Trading Area Analysis the
use of GIS in Trade Area Delineation and Analysis; Characteristics of Trading Areas
Characteristics of the Population, Economic Base Characteristics, the nature of competition
and the level of Saturation
Site selection Types of Locations the Isolated Store, The Unplanned Business District,
The Planned Shopping Center; The Choice of a General Location; Location and Site
evaluation Pedestrian Traffic, Vehicular Traffic, Parking Facilities, Transportation, Store
Composition , Specific Site, Terms Of Occupancy, Overall Rating
Unit VI
7 Hours
Merchandise management
Developing merchandise plans Philosophy; Buying Organization Formats and Processes
Level of Formality, Degree of Centralization, Organizational Breadth, Personnel Resources,
Functions performed, Staffing; Devising Merchandising Plans Forecasts, Innovativeness,
Assortment, Brands, Timing, Allocation;
Implementing merchandising plans Gathering Information, Selecting and Interacting With
Merchandising Sources, Evaluating Merchandise, Negotiating Purchase, Concluding
Purchases, Receiving And Stocking Merchandise, reordering merchandise, Re evaluating on
a regular basis
Logistics Performance Goals, Supply Chain Management, Order Processing and
Fulfillment, Transportation and Warehousing, Customer Transaction and Customer Service
Inventory management Retailer Tasks, Inventory Levels, Merchandise Security, Inventory
Analysis
Unit V
8 Hours
Pricing, communicating and promotional strategies in retailing
External factors affecting a retail pricing strategy Consumer, Government,
Manufacturers, Wholesalers, Other Suppliers, Competition And Retail Pricing; Developing a
Retail Pricing Strategy Retail Objectives and Pricing, Broad price Policy, Price strategy,
Implementation, Price Adjustments
Establishing and maintaining a retail image the significance of Retail Image; Atmosphere
Store Based and Non Store Based Retailing Perspective; Encouraging Customers to spend
more time shopping; Community Relations
PESU Student Handbook 2015-16 504
Factor Analysis for Data Reduction Application areas, worked examples, SPSS commands
for factor analysis.
Unit IV
8 Hours
Cluster analysis for market segmentation:Application Areas, Methods, Recommended
Usage, Worked example Input Data, Output: Stage 1, Stage 2, Cluster 1, Cluster 2,
Cluster 3, Cluster 4, ANOVA
Multi dimensional scaling for brand positioning: Application, Method, SPSS commands
Conjoint analysis for product design: Application, Methods, Recommended Usage,
Examples, Running conjoint as a regression model.
Unit V
7 Hours
Attribute bases perceptual mapping using discriminate analysis: Application, Methods,
Usage, SPSS commands
Structural equation modeling (SEM) for complex marketing models: Confirmatory
Factor Analysis, Application Usage, Examples
Text Books
1. Stephan Sorger (2014), Marketing Analytics: Strategic Models & Metrics, 1st Edition,
Create Space Independent Publishing Platform.
Reference Books
1. Wayne. C. Winston (2014), Marketing Analytics: Data Driven Techniques with
Microsoft Excel, 3rd Edition, Cengage Learning, New Delhi
2. Nargundkar, Rajendra (2003), Marketing Research : Text And Cases, 3rd Edition, Tata
McGraw Hill, New Delhi
Unit II
8 Hours
Developing a global vision through Marketing research: Breadth and scope of international
marketing research, problems in availability and use of secondary data, problems in
gathering primary data, multicultural research, a special problem, research on internet, a
new opportunity, estimating market demand, problems in analyzing and interpreting research
information, responsibility for conducting marketing research, communicating with decision
makers.
Identifying foreign markets classification based on demand, based on the stage of
development, other bases for division of world markets
Unit III
8 Hours
Planning and organization: Products and services for consumers: Quality, Green
marketing and product development, products and culture, analyzing product components
for adaptation, products for consumers in global markets, product development, product
adaptation, product standardization, marketing consumer services globally, marketing of
services, brands in international markets
Products and services for businesses: Demand in global business to business markets,
quality and global standards, business services, trade shows' crucial part of business to
business Marketing, relationship markets in business to business context
Unit IV
8 Hours
Licensing, strategic alliances, FDI: Introduction, Licensing, Strategic Alliances,
Manufacturing Subsidiaries, Entry Modes and Marketing Control, Optimal Entry Strategies.
Global Distribution Introduction, Distribution as Competitive advantage, Rationalizing Local
Channels, Wholesaling, Retailing, Global Logistics, Parallel Distribution, Global Channel
Design
Unit V
7 Hours
Promotion decisions: Promotions: international advertising, sales promotion in
international Markets, international advertising, direct mailing, Exhibition, generic promotions
in international marketing
Personal selling and sales management: Pricing, negotiating with international customers,
partners and regulator.
Text Books:
1. Cateora, Philip R. Graham, John L. Salwan, Prashant. (2007), International
Marketing, 13th Edition, Tata Mcgraw Hill, New Delhi.
Reference Books
1. Keegan, Warren J (2002), Global Marketing Management, 7th Edition, Pearson
Education, New Delhi.
Course objectives
The objective of the course is to prepare HR managers to participate in the organizational
Knowledge management efforts and facilitate management of knowledge. The course using
case studies of many organizations shows the various aspects of KM and how the three
aspects, Strategy, technology and HRM need to be aligned together to manage knowledge
management.
Course outcome
At the end of the course students are able to :
1. Outline the nature and role of human capital.
2. Illustrate the human capital approach
3. Comprehend the basic concepts in knowledge management.
4. Apply the concept of Knowledge management with respect to organizational design
Unit I
8 Hours
Introduction to human capital:Nature and Role of Human Capital; The Human Capital
Model; Predictions of Human Capital Approach; Socioeconomic relevance of labour
problems in changing Scenario; Evolution of organized labour; Industrialization and
Development of Labour Economy; Growth of labour Market in India in the globalised stetting.
Unit II
8 Hours
Introduction to knowledge management: Introduction, significance of KM through a
discussion on theories of the firm, Understanding the three major inputs viz. strategy, people
and IT for a successful KM system, Understanding the difference between data, information
and knowledge, Understanding the various types of knowledge viz. tacit and explicit, The
consequences of knowledge types on managing knowledge
Unit III
8 Hours
Knowledge management and culture: Why and how culture affects knowledge? Why
should individual share knowledge and how organizational culture can help mitigate
individuals fears. Managing effective knowledge sharing rewards and recognitionschange
management creating knowledge sharing culture continuous improvement case studies.
Unit IV
8 Hours
KM strategy planning: Analyzing business environmentknowledge audit and analysis
designing KM team creating KM system blue print implementation through tactical
approaches (Portal & Community of Practice) capture store and sharing metrics and
evaluation. Making Community of Practice work, Need for customizing KM within
organization, Pitfalls of a global KM system and problems of crossborder issues in
Knowledge management.
Unit V
7 Hours
Knowledge management and organization design: Emphasis on people vs. emphasis on
technology in managing knowledge and its impact on organization design, Understanding
how organization structure can affect knowledge management
KM: The Indian experience: Discussion of the case of Infosys, Tata Steel and other
organizations that are experimenting with KM , The problems Indian organization face with
respect to KM
Text books
7. Fernandez, Gonzalez & Sabherwal (2007) Knowledge Management, Pearson
Publications, New Delhi.
Reference books
1. Peter Drucker, (1995), HBR on Knowledge Management, Harvard University Press.
PESU Student Handbook 2015-16 509
2.
Madan Mohan Rao (2007), Knowledge Management Tools and Techniques, Elsevier
Inc.
Unit IV
7 Hours
Compensation metrics: Skill based Connecting it to revenues and expenses, calculating
various wage/salary related measures. Variable pay systems, types of executive
compensation, quantitative application in Compensation percentiles, cost benefit analysis,
and comparators. Mistakes in compensation designing. Employee benefits, Calculation of
incentives, measuring the impact of weak incentives
Unit V
7 Hours
Trends in quantitative HRM: Development of HR dashboard, HR index, Internal
improvement monitors and smoke detectors, Using factor analysis in HR research
Problems, HR Audit research, and Organization Health survey.
Text Book
1. Jac Fitzenz (2010), The New HR Analytics: Predicting the Economic Value of Your
Company's Human Capital Investments, American Management Association.
Reference Book
1. Bhattacharyya. Kumar, D (2007), HR Research Methods, University Press.
Course objectives
To provide a platform for Applying the research Methodology in practice and develop
Research Plan to implement in Project work.
Course outcome
At the end of the course the students are able to :
1. Exhibit fundamentals concepts of Research Design and process
2. Exhibit skills in developing research Design.
3. Exhibit ability to develop and Implement research Plan.
Course outcome
At the end of the course the students are able to :
1. Exhibit fundamentals concepts of contemporary Management Practices in their
Career Specialization area
2. Exhibit observed skills of contemporary Management Practices in adopting the
same in future
3. Exhibit ability to apply observed skills of contemporary Management Practices in
adopting the same in future
3.
4.
5.
6.
7.
1. Williams Stalling, (2010), Computer Organization and Architecture, 8th edition, Pearson
Education, (Published by Prentice-Hall of India)
Unit I - Chapter 1 (1.1, 1.2), Chapter 2 (2.2, 2.3, 2.4, 2.5), Chapter 3 (3.1, 3.2)
2. Hayes, John. P., (2012), Computer Architecture and Organization, 3rd edition, McGrawHill
Unit II - Chapter 3 (3.1.1, 3.2.1, 3.2.2, 3.2.3, 3.3.1, 3.3.2); Unit III - Chapter 4 (4.1); Unit
IV - Chapter 5 (5.1.1, 5.1.2, 5.2.1, 5.3.1); Unit V - Chapter 6 (6.1)
Reference Book
1. Comer, Douglas E, (2005), Essentials of Computer Architecture, Pearson Education
2. E Balaguruswamy, (2013) Data Structures Using C, 2nd reprint, McGraw Hill Education
India
Unit II - Chapter 3 (3.4-3.7), Chapter 4 (4.1-4.9); Unit III - Chapter 6 (6.1-6.4), Chapter 7
(7.1-7.7); Unit IV - Chapter 5 (5.1-5.6); Unit V - Chapter 9 (9.1-9.4), Chapter 8 (8.1-8.7)
Reference Books
1. Kanitkar, Yashavant, (2010), Let us C, 13th edition, BPB Publications
2. Kanitkar, Yashavant, (2009), Data structures through C, 2nd edition,BPB Publications
11 Hours
10 Hours
10 Hours
Design issues of data link layer: Data link layer design issues services provided to the
network layer, framing, error control, flow control, error detection and correction codes
error correction codes, error detection codes. introduction to switching three methods of
switching, switching and tcp/ip layers, circuit switch networks three phases, efficiency,
delay, packet switching datagram networks, virtual circuit networks, structure of a switch,
structure of circuit switches, structure of packet switches.
UNIT IV
10 Hours
Medium access control: Ethernet classic ethernet physical layer, classic ethernet mac
sublayer protocol, ethernet performance , switched ethernet, fast ethernet, gigabit ethernet,
wireless LAN The 802.11 protocol stack, the 802.11 physical layer, the 802.11 MAC
sublayer protocol, the 802.11 frame structure, bluetooth bluetooth architecture, bluetooth
applications, bluetooth protocol stack, bluetooth radio layer, bluetooth baseband layer,
bluetooth L2CAP layer, bluetooth frame structure.
UNIT V
10 Hours
Connecting devices and LANs: Connecting devices - hubs, link layer switches, routers,
virtual LAN Membership, configuration, communication between switches, advantages,
data link layer switching uses of bridges, learning bridges, spanning tree bridges, remote
bridges, repeaters, hubs, bridges, switches, routers, gateways.
Text Books:
1. Andrew S Tenenbaum, (2011), Computer Networks, 5th edition, Pearson Publications
Unit I - Chapter 1 (1.2, 1.3, 1.4); Unit II - Chapter 2 (2.2, 2.3); Unit III - Chapter 3 (3.1,
3.2); Unit IV - Chapter 4 (4.3.1, 4.3.3, 4.3.4, 4.3.6, 4.3.7, 4.3.8, 4.4, 4.6); Unit V Chapter 4 (4.8.1 to 4.8.4)
2. Behrouz A Forouzan, (2012), Data Communications and Networking, 5th edition,
McGraw Hill Publications
Unit I - Chapter 1 (1.1, 1.2); Unit II- Chapter 3 (3.1, 3.3, 3.6), Chapter 4 (4.1), Chapter
6 (6.1), Chapter 7 (7.1, 7.2, 7.3); Unit III- Chapter 8 (8.1, 8.2, 8.3, 8.4); Unit VChapter 17 (17.1, 17.2)
Reference Book
1. William Stallings, (2013), Data and Computer Communications, 5thedition, Prentice
Hall
3.
4.
5.
6.
UNIT II
11 Hours
The relational data model and relational database constraints: Relational model
concepts, relational model constraints and relational database schemas, update operations,
transactions, and dealing with constraint violations.
UNIT III
10 Hours
Basic SQL: SQL data definition and data types, specifying constraints in sql, basic retrieval
queries in sql, insert, delete and update statements in SQL.
UNIT IV
10 Hours
Advanced SQL: Schema Change Statements in SQL, more complex SQL retrieval queries,
views (Virtual Tables) in SQL (Basics)
UNIT IV
10 Hours
Data modeling using the entity-relationship (ER) model: Using high-level conceptual
data models for database design, a sample database application, entity types, entity sets,
attributes, and keys, relationship types, relationship sets, roles, and structural constraints,
weak entity types, refining the ER design for the company database, er diagrams, naming
conventions, and design issues.
Text Books
1. Ramez Elmasri and Shamkant B. Navathe, (2011), Fundamentals of Database
Management Systems, 6th edition, Pearson Education
Unit I - Chapter 1 (1.1-1.6), Chapter 2 (2.1-2.4); Unit II - Chapter 3 (3.1-3.3); Unit III Chapter 4 (4.1-4.4); Unit IV - Chapter 5 (5.1, 5.3-5.4); Unit V - Chapter 7 (7.1-7.7)
Reference Book
1. Raghu Ramakrishnan and Johannes Gehrke, (2003), Database Management Systems,
3rd edition, McGraw-Hill
2. Silberschatz, Korth and Sudharshan, (2006), Database system concepts, 5th edition,
Mc-GrawHill
3. Ivan Bayross,(2009),SQL, PL/SQL the programming language of oracle, 4th edition BPB
Publications
4. http://www.tutorialspoint.com/mysql/
10 Hours
26.6)]
Reference Book
4. Andrew S. Tannenbaum, (2013), Computer Networks, 5th edition, Pearson Education
5. Prakash C. Gupta,(2014),Data Communication and Computer Networks, 2nd edition,
PHI.
Perform the Queries and the results of the Queries may be displayed directly
2A.
1. doctor (doctor_id, dname, dob, specialization, city)
2. check-up (docid, patid, diagnosis, cdate, fee)
3. patient (patient_id, pname, address, dob)
Perform the following queries:
i. Find the name, address and birth date of the patients whose name starts with r.
ii. Find the name of the patient, name of doctor, date of check-up and diagnosis.
iii. Display each specialization and number of doctors available for that specialization.
iv. Print the numbers of doctors who have checked Hari, also print average fees.
2B
a. musician (musician_id, mname, dob, specialized_instrument)
b. perform (musid, instid, function, function_date)
c. instrument (instrument_id, iname, price, type)
Perform the following queries:
i. Find the name and price of the string type instruments
ii. Display the names of instruments along with their price which were used in New Year
function.
iii. Display names of musicians, their specialized instrument and function held after
2005.
iv. Print the name of instrument for which number of musicians specialized is more than
1.
2C
a. article (art_no, art_title, type, adate, cid, museum_id)
b. caretaker (cid, cname, address, salary)
c. museum (museum_id, mname, city, mdate)
Perform the following queries:
i. Print the details of articles which are cared by person living in Delhi.
ii. Find the details of care takers taking care of more than 2 articles.
iii. Print the details of museum which has paintings and located in Hyderabad.
iv. List the museum name, article title and name of the caretaker taking care of those
articles.
2D
a. credit_card (ccno, expiry_date, limit, bankid, cid)
b. bank (bankid, bankname, city)
c. customer (cid, cname, address, DOB)
Perform the following queries:
i. Display the details of bank having India in its name.
ii. Find the customer names and address who have cards from the bank present in
Delhi.
iii. Print the total number of cards as Total-Cards, minimum limit as Min-Limit and
maximum limit as Max-Limit of those cards.
iv. Find the name of bank which has issued more than 3 cards.
Revision
2 Hours
Test
2 Hours
UNIT I
10 Hours
Introduction to Unix: The operating system, unix operating system, the unix architecture,
features of unix, posix and the single unix specification, locating commands, internal and
external commands, command structure, flexibility of command usage, man browsing the
manual pages on-line, understanding the man documentation, cal, date, echo, printf, bc,
script, email basics, mailx, passwd, who, uname, tty, sty, the file, whats in a (file)name?, the
parent-child relationship, the home variable, pwd, cd, mkdir, rmdir, absolute pathnames,
relative pathnames, ls, the unix file system, cat, cp, rm, mv, more, the lp subsystem, file, wc,
od, cmp, comm, diff .
UNIT II
11 Hours
The vi editor and basic file attributes: ls l, The d option, file ownership, file permissions,
chmod, directory permissions, changing file ownership, vi basics, input mode entering and
replacing text, saving text and quitting, navigation, editing text, undoing last editing
instructions, repeating the last command, searching for a pattern, substitution search and
replace.
UNIT III
11 Hours
The shell and process: The shells interpretive cycle, shell offerings, pattern matching,
escaping and quoting, redirection, /dev/null and /dev/tty, pipes, tee, command substitution,
shell variables, process basics, ps, system processes, mechanism of process creation,
internal and external commands, process states and zombies, running jobs in background,
nice, killing processes with signals, at and batch, cron, time, the shells, environment
variables, the common environment variables, aliases, command history, in-line command
editing, miscellaneous features, the initialization scripts.
UNIT IV
10 Hours
More file attributes and simple filters: File systems and inodes, hard links, symbolic links
and ln, the directory, umask, modification and access times, find, the sample database, pr,
head, tail, cut, paste,sort, uniq, tr, an example.
UNIT V
10 Hours
Filter using regular expression and shell programming, advanced vi: Grep, basic
regular expression, extended regular expression, sed, line addressing, using multiple
instructions, context addressing, writing selected lines to a file, text editing, substitution,
basic regular expressions revisited, shell scripts, read, using command line arguments, exit
and exit status of command, the logical operators && and ||, the if conditional, using test and
[] to evaluate expressions, the case conditional, expr, $0, while, for, set and shift, the here
document (<<), trap, debugging shell scrpts with set x, sample validation and data entry
scripts, root, the administrators privileges, maintaining security, user management, startup
and shutdown, managing disk space, device files, handling floppy diskettes, cpio, tar.
Text Books
1. Sumitabha Das, (2006), Unix Concepts and Applications, 4th edition, Tata Mc-Graw Hill
Unit I - Chapter 1 (1.1, 1.2), Chapter 2 (2.1 - 2.9), Chapter 3 (3.4), Chapter 5 (5.1 5.12); Unit II - Chapter 6, Chapter 7; Unit III - Chapter 8, Chapter 9, Chapter 10, Unit
IV - Chapter 11, Chapter 12; Unit V - Chapter 13, Chapter 14, Chapter 15
Reference Books
1. Behrouz A Forouzan and Richard F Gilberg,(2005), Unix and Shell Programming,
Cengage Learning
Course objectives
9. To provide knowledge of how a client scripting language and server scripting
language differs.
10. To explore basic syntax and semantics of Scripting Languages enabling the students
to design web pages.
Course outcomes
After this course, the student will be able to
1. Create dynamic web pages
2. Develop real world web application with an effective user interface
UNIT I
10 Hours
HTML 5: Whats different in HTML5?, <!DOCTYPE> in HTML5, designing a webpage,
design considerations and planning, basic tags and document structure, html tags, head
tags, title tags, body tags, metadata, saving an html page.
UNIT II
10 Hours
HTML 5 Links: What are links?, text links, image links, opening a page in a new
window or tab, setting all links on a page to open in a new window or tab, linking to
an area on the same page (bookmarks), linking to an e-mail address, linking to other
types of files.
UNIT III
10 Hours
HTML 5 - forms and video: Forms sending to e-mail, text boxes, text area, check boxes,
menu lists, radio button, submit and reset button, video and audio introduction, linking to
audio and video files, adding video and audio files, using youtube to display videos.
UNIT IV
12 Hours
CSS 3 : What are cascading style sheets?, css syntax, creating an external css, linking to a
CSS, adding comments and notes to a CSS, creating an internal style sheet, id and class,
inline styling, working with text, creating backgrounds, images, working with elements
display and visibility, grouping and nesting, dimensions of elements, positioning, floating,
pseudo-classes/pseudo-elements, working with transforms 2d transforms, 3d transforms,
transitions and animations in CSS
UNIT V
10 Hours
PHP : PHP Basic syntax, PHP Data Types, PHP Variables, PHP Constants, PHP
Expressions, PHP Operators, PHP Control Structures, PHP Loops, PHP Enumerated
Arrays, PHP Associative Arrays,Array Iteration, PHP Multi-Dimensional Arrays, Array
Functions, PHP Functions, Arguments, Variables, Hands on exercises using the technology
Reference Books
1. Andy Harris, HTML5 & CSS3 ALL-In-One for Dummies, 3rd edition
Unit I, II, III, IV
2. Jason Lengstorf, PHP for Absolute Beginners, APRESS
Unit V
10 Hours
UNIT III
10 Hours
SOAP : The SOAP Model, SOAP, SOAP messages SOAP encoding, SOAP RPC, using
alternative SOAP encodings, document RPC, literal, encoded, SOAP web services and the
REST architecture.
UNIT IV
10 Hours
WSDL and rest: WSDL- using SOAP and WSDL. REST (Representational State Transfer)What is REST?, HTTP methods, REST ful web services, JAX-RS with jersey, JAX-RS
annotations, web container, create your first restful web service: create a project with jersey
libraries, java class, define jersey servlet dispatcher, run your rest service.
UNIT V
12 Hours
Security: Everyday security basics, security is an end-to-end process, web service security
issues, types of security attacks and threats, web services security roadmap
Text Books
1. Sandeep Chatterjee, James Webber, (2005), Developing Enterprise Web Services
An Architects Guide, 2nd reprint, Pearson Education, Indian
2. REST with Java (JAX-RS) using Jersey Tutorial
[Book 1: Unit I - Chapter 1 (Page No. 1-11), Chapter 2 (Page No. 17-25); Unit II Chapter 2 (Page No. 26-69); Unit III - Chapter 3 (Page No. 71-99); Unit IV - Chapter 3
(Page No.100-119); Unit V - Chapter 8 (Page No. 307-329); Book 2: Unit IV - 1,2,4,6]
Reference Books
1. http://www.vogella.com/tutorials/REST/article.html
2. Frank P. Coyle,(2002), XML, Web Services and the Data Revolution,Pearson
Education
UC15MC401 DISCRETE MATHEMATICAL STRUCTURES (3-2-0-0-4)
Course objectives
1. To apply fundamental counting algorithms to solve applied problems, particularly
those found in the area of computer science.
2. To develop the ability to understand and create mathematical arguments.
Course outcome
After this course, the student will be able to
1. Acquire the problem-solving skills needed in subsequent courses and professional
work.
2. Formulate problems precisely and solve by applying formal proof techniques.
UNIT I
10 Hours
Set theory and properties of integers: Sets and subsets set operations and the laws of set
theory, counting and venn diagrams, principles of inclusion and exclusion, the well-ordering
principle: mathematical induction, recursive definitions and recursive algorithms
UNIT II
10 Hours
Counting principles: The rules of sum and product, permutations, combinations, combination
with repetition, pigeonhole principle
UNIT III
10 Hours
12 Hours
Combinational & sequential logic circuits: K-Map method to simplify boolean function up
to 4 variables, product of sum simplification, dont care condition, adders- half adders, full
adders, subtractors - half subtractors, full subtractors, decoder, demultiplexers, encoder,
multiplexers, flip-flops --jk, sr, d and t flip flops, registers-shift registers
UNIT III
10 Hours
Basic structure of computer and instruction set architecture: Functional units, basic
operational concepts, bus structures, memory location and addresses, memory operation,
instructions, instruction sequencing, addressing modes.
UNIT IV
10 Hours
Input / Output Organization: Direct memory access, bus arbitration, buses--synchronous
bus, asynchronous bus, interface circuits-parallel port, serial port.
UNIT V
10 Hours
Memory System: Basic concepts, semiconductor RAM memories-internal organization of
memory chips, static memories, asynchronous drams, synchronous drams, read-only
memories, cache memories, virtual memories
Text Books
1. M. Morris Mano,(2012), Digital Logic and Computer Design, 5th edition, Pearson
2. Carl Hamacher, Zvonko Vranesic, Safwat Zaky, (2011), Computer Organization,
5th edition, Tata McGraw Hill
[Book 1: Unit I- Chapter 1(1.2 - 1.6), Chapter 2(2.2 to 2.7); Unit II - Chapter 3(3.13.3, 3.5, 3.8), Chapter 4 (4.3, 4.4), Chapter 5(5.5, 5.6), Chapter 6 (6.1,6.2),
Chapter 7(7.2, 7.3); Book 2: Unit III- Chapter 1(1.2 -1.4), Chapter 2 (2.2 to 2.5);
Unit IV- Chapter 4(4.4, 4.5,4.6); Unit V -Chapter 5 (5.1 , 5.2-5.2.1, 5.2.2, 5.2.3,
5.2.4, 5.3, 5.5, 5.7)]
Reference Books
1. Donald P Leach, Albert Paul Malvino, Goutam Saha, (2010), Digital Principles
and Applications, 7th edition, Tata McGraw Hill
2. John P. Hayes, (1998), Computer Architecture and Organization, 3rd edition, Tata
McGraw-Hill
10 Hours
10 Hours
Fundamentals of web and XHTML: Internet, WWW, web browsers and web servers, URLs,
MIME, HTTP, security, the web programmers toolbox
XHTML: Basic syntax, standard XHTML document structure, basic text mark-up, images,
hypertext links, lists
UNIT II
10 Hours
Advanced features of XHTML, HTML5 and CSS: XHTML - Tables, forms, frames, syntactic
differences between HTML and XHTML, overview of HTML5
CSS - Introduction, levels of style sheets, style specification formats, selector forms, property
value forms, font properties, list properties, color, alignment of text, the box model,
background images, the <span> and <div> tags, conflict resolution
UNIT III
10 Hours
CSS and Javascript : CSS - The design of CSS2, styling for paged media, using aural
presentation, counters and numbering, overview of CSS3
JavaScript-Overview of javascript, object orientation and javascript, syntactic
characteristics, primitives, operations, and expressions, screen output and keyboard input,
an example, control statements
UNIT IV
10 Hours
JavaScript with XHTML documents: JavaScript - Object creation and modification,
arrays, functions, constructors, pattern matching using regular expressions, errors in scripts.
The javascript execution environment, the document object model, element access in
javascript, events and event handling, handling events from body elements, button elements,
text box and password elements, the DOM2 event model, the navigator object, DOM tree
traversal and modification.
UNIT V
12 Hours
Javascript objects, dynamic documents and XML: Objects in javascript - data and
objects in javascript, exception handling, built in objects, cookies.
Dynamic documents - Introduction to dynamic documents, positioning elements, moving
elements, element visibility, changing colors and fonts, dynamic content, stacking elements,
locating the mouse cursor, reacting to a mouse click, slow movement of elements, dragging
and dropping elements.
Introduction to XML - Introduction, the syntax of XML, XML document structure, document
type definitions, namespaces, XML schemas, displaying raw XML documents, displaying
XML documents with CSS.
Text Books
1. Robert W. Sebesta, (2012), Programming the World Wide Web, 4th edition, Pearson
Education
2. Chris Bates, (2006), Web Programming Building Internet Applications, 3rd edition,
Wiley India
[Book 1:Unit I - Chapter 1 (1.1-1.9), Chapter 2 (2.1-2.7); Unit II - Chapter 2 (2.82.11), Chapter 3 (3.1-3.13); Unit III - Chapter 4 (4.1-4.6); Unit IV - Chapter 4 (4.74.14), Chapter 5 (5.1-5.10); Unit V- Chapter 6 (6.1-6,11), Chapter 7 (7.1-7.8); Book 2:
Unit III - Chapter 5 (5.1-5.4); Unit V - Chapter 7 (7.1, 7.3-7.5)]
Reference Books/sources
1. http://www.w3schools.com/html/html5_intro.asp Unit II- HTML 5
2. http://www.tutorialspoint.com/html5/html5_syntax.htm
3. http://www.w3schools.com/css/css3_intro.asp Unit III- CSS3
4. http://www.css3files.com/
5. Uttam K Roy, WEB Technologies, Oxford University Press, 1st edition, 2012
PESU Student Handbook 2015-16 535
6. Chris Bates, (2009), Web Programming Building Internet Applications, 3rd edition,
Wiley India
10 Hours
4. Create a web page that displays University information using various levels of style
sheets. Use <span> and <div> tags and also incorporate the style attribute for
various types of lists.
5. Develop and demonstrate a XHTML file that includes JavaScript for the following
problems:
a. Input: A number n obtained using prompt.
Output: The first n Fibonacci numbers.
b. Input: A number n obtained using prompt
Output: A table of numbers from 1 to n and their squares using Alert.
6. a) Develop and demonstrate a XHTML document using JavaScript that collects the
USN (the valid format is: A digit from 1 to 4 followed by two upper-case characters
followed by two digits followed by three upper-case characters followed by two digits;
no embedded spaces allowed of the user. Event handler must be included for the
form element that collects this information to validate the input. Messages in the alert
windows must be produced when errors are detected.
b) Modify the above program to get the current semester also (restricted to be a
number from 1 to 6).
7. Develop and demonstrate using JavaScript, a XHTML document that displays
random numbers (integers).
8. a) Develop and demonstrate, a XHTML document using JavaScript , that contains
three short paragraphs of text, stacked on top of each other, with only enough of
each showing so that the mouse cursor can be placed over some part of them. When
the cursor is placed over the exposed part of any paragraph, it should rise to the top
to become completely visible.
b) Modify the above document so that when a paragraph is moved from the top
stacking position, it returns to its original position rather than to the bottom.
9. Develop using JavaScript script, an XHTML document that use of onload and
onfocus events.
PESU Student Handbook 2015-16 538
10. a) Create a Web Page using XML and CSS and use DTD for validating the following
data of Furniture Details (Type of Furniture, Place of Usage, Materials used, Height,
Width, Color, Price etc.)
UNIT V
10 Hours
Numerical solution of ordinary differential equations: Introduction, solution by taylors series,
picard's method of successive approximations, eulers method, runge - kutta methods and
simple problems
Text Book:
1. S. S. Sastry, (2012), Introductory Methods of Numerical Analysis, 5th edition, PrenticePESU Student Handbook 2015-16 540
Hall India
[Unit I - Chapter 1 (1.1 1.5), Chapter 2(2.1-2.5, 2.7); Unit II - Chapter 3(3.1-3.3 (3.3.13.3.3), 3.4, 3.6, 3.7 (3.7.1)); Unit III - Chapter 4 (4.1, 4.2, 4.3 (4.3.1)) , Chapter 5 (5.1,
5.2), Chapter 6 (6.1,6.2, 6.3, 6.4 (6.4.1, 6.4.2, 6.4.3)); Unit IV - Chapter 7 (7.1-7.4, 7.5
(7.5.1, 7.5.2, 7.5.3, 7.5.6, 7.5.7, 7.5.10, 7.5.11), 7.6); Unit V - Chapter 8 (8.1-8.5)]
Reference Books
1. Jain, Iyengar and Jain, Numerical Methods for Scientific and Engineering Computations,
New Age Int.
2. Grewal B. S., Numerical methods in Engineering and Science, Khanna Publishers,
Delhi.
UC15MC452 OBJECT ORIENTED PROGRAMMING (4-0-0-0-4)
Course Objectives
1. To explore the basic syntax and semantics of object oriented programming language
2. To understand and solve the real world problems using object oriented approach.
Course Outcomes
After this course, the student will be able to
1. Use the knowledge of object-oriented concepts in solving real-world problems.
2. Develop problem solving skills using object-oriented approach
UNIT I
10 Hours
Overview of object oriented programming: The origins of c++, what is object oriented
programming, some c++ fundamentals, old-style vs. modern c++, introducing c++ classes,
function overloading, operator overloading, inheritance, constructors and destructors, the
c++ keywords, general form of a c++ program
UNIT II
10 Hours
Classes and objects: Classes, structures and classes are related, unions and classes are
related, friend functions, friend classes, inline functions, parameterized constructors, static
class members, when constructors and destructors are executed, the scope resolution
operator, nested classes, local classes, passing objects to functions, returning objects,
object assignment
UNIT III
11 Hours
Overloading: Function overloading function overloading, overloading constructors, copy
constructors, finding the address of an overloaded function, the overload anachronism,
default function arguments, function overloading and ambiguity
Operator overloading Creating a member operator function, operator overloading using a
friend function, overloading new and delete, overloading some special operators,
overloading the comma operator
UNIT IV
11 Hours
Inheritance and virtual functions: Base-Class access control, inheritance and protected
members, inheriting multiple base classes, constructors, destructors and inheritance,
granting access, virtual base classes
Virtual functions: Calling a virtual function through a base class reference, the virtual
attribute is inherited, virtual functions are hierarchical, pure virtual functions - abstract
classes, using virtual functions, early v/s late binding
UNIT V
10 Hours
Templates and exception handling: Generic functions, applying generic functions, generic
classes. exception handling fundamentals, handling derived-class exceptions, exception
PESU Student Handbook 2015-16 541
10 Hours
Secondary storage structure and protection and security: Overview of mass storage
structure, disk structure, disk scheduling. goals of protection, principles of protection, domain
of protection, access matrix, implementation of access matrix, case study of Linux operating
system, design principles-kernel modules, process management, scheduling memory
management file system(only introduction).
Text Book
1. A. Silberschatz, P. Galvin, G. Gagne, (2009), Operating System Principles, 8th
edition, Wiley-India
[Unit I - Chapter 1 (1.1-1.12), Chapter 2 (2.1,-2.5, 2.7, 2.8); Unit II - Chapter 3 (3.13.4), Chapter 5 (5.1-5.5, 5.7); Unit III - Chapter 6 (6.1-6.7), Chapter 7 (7.1- 7.7); Unit
IV - Chapter 8 (8.2-8.6), Chapter 9 (9.1-9.6), Chapter 10 (10.1-10.4), Chapter 11
(11.1-11.5); Unit V - Chapter 12 (12.1-12.4), Chapter 14 (14.1-14.5), Chapter 21
(21.1-21.7)]
Reference Books
1. D.M. Dhamdhere, (2012), Operating Systems-A Concept based Approach, 3rd
edition, Tata McGraw-Hill
2. William Stallings, (2012), Operating Systems-Internals and Design Principles, 6th
edition, Pearson
10 Hours
Computer networks and the internet: overview of the internet, network edge, network
core, delay loss and throughtput in packet switched network, protocol layering. Internet
history, standards and administration
UNIT II
10 Hours
Application Layer: Introduction, client-server paradigm, standard client-server applications,
peer-to-peer paradigm- P2P networks, peer-to-peer applications, socket interface
programming
UNIT III
10 Hours
Transport layer: Introduction and transport layer services, multiplexing and demultiplexing,
connectionless transport-UDP, principles of reliable data transfer, connection oriented
transport-TCP
UNIT IV
12 Hours
Network layer: Introduction, what is inside a router, network layer protocols-detailed study,
next generation IP, routing algorithms, routing in the internet, broadcast and multicast routing
UNIT V
10 Hours
The link Layer and local area networks: Link layer-Introduction and services, errors
detection and correction techniques, multiple access protocols, link layer addressing and
ARP, ethernet, link layer switches
Text Books
1. James F. Kurose, Keith W. Rose, (2012), Computer Networking-a Top down
Approach, 5th edition,Pearson
2. Behrouz A Forouzan, (2013), Computer Networks a Top down Approach,
McGrawHill, Special edition Indian
[Book 1: Unit I - Chapter 1 (1.2, 1.3, 1.4); Unit II - Chapter 2 (2.6); Unit III - Chapter 3
(3.1, 3.2, 3.3, 3.4, 3.5); Unit IV - Chapter 4 (4.3, 4.5, 4.6, 4.7); Unit V - Chapter 5 (5.1,
5.2, 5.3, 5.4, 5.5, 5.6); Book2: Unit I - Chapter 1 (1.1, 1.2, 1.3, 1.4); Unit II - Chapter 2
(2.1, 2.2, 2.3, 2.4- 2.4.1, 2.5); Unit V- Chapter 4 (4.1, 4.2, 4.5)]
Reference Books
1. Andrew S. Tannenbaum, (2013), Computer Networks, 5th edition, Pearson Education
2. Prakash C. Gupta, (2014), Data Communication and Computer Networks, 2nd edition,
PHI
10 Hours
Introduction to data structures: Information and meaning Data types in c, abstract data
types, sequences as value definition, an ADT for varying length character strings, arrays in
c, the array as an ADT, using 1- dimensional arrays, implementing1-dimensional arrays,
arrays as parameters, 2-dimensional arrays, multi-dimensional arrays.
UNIT II
10 Hours
Stacks : Definition and examples - primitive operations, representing stacks in cimplementing the push and pop operations, an example - infix, prefix, postfix expressions,
evaluating a postfix expression, converting an expression from infix to postfix. Recursion recursive definition and processes - multiplication of natural numbers, the fibonacci
sequence, tower of hanoi
UNIT III
10 Hours
Queues: The queue and its sequential representation the queue as an abstract data type,
c implementation of queues, the priority queue, array implementation of a priority queue,
circular queue using dynamically allocated arrays
UNIT IV
12 Hours
Lists : Linked list- Inserting and removing from a list, linked implementation of stacks, the
getnode and freenode operations, linked implementation of queues, the linked list as data
structure, examples of list operations, array implementation of lists, linked list using dynamic
variables, other list structures, doubly linked list, primitive operations on circular list, the
stack as a circular list, the queue as a circular list.
UNIT V
10 Hours
Graphs and trees: Graph The graph abstract data type. Trees Introduction, binary
trees, binary tree traversals, inorder traversal, preorder traversal, postorder traversal,
iterative inorder traversal, level-order traversal, threaded binary trees, binary search trees.
Text Books
1. Aaron M. Tanenbaum, Yedidyah Langsam, Moshe J. Augenstein, (2007), Data
Structures Using C, 2nd edition, Pearson Education
2. Horowitz, Sahani, Anderson-Freed, (2011), Fundamentals of Data Structures in C,
2nd edition Universities Press
[Book 1: Unit I - Chapter 1 (11-12, 23-30, 35-50); Unit II - Chapter 2 (74-107),
Chapter 3 (110-114, 135-139); Unit III - Chapter 4 (168-178); Unit IV - Chapter 4
(180- 194, 197-200, 205-206, 223-226, 232-238);
Book 2: Unit III - Chapter 3 (114-119); Unit V - Chapter 6 (265-272), Chapter 5 (191209, 212-220, 231-237)]
Reference Books
1. E Balaguruswamy, (2013),Data Structures Using C, 2nd Reprint, McGraw Hill Education,
India,
2. A.K. Sharma, (2011), Data Structure Using C, Pearson Education,India
2. To introduce the methods for designing a database, query languages used in modern
databases as well as the theoretical query languages these languages are based on.
Course outcomes
After this course, the student will be able to
1. Correlate relational database theory with relational database management system.
2. Apply data modeling concepts and their applications in design and construct a typical
enterprise database.
UNIT I
10 Hours
Introduction: Databases and database users - introduction, an example of dbms,
characteristics of the database approach, actors on the scene, workers behind the scene,
advantages of using the dbms approach, when not to use a dbms.
Database system concepts and architecture - data models, schemas, and instances, threeschema architecture and data independence, database languages and interfaces, the
database system environment, centralized and client-server architectures
UNIT II
11 Hours
The relational data model and algebra: The relational data model and database
constraints-relational model concepts, relational model constraints and relational database
schemas, update operations, transactions, and dealing with constraint violations. Relational
algebra - unary relational operations: select and project, relational algebra operations from
set theory, binary relational operations: join and division, additional relational operations,
examples of queries in relational algebra
UNIT III
10 Hours
Structured query language (SQL) : Basic SQL - SQL data definition and data types,
specifying constraints in SQL, schema change statements in SQL, insert, delete and update
statements in SQL, basic queries in SQL. More SQL: Complex queries and views - more
complex SQL queries, views (virtual tables) in SQL
UNIT IV
11 Hours
Data modeling: Entity-relationship (ER) model
using high-level conceptual data models
for database design, an example database application, entity types, entity sets, attributes,
and keys, relationship types, relationship sets, roles, and structural constraints, weak entity
types, refining the er design for the company database, ER diagrams, naming conventions,
and design issues. the enhanced entity-relationship (EER) model - subclasses,
superclasses, and inheritance, specialization and generalization, constraints and
characteristics of specialization and generalization hierarchies, modeling of union types
using categories, an example university EER schema
UNIT V
10 Hours
Database design: Relational database design by ER and EER-to-Relational Mapping Database design using ER- to-Relational Mapping, mapping EER model constructs to
relations. Normalization - Informal design guidelines for relation schemas; functional
dependencies; normal forms based on primary keys; general definitions of second and third
normal forms; Boyce-Codd normal form
Text Books
PESU Student Handbook 2015-16 549
Course outcomes
After this course, the student will be able to
1. Design and implement a relational database based on concept of a relational
database
2. Apply database concepts and principles in designing in real-time applications.
Exercises (Exercise 1- 3 are of 2 Hours each; Exercise 4-6 are of 4 Hours each;
Exercise 7-8 are of 3 Hours each)
1. DBMS Practice Exercise-1 to learn creation of user, DDL commands and DML
commands.
2. DBMS Practice Exercise-2 to learn DDL commands with integrity constraints like
primary key, foreign key etc.
3. DBMS Practice Exercise-3 to learn single block and nested queries.
Exercises based on the commands learnt during 3 practice exercises
Instructions for the Exercises:
Draw ER-diagram and conceptual Schema.
Create the relations using primary key, foreign key and on delete cascade
appropriately using any RDBMS Environment.
Display the structure of the relations.
Enter minimum 5 rows in each relation.
Display the contents of the relations.
Perform the queries and the results of the queries may be displayed directly
Exercises
4. Notown Records has decided to store information about musicians who perform on
its albums. Each musician that records at Notown has an SSN, a name, an address,
and a phone number. No musician has more than one phone. Each instrument used
in songs recorded at Notown has a unique identification number, a name (e.g., guitar,
synthesizer, flute) and a musical key (e.g., C, B-flat, E-flat). Each album recorded on
the Notown label has a unique identification number, a title, a copyright date and a
format (e.g., CD or MC). Each song recorded at Notown has a title and an author.
Each musician may play several instruments, and a given instrument may be played
by several musicians. Each album has a number of songs on it, but no song may
appear on more than one album. Each song is performed by one or more musicians,
and a musician may perform a number of songs. Each album has exactly one
musician who acts as its producer. A musician may produce several albums.
Queries to be performed
List musician name, title of the song which he has played, the album in which
song has occulted.
List the details of songs which are performed by more than 3 musicians.
List the different instruments played by the musicians and the average number of
musicians who play the instrument.
Retrieve album title produced by the producer who plays guitar as well as flute
and has produced no of songs greater than the average songs produced by all
producers.
List the details of musicians who can play all the instruments present.
Each pharmacy has a name, address and phone number. Each patient is checked up
by some doctor. Every doctor has at least one patient. Each pharmacy sells several
drugs and has a price for each. A drug could be sold at several pharmacies, and the
price could vary from one pharmacy to another. Doctors prescribe drugs for patients.
A doctor could prescribe one or more drugs for several patients, and a patient could
obtain prescriptions from several doctors. Each prescription has a date and a
quantity associated with it. Pharmaceutical companies have long-term contracts with
pharmacies. A pharmaceutical company can have contract with several pharmacies,
and a pharmacy can contract with several pharmaceutical companies. For each
contract, a start date, an end date, supervisor and the text of contract will be stored.
Queries to be performed
List the details of patients who are 20 years old and have been checked by eyespecialist
List the details of doctors who have given the prescription to more than 20
patients in year 2013.
List the details of pharmaceutical companies who supply drug to more than 10
pharmacies in the same city where company is located.
List the details of drug supplied by only one pharmaceutical company.
List the details of drug supplied by all pharmaceutical companies.
8. Data requirements of movie industry are captured. Each movie is identified by title
and year of release. Each movie has length in minutes and classified under one
genres (like action, horror etc.). Each movie has a plot outline. Production companies
are identified by name and each has an address. A production company produces
one or more movies. Actors are identified by id. Name and date of birth of actors are
also stored. Each actor acts in one or more movies and has a role in movie. Directors
are identified by id. Other details like name and date of birth of directors are also
stored. Each director directs one or more movies. Each movie has one or more
actors and one or more directors and is produced by a production company.
Queries to be performed
List the details of horror movies released in 2012 and directed by more than 2
directors.
List the details of actors who acted in movies having same titles but released
before 2000 and after 2010.
List the details of production companies producing maximum movies.
List the details of movies where director and actor have same date of birth.
Retrieve the names of directors directed all the movies produced by any one
production company.
Course outcomes
After this course, the student will be able to
1. Develop real world web application with effective user interface using the framework
UNIT I
10 Hours
HTML 5 Forms and video : Forms sending to e-mail, text boxes, text area, check
boxes, menu lists, radio button, submit and reset button, video and audio introduction,
linking to audio and video files, adding video and audio files, using youtube to display videos
UNIT II
10 Hours
CSS 3: Working with elements display and visibility, grouping and nesting, dimensions of
elements, positioning, floating, pseudo-classes/pseudo-elements, working with transforms
2d transforms, 3d transforms, transitions and animations in css
UNIT III
10 Hours
PHP: PHP basic syntax, PHP data types, PHP variables, PHP constants, PHP expressions,
PHP operators, PHP control structures, PHP loops, PHP enumerated arrays, PHP
associative arrays, array iteration, PHP multi-dimensional arrays, array functions
UNIT IV
10 Hours
Advanced PHP: PHP functions, syntax, arguments, variables, references, pass by value &
pass by references, return values, variable scope, PHP include(), PHP require(), PHP form
handling, PHP GET, PHP POST, PHP form validation, PHP form sanitization
UNIT V
12 Hours
CakePHP: Introduction to cakePHP, Introduction to MVC, Installing CakePHP, creating
controller, view, models, URL routing, scaffolding, redirecting control, configuring with
database, creating html forms, using javascript with CakePHP, creating validation with
CakePHP, integrating HTML template in CakePHP, CRUD implementation in CakePHP,
working with session, developing plugin with CakePHP
Reference Books
1. Andy Harris, (2013), HTML5 & CSS3 All-In-One for Dummies, 3rd edition, Unit I, II
2. Jason Lengstorf, (2009), PHP for Absolute Beginners, APRESS, Unit III, IV
3. David Golding, (2008), Beginning CakePHP From Novice to Professional, 1st edition,
Unit V
UC14MC512 SCRIPTING LANGUAGES & WEB FRAMEWORK USING PYTHON (4-0-0-04)
Course objectives
1. To provide knowledge of how a client scripting language and server scripting
language differs.
2. To explore the basic syntax and semantics of scripting languages enabling the
students to design web pages.
Course outcome
After this course, the student will be able to
1. Develop real world web application with effective user interface using the framework
UNITI
10 Hours
HTML 5 forms and video : Forms sending to e-mail, text boxes, text area, check
boxes, menu lists, radio button, submit and reset button, video and audio introduction,
linking to audio and video files, adding video and audio files, using youtube to display videos
UNIT II
10 Hours
CSS3: Working with elements display and visibility, grouping and nesting, dimensions of
elements, positioning, floating, pseudo-classes/pseudo-elements, working with transforms
2d transforms, 3d transforms, transitions and animations in CSS
UNIT III
Python: Rewind python, object oriented programming, regular expressions
10 Hours
UNIT IV
Advanced Python: Python CGI programming,
multithreading, XML processing, JSON processing
10 Hours
networking,
database
access,
UNIT V
12 Hours
Django: Exercise: Complete app development using applicable views, models, templates
and URLs. Database will be used to store public transport data. User login will be
implemented. Creation, update and deletion of bus stops and routes will be implemented.
Reference Books
1. Andy Harris, (2013), HTML5 & CSS3 All-In-One for Dummies, 3rd edition, Unit I, II
2. Jason Lengstorf, Python 3 Web Development, APRESS - Unit III, IV
3. Daniel Greenfeld , Audrey Roy, (2014), Two Scoops of Django Best Practices, 1st
edition ,Two Scoops Press, - Unit V
UC14MC513 SCRIPTING LANGUAGES & WEB FRAMEWORK USING PERL (4-0-0-0-4)
Course Objectives
1. To provide knowledge of how a client scripting language and server scripting
language differs.
2. To explore the basic syntax and semantics of scripting languages enabling the
students to design web pages.
Course outcomes
After this course, the student will be able to
1. develop real world web application with effective user interface using the framework
UNIT I
10 Hours
HTML 5 Forms and video : Forms sending to e-mail, text boxes, text area, check
boxes, menu lists, radio button, submit and reset button, video and audio introduction,
linking to audio and video files, adding video and audio files, using youtube to display videos
UNIT II
10 Hours
CSS3: Working with elements display and visibility, grouping and nesting, dimensions of
elements, positioning, floating, pseudo-classes/pseudo-elements, working with transforms
2d transforms, 3d transforms, transitions and animations in CSS
UNIT III
10 Hours
Perl Introduction: Working with simple values, lists and hashes, loops and decisions,
regular expressions, files and data
UNIT IV
10 Hours
Advanced Perl: References, subroutines, modules, object-oriented perl, perl and database,
introduction to CGI
UNIT V
12 Hours
Catalyst: Introduction, catalyst basics, basic CRUD, authentication, authorization,
debugging, testing, advanced CRUD
Reference Books
1. Andy Harris, (2013), HTML5 & CSS3 All-In-One for Dummies, 3rd edition, Unit I, II
2. Simon Cozens, (2005), Beginning Perl, Wrox Press, 1st edition, - Unit III, IV
3. Kieren Diment, Matt S. Trout, Eden Cardim, Jay Kuri, (2009), The Definitive Guide to
Catalyst: Writing Extensible, Scalable and Maintainable Perl-Based Web Applications
(Expert's Voice in Web Development), Springer Unit V
UNIT II
10 Hours
Graphics output primitives and attributes: Introduction to OpenGL, coordinate reference
frames, specifying two dimensional world coordinate reference frame in open gl, open gl
point and line functions, line drawing algorithms, circle generation algorithms, ellipse
generation algorithms, fill area primitives, polygon fill areas, OpenGL polygon fill area
functions, fill methods for areas with irregular boundaries. OpenGL fill area attribute
functions
UNIT III
10 Hours
Geometric transformations : Two dimensional geometric transformations, matrix
representations, homogeneous coordinates, inverse transformations, two dimensional
PESU Student Handbook 2015-16 556
12 Hours
UNIT V
10 Hours
Correlation: Introduction, meaning of correlation, scatter diagram, karl pearson's coefficient
of correlation, calculation of the correlation coefficient for a bivariate frequency distribution,
probable error of correlation coefficient, rank correlation.
Text Book
1. S C Gupta, V K Kapoor, (2009), Fundamentals of Mathematical Statistics, 11th
revised edition, Sultan Chand & Sons Publication,
[Unit I - Chapter 1 (1.1 - 1.6), Chapter 2 (2.1 2.9, 2.12, 2.13); Unit II - Chapter 3 (3.1
3.7, 3.8(3.8.1, 3.8.2), 3.10-3.12); Unit III - Chapter 5 (5.1 5.4, 5.5(5.5.1- 5.5.4)),
Chapter 8 (8.2, 8.3, 8.4 (8.4.1)); Unit IV - Chapter 6 (6.1 6.7); Unit V - Chapter 10
(10.1 - 10.7)]
Reference Books
1. J Susan Milton, Jesse C. Arnold, (2006), Introduction to Probability & Statistics, 4th
edition, Tata McGraw Hill
2. Kishore Trivedi, (1988), Probability and its Computer Applications, reprint edition, PHI
Learning
3. Schaums Outlines, (2004), Probability, Random Variables & Random Process, Tata
McGraw Hill
Course objectives
1. To introduce the general tools and techniques to analyse and design computer
algorithms.
2. To equip the students with mathematical preliminaries required to analyze and
design computer algorithms.
Course outcomes
After this course, the student will be able to
1. Implement and analyse good principles of algorithm design and estimate their worstcase and average-case behavior
2. Analyze data structures and its implementation
UNIT I
10 Hours
Introduction, analysis framework and brute force method: What is an algorithm, analysis
framework, asymptotic notations, basic efficiency classes, mathematical analysis of
recursive and non-recursive algorithms, introduction, selection sort, bubble sort, sequential
search and string matching
UNIT II
10 Hours
Divide and conquer, decrease and conquer: Introduction, merge sort, quick sort, binary
search, multiplication of large integers and strassens matrix multiplication.
Introduction, insertion sort, depth first search, breadth first search, topological sorting,
algorithms for generating combinatorial objects
UNIT III
10 Hours
Transform and conquer, space and time tradeoffs: Presorting, Heaps and Heapsort
Introduction, Sorting by Counting, Input Enhancement in String Matching, Hashing
UNIT IV
11 Hours
Greedy method and dynamic programming: Introduction, prims algorithm, kruskals
algorithm, djikstras algorithm, huffman trees.Introduction, computing binomial co-efficient,
warshalls and floyds algorithm, knapsack and memory functions
UNIT V
11 Hours
Limitations of algorithmic power and coping with them: Lower bound algorithms,
decision trees, P, NP and NP Complete problems. Backtracking n-queens problem,
Hamiltonian circuit problem, subset-sum problem, branch-and-bound assignment problem,
knapsack problem, travelling salesman problem, approximation for NP-Hard problems
Text Books
1. Anany Levitin, (2009), Introduction to Design and Analysis of Algorithms, 2nd edition,
Pearson Education
[Unit I - Chapter 1 (1.1), Chapter 2 (2.1- 2.4), Chapter 3 (3.1, 3.2); Unit II - Chapter 4
[(4.1-4.3, 4.5), Chapter 5 (5.1-5.4),; Unit III - Chapter 6 (6.1, 6.4), 7 (7.1-7.3); Unit IV Chapter 9 (9.1 - 9.4), Chapter 8 (8.1, 8.2, 8.4); Unit V - Chapter 11 (11.1 - 11.3),
Chapter 12 (12.1- 12.3)]
References
1. Coremen T.H, Leiserson C. E, Rivest R. L (1998), Introduction to Algorithms, PHI
4. Arrays
5. Interfaces and Packages
6. Multithreading
7. Inheritance
8. Exception Handling
9. Strings
10. Enumeration
11. Lab Test
1. Dave Thomas, Chad Fowler and Andy Hunt, (2013), Programming Ruby 1.9: The
Pragmatic Programmers Guide (Facets of Ruby), Pragmatic Bookshelf, 4th edition,
Unit I, II, III
2. Cloves Carneiro Jr., Rida Al Barazi, (2010), Beginning Rails 3, Apress, Unit IV, V
technology
Reference Books
1. AngularJS, Brad Greene, Shyam Seshadri, (2013), OReilly Media, 1st edition, - Unit I, II
2. Professional Node.js, Pedro Teixeira, (2013), John Wiley & Sons, - Unit III, IV
3. Matthew A Russel ,( 2008), Dojo: The Definitive Guide , OReilly Media, - Unit V
Course outcomes
After this course, the student will be able to
1. Design a data mart or data warehouse for any organization
2. Extract knowledge using various data mining techniques
UNIT I
11 Hours
Data warehousing and OLAP: Data ware housing-introduction, operational data stores,
data warehouses, data warehouse design, guidelines for data warehouse implementation,
data warehouse metadata. OLAP - characteristics, motivations for using OLAP, multidimensional view & data cube, data cube implementations, data cube operations.
UNIT II
10 Hours
Data: Mining - introduction, what is data mining, motivating challenges, data mining tasks,
types of data, data quality, data preprocessing
UNIT III
10 Hours
Association analysis: Basic concepts and algorithms- frequent item set generation, rule
generation, compact representation of frequent item sets, alternative methods for generating
frequent item sets, fp growth algorithm, evaluation of association patterns
UNIT IV
10 Hours
Classification basics, General approach to solve: Classification problem, decision tree
introduction, rule based classifiers, nearest neighbor classifiers. Bayesian classifiers,
estimating predictive accuracy of classification methods, improving accuracy of clarification
methods, evaluation criteria for classification methods.
UNIT V
11 Hours
Clustering techniques: Overview, features of cluster analysis, types of data and computing
distance, types of cluster analysis methods, partitional methods, hierarchical methods,
density based methods, quality and validity of cluster analysis
Text Books
1. Pang-Ning Tan, Michael Steinbach, Vipin Kumar, (2008), Introduction to Data Mining,
1st edition , Addison- Wesley,
2. G. K. Gupta,(2009), Introduction to Data Mining with Case Studies, 3rd edition PHI,
New Delhi, [Book 1: Unit II - Chapter 1 (1.1- 1.4), Chapter 2 (2.1-2.3); Unit III Chapter 6 (6:6.2-6.7); Book 2 : Unit I - Chapter 8 (8.1- 8.6), Chapter 9 (9.3-9.7); Unit
IV - Chapter 4 (4.10 - 4.12); Unit V - Chapter 5 (5.1-5.6, 5.8)]
Reference Books
1. Arun K Pujari, (2009), Data Mining Techniques, 2nd edition, University Press
2. Jiawei Han and Micheline Kamber,(2006), Data Mining - Concepts and Techniques,
2nd edition, Morgan Kaufmann Publisher
1. Identify common network security vulnerabilities /attacks and evaluate the risks and
threats to networked computers
2. Develop the foundations of Cryptography and network security.
UNIT I
10 Hours
Introduction and classical encryption technique: OSI security architecture, security
attacks, security services, security mechanism, model for network security, symmetric cipher
model, substitution techniques, transposition techniques, steganography
UNIT II
10 Hours
Block ciphers, data encryption and advanced encryption standards: Block cipher
principles, the data encryption standard, the strength of DES, block cipher design principles
and modes of operation, evaluation criteria for AES, AES cipher-encryption and decryption.
UNIT III
10 Hours
Public key cryptography and key management: Principles of public key cryptosystem,
RSA algorithm, key management, diffie- hellman key exchange
UNIT IV
10 Hours
Message authentication and hash function: Authentication requirement, authentication
functions, message authentication code, hash functions, secure hash algorithm, digital
signatures, digital signature standard
UNIT V
12 Hours
Authentication applications and electronic mail security and IP security: Kerberos,
X.509 authentication service, pretty good privacy (PGP), S/MIME, IP Security Overview; IP
security architecture. System security - intruders, intrusion detection, viruses and related
threats, virus countermeasures, firewall design principles- characteristics, types of firewall
and firewall configuration
Text Book
1. William Stallings, (2009), Cryptography and Network Security Principles and
Practices, 5th edition, Pearson Education, ,.
[Book 1: Unit I - Chapter 1, Chapter 2 (2.1, 2.2, 2.3, 2.5); Unit II - Chapter 3 (3.1, 3.2,
3.3, 3.5), Chapter 5; Unit III - Chapter 9 (9.1, 9.2), Chapter 10 (10.1, 10.2); Unit IV Chapter 11 (11.1, 11.2, 11.3, 11.4), Chapter 12 (12.1), Chapter 13 (13.1, 13.2); Unit
V - Chapter 14 (14.1, 14.2), Chapter 15 (15.1, 15.2), Chapter 16 (16.1, 16.2),
Chapter 18 (18.1, 18.2), Chapter 19 (19.1, 19.2), Chapter 20 (20.1)]
Reference Books
1. Behrouz A. Forouzan & Debdeep Mukhopadhyay, (2010), Cryptography and Network
and Security, 2nd edition, Tata McGraw-Hill
2. Atul Kahate, Cryptography and Network Security, 2nd edition, Tata McGraw-Hill
Education,
3. Bruce Schneier, (2001), Applied Cryptography, 2nd edition, John Wiley & Sons Inc