Вы находитесь на странице: 1из 12

UNIVERSIDAD CATOLICA BOLIVIANA SAN PABLO Facultad de Ingeniera

Laboratrio de Electrnica Digital - ETN 105 Semestre: 2-2015

MUX y CODECS
Salas Prez Adhemar Inti
Daniela A. Martnez Barrozo
Rodrigo Mendosa
Gutierrez Agramont Ivan Mario
Paralelo 5. Horario 16:15-18:45
Fecha de entrega: 29-09-2015
Resumen.-En el informe, se muestra el fundamento terico y una experimentacin a base de distintos
circuitos para poder entender el comportamiento de MUX, Display, Codecs y Decodecs. Tambin
presenta todos los circuitos que fueron usados para poder realizar el laboratorio. Posteriormente se
muestra un anlisis de datos, donde se podr comparar los datos tomados con los datos que presentan las
tablas de verdad obtenidas tericamente que se encuentran en anexos, debidamente comentada en las
conclusiones.

Palabras Clave.-
nodo, Ctodo, Codificadores, Decodificadores, Lenguaje VHDL, Display, Mapas de Karnaugh,
Multiplexores.

I.- Introduccin Comprobar el comportamiento de algunos


componentes: Multiplexores, Codificadores,
En electrnica digital es indispensable el uso de
varios componentes. De los cuales se podr analizar Decodificadores, Display.
el comportamiento de algunos: Decodificadores
Codificadores Multiplexores. Adems se podr b) Objetivo Especfico
analizar usos de las compuertas lgicas como ser:
convertir de sistema decimal a un cdigo BCD o Realizar un sumador completo con
realizar sumadores lgicos. Para la primera parte se compuertas lgicas
usara el sumador completo de bits se construir a
partir de compuertas AND, OR y XOR usando LED
construir un MUX usando compuertas
s para comprobar el funcionamiento. En la segunda lgicas
parte se va comprobar el funcionamiento de un Realizar un sumador completo con
MUX, construyendo uno a partir de compuertas
AND, OR y NOT. Posteriormente la tercera parte se
Mux de 4x1
combinarn ambos, se comprobara el Programar un MUX en lenguaje
funcionamiento de un sumador a partir de MUXs. VHDL
Despus se programara un MUX en lenguaje VHDL Realizar un conversor de cdigo BCD
con la plataforma ISE. Para la quinta parte se har
un conversor de sistema decimal a cdigo BCD. Por a sistema decimal
ltimo se usara un codificador de 7 segmentos para realizar un conversor de sistema BCD
hacer unos conversos de cdigo BCD a sistema a sistema decimal
decimal.
II.- Generalidades II.I Lmites y Alcances del Laboratorio
a) Objetivo general
a) Lmites

1
UNIVERSIDAD CATOLICA BOLIVIANA SAN PABLO Facultad de Ingeniera
Laboratrio de Electrnica Digital - ETN 105 Semestre: 2-2015

Se usaron tanto compuertas NAND como OR,


XOR, para implementar los primeros
ejercicios del laboratorio, para uno de ellos se
us el multiplexor 74153 y verificar la tabla
de verdad del multiplexor.
Para el ejercicio de los Display, que se poda
usar o ctodo o nodo, en el laboratorio se us
el nodo en comn en el cual se demostr la
FIGURA 1 Diagrama de un multiplexor
tabla de verdad y el funcionamiento del
Display Figura1.- muestra un diagrama de un
Multiplexor de 4 canales a una salida,
b) Alcances del Laboratorio Unos de 2 bits y otro de un bit

De los 6 ejercicios 5 se pudieron demostrar en


Multiplexores y bits Hemos visto cmo a un
el laboratorio, el cuarto ejercicio se demostr
multiplexor le llegan nmeros por distintas
en el programa xilinx.
entradas y segn el nmero que le llegue por
Como se dijo previamente los primeros
la entrada de seleccin, lo manda por la salida
ejercicios eran demostrar el funcionamiento
o no. Recordemos que los circuitos digitales
de un multiplexor, el cual se demostr usando
slo trabajan con nmeros. Pero estos
el CI 74153 y otra forma de demostrar fue con
nmeros, vimos que siempre vendrn
compuertas NAND, XOR, OR.
expresados en binario y por tanto se podrn
Para el 5 se busc demostrar el cambio de
expresar mediante bits Cuantos bits?
BCD a decimal y el 6 se busc demostrar el
Depende de lo grande que sean los nmeros
funcionamiento del Display, escogiendo el
con los que se quiere trabajar.[1]
nodo o ctodo en comn y proceder a
demostrar el cambio de BCD a decimal con
b) De multiplexores
los Display.
Para cada caso se busc el DATASHEET de Un De multiplexor es un circuito lgico
los componentes. combinatorio que recibe informacin por una
III. ANALISIS TEORICO sola lnea de entrada y transmite esta
informacin en una de las 2n lneas posibles
a) Multiplexores de salida; la seleccin de una lnea de salida
especfica se controla por los valores de los
Un Multiplexor es un circuito combinacional
bits de las n lneas de seleccin.[2]
al que entran varios canales de datos, Y slo
uno de ellos, el que hallamos seleccionado, es
el que aparece por la salida. Es decir, que es
un circuito que nos permite SELECCIONAR
que datos pasan a travs de dicho
componente.[1]

FIGURA 2 Diagrama de un demultiplexor

2
UNIVERSIDAD CATOLICA BOLIVIANA SAN PABLO Facultad de Ingeniera
Laboratrio de Electrnica Digital - ETN 105 Semestre: 2-2015

Figura2.- muestra un diagrama de


demultiplexor de una entrada a 8 salidas.
e) Display ctodo comn
c) Display (pantalla) de 7 segmentos
Display nodo comn y ctodo comn. tiene todos los nodos de los diodos LED
unidos y conectados a tierra. Para activar un
Este tipo de componente se utiliza para la segmento de estos hay que poner el nodo del
representacin de nmeros en muchos segmento a encender a Vcc (tensin de la
dispositivos electrnicos, y aunque cada vez fuente) a travs de una resistencia para limitar
es ms frecuente encontrar LCDs en estos el paso de la corriente [3]
equipos (debido a su bajsima demanda de
energa), todava hay muchos que utilizan el
Display de 7 segmentos por su simplicidad.
Este elemento se ensambla o arma de manera
que se pueda activar cada segmento (diodo
LED) por separado logrando de esta manera
combinar los elementos y representar todos
los nmeros en el Display (del 0 al 9). El
Display de 7 segmentos ms comn es el de
color rojo, por su facilidad de visualizacin.
FIGURA 4 Diagrama de Display ctodo comn
Cada elemento del Display tiene asignado una
letra que identifica su posicin en el arreglo Figura4.- muestra cl comportamiento de
del Display. [3] un Display con caroto comn.

d) Display nodo comn f) Codificadores


Tiene todos los nodos de los diodos LED Es un circuito combi nacional formado por 2
unidos y conectados a la fuente de a la n entradas y n salidas cuya funcin es tal
alimentacin. En este caso para activar que cuando una sola entrada adopta un
cualquier elemento hay que poner el ctodo determinado valor lgico (0 o 1, segn las
del elemento a tierra a travs de una propiedades del circuito) las salidas
resistencia para limitar la corriente que pasa representan en binario el nmero de orden de
por el elemento [3] la entrada que adopte el valor activo.
Los codificadores comerciales construidos
con tecnologa MSI son prioritarios, esto
quiere decir que la combinacin presente a la
salida ser la correspondiente a la entrada
activa de mayor valor decimal.[3]
g) Decodificadores
Son circuitos combinacionales de N entradas
y un nmero de salidas menor o igual a 2n
.Bsicamente funciona de manera que al
aparecer una combinacin binaria en sus
FIGURA 3 Diagrama de un Display nodo comn entradas, se activa una sola de sus salidas(no
siempre).
Figura3.- muestra el comportamiento de
un Display de nodo comn. Los codificadores realizan la funcin inversa a
los codificadores. Un decodificador selecciona
3
UNIVERSIDAD CATOLICA BOLIVIANA SAN PABLO Facultad de Ingeniera
Laboratrio de Electrnica Digital - ETN 105 Semestre: 2-2015

una de las salidas dependiendo de la con la ayuda de las tablas de verdad


combinacin binaria presente a la entrada.[3] previamente resueltas, comprobar el
comportamiento de circuito montado. El tester
fue utilizado para comprobar las conexiones e
integridad de los mismos CI en caso de tener
problemas y que una revisin visual no fuere
lo suficiente.

FIGURA 5 Circuitos integrados (codificadores y


decodificadores)

Figura5.- muestra el componente de codificador y


decodificador que ser implementado en el
laboratorio. FIGURA 6 Circuitos integrados usados en el
laboratorio
III. MARCO PRCTICO Figura 6.- Muestra algunos de los circuitos
integrados usados para este laboratorio
a) Procedimiento
b) Resultados obtenidos
Para la prctica de laboratorio realizada en el
laboratorio de Fsica de la UCB, se utilizaron A continuacin se presenta el armado real de
los siguientes materiales: cada circuito y su esquema y tabla de verdad
respectiva:
Circuitos integrados (7400, 7404, 7432, 7408,
7486 y 74153). PRIMERA PARTE
1 protoboard
1 fuente de corriente continua
1 Multmetro
Varios Wire Jumpers.
Se armaron los circuitos sobre el protoboard
con ayuda de wire jumpers. Se colocaron
primeramente los circuitos integrados, los
cuales fueron puestos en el centro del
protoboard, interconectando entre si los pines
correspondientes al Vcc y a parte los pines
correspondiente al GND. Posteriormente se
interconectan los dems pines de acuerdo al
datasheet correspondiente al CI. Esto se hizo
FIGURA 6.1 DIAGRAMA DEL CIRCUITO
segn los esquemas de los circuitos #1: SUMADOR DE 1 BIT
desarrollados.
Una vez armado el circuito, se conectan las
salidas del mismo a una serie de leds para as,
4
UNIVERSIDAD CATOLICA BOLIVIANA SAN PABLO Facultad de Ingeniera
Laboratrio de Electrnica Digital - ETN 105 Semestre: 2-2015

En la figura 6.1 se muestra el esquema para el TABLA 1. TABLA DE VERDAD


armado fsico del circuito propuesto. Se evidencia RESPECTIVA AL CIRCUITO #1
las entradas siendo estas A, B y Cin y las salidas
siendo estas Suma y Cout.

A B Ci Co S

0 0 0 Low Low

0 0 1 Low High

0 1 0 Low High

0 1 1 High Low
En la Tabla 1 se muestra la tabla de verdad que indica
1 0 0 Low High el comportamiento que debiera seguir el circuito. En
las columnas 1-3, se ven los valores de entrada,
siendo 1 High y 0 Low. En las columnas 4-5 se ven
1 0 1 High Low los valores esperados de salida. Existen 8
combinaciones posibles en total.
1 1 0 High Low

1 1 1 High High
SEGUNDA PARTE

FIGURA 6.2 ARMADO DEL CIRCUITO #1


En la figura 6.2 se muestra el armado fsico del FIGURA 7.1 DIAGRAMA DEL CIRCUITO #2:
circuito propuesto. En l se evidencia el cableado a MUX CON NOT, AND, OR
positivo y negativo de los CI a la fuente. Adems se ve
a la derecha un conjunto de leds con sus respectivas
resistencias de proteccin, conectadas a las salidas
del circuito.
5
UNIVERSIDAD CATOLICA BOLIVIANA SAN PABLO Facultad de Ingeniera
Laboratrio de Electrnica Digital - ETN 105 Semestre: 2-2015

En la figura 7.1 se muestra el esquema para el


armado fsico del circuito propuesto. Se evidencia las
entradas siendo estas D1, D2, D3 y D4, las entradas
de control siendo A, B y la salida siendo esta F.

FIGURA 8.1 DIAGRAMA DEL CIRCUITO


#3: SUMADOR CON MUX 4:1
En la figura 8.1 se muestra el esquema para el
armado fsico del circuito propuesto. Se evidencia las
entradas siendo estas C, C, 1 y 0, las entradas de
control siendo A, B y las salidas siendo Ca y Suma.

FIGURA 7.2 ARMADO DEL CIRCUITO #2


En la figura 7.2 se muestra el armado fsico del
circuito propuesto. En l se evidencia el cableado a
positivo y negativo de los CI a la fuente. Adems se ve
a la derecha un led con su respectiva resistencia de
proteccin, conectada a la salida del circuito.

FIGURA 7.2 ARMADO DEL CIRCUITO #3


TABLA 2. TABLA DE VERDAD
RESPECTIVA AL CIRCUITO #2
En la figura 7.2 se muestra el armado fsico del
A B F circuito propuesto. En l se evidencia el cableado a
positivo y negativo de los CI a la fuente. Adems se ve
0 0 D1 el circuito integrado que se va a utilizar.

0 1 D2 TABLA 3. TABLA DE VERDAD


RESPECTIVA AL CIRCUITO #3
1 0 D3
A B C Co S C S
1 1 D4
0 0 0 Low Low Low C
En la Tabla 2 se muestra la tabla de verdad que indica
el comportamiento que debiera seguir el circuito.
0 0 1 Low High
TERCERA PARTE
0 1 0 Low High C C'

6
UNIVERSIDAD CATOLICA BOLIVIANA SAN PABLO Facultad de Ingeniera
Laboratrio de Electrnica Digital - ETN 105 Semestre: 2-2015

0 1 1 High Low FIGURA 10.1 DIAGRAMA DEL


CIRCUITO #5: CODIFICADOR DECIMAL (0-9)
1 0 0 Low High C C' A BCD (CDIGO BINARIO DIGITAL)
En la figura 10.1 se muestra el esquema para el
1 0 1 High Low armado fsico del circuito propuesto. Se evidencia las
entradas siendo estas 1, 2, 3, 4, 5, 6, 7, 8, 9, 0 y las
1 1 0 High Low High C salidas siendo estas A0, A1, A2, A3 y A4.

1 1 1 High High

En la Tabla 3 se muestra la tabla de verdad que indica


el comportamiento que debiera seguir el circuito.

CUARTA PARTE

FIGURA 10.2 ARMADO DEL CIRCUITO #5


En la figura 10.2 se muestra el armado fsico del
circuito propuesto. En l se evidencia el cableado a
positivo y negativo de los CI a la fuente. Adems se ve
a la derecha un conjunto de leds con sus respectivas
resistencias de proteccin, conectadas a las salidas
del circuito. Se ve a la izquierda una serie de cables
los cuales son las entradas del circuito.
FIGURA 9 PROGRAMACIN EN VHDL
DE UN MUX 4:1
En la figura 9 se muestra el cdigo del programa
realizado en lenguaje VHDL, con la funcionalidad
TABLA 4. TABLA DE VERDAD RESPECTIVA
del mux 4:1.
AL CIRCUITO #5
QUINTA PARTE
0 1 2 3 4 5 6 7 8 9 A1 A2 A3 A4

1 0 0 0 0 0 0 0 0 0 Low Low Low Low

0 1 0 0 0 0 0 0 0 0 Low Low Low High

0 0 1 0 0 0 0 0 0 0 Low Low High Low

0 0 0 1 0 0 0 0 0 0 Low Low High High

0 0 0 0 1 0 0 0 0 0 Low High Low Low

0 0 0 0 0 1 0 0 0 0 Low High Low High

7
UNIVERSIDAD CATOLICA BOLIVIANA SAN PABLO Facultad de Ingeniera
Laboratrio de Electrnica Digital - ETN 105 Semestre: 2-2015

En la figura 11.2 se muestra el armado fsico del


circuito propuesto. En l se evidencia el cableado a
positivo y negativo de los CI a la fuente. Adems se ve
0 0 0 0 0 0 1 0 0 0 Low High High Low el display con sus respectivas resistencias de
proteccin, conectadas a las salidas del circuito.
0 0 0 0 0 0 0 1 0 0 Low High High High
TABLA 5. TABLA DE VERDAD
0 0 0 0 0 0 0 0 1 0 High Low Low Low RESPECTIVA AL CIRCUITO #6

A B C D a b c d e f g
0 0 0 0 0 0 0 0 0 1 High Low Low High

En la Tabla 4 se muestra la tabla de verdad que indica 0 0 0 0 1 1 1 1 1 1 0


el comportamiento que debiera seguir el circuito.
0 0 0 1 0 1 1 0 0 0 0
SEXTA PARTE
0 0 1 0 1 1 0 1 1 0 1

0 0 1 1 1 1 1 1 0 0 1

0 1 0 0 0 1 1 0 0 1 1

0 1 0 1 1 0 1 1 0 1 1

0 1 1 0 1 0 1 0 1 1 1
FIGURA 11.1 DIAGRAMA DEL CIRCUITO #6:
DECODIFICADOR DE 7 SEGMENTOS, BCD 0 1 1 1 1 1 1 0 0 0 0
(CDIGO BINARIO DIGITAL) A DECIMAL
(0-9). 1 0 0 0 1 1 1 1 1 1 1

En la figura 11.1 se muestra el esquema para el 1 0 0 1 1 1 1 0 0 1 1


armado fsico del circuito propuesto. Se evidencia las
entradas siendo estas A, B, C, D y las salidas
conectadas al display, siendo estas a, b, c, d, e, f, g.
En la Tabla 5 se muestra la tabla de verdad que indica
el comportamiento que debiera seguir el circuito6

4. CONCLUSIONES Y
RECOMENDACIONES

4.1. Conclusiones
Se modelaron los 5 circuitos, para el primer
circuito se conectan los circuitos integrados
que contienen las compuertas AND OR y
XOR para modelar un sumador completo.
Para el segundo se us los circuitos integrados
que contiene las compuertas AND NOT y OR
para modelar un MUX. Posteriormente se us
FIGURA 11.2 ARMADO DEL CIRCUITO #6 el CI 74LS153 que contiene un MUX, para
modelar un sumador completo. En la cuarta
8
UNIVERSIDAD CATOLICA BOLIVIANA SAN PABLO Facultad de Ingeniera
Laboratrio de Electrnica Digital - ETN 105 Semestre: 2-2015

parte se program en lenguaje VHDL con la Para el tercer objetivo especfico


plataforma ISE se demostr con un test bench existieron varias dificultades, ya que no se
que efectivamente el MUX programado conoca el comportamiento de un MUX pese a
funcionaba de manera correcta. A tener el DATASHEET fue bastante
continuacin se usaron varias compuertas que complicado entender el funcionamiento,
se estaban en anexos, se tena que realizar un despus de varias pruebas se pudo encontrar
conversor de decimal a cdigo BCD, para
los errores que presentaba nuestro circuito,
comprobar la funcionalidad 4 LEDs fueron
finalmente se subsanaron los errores y se
implementadas. Por ltimo se us el Display
de nodo comn para realizar un conversor de puedo demostrar de manera experimental la
cdigo BCD a sistema decimal. tabla de verdad planteada (adjunta en anexos).

En la primera parte se cumpli el objetivo Para el cuarto objetivo especfico tambin


especfico ya que no existieron existieron complicaciones al momento de
complicaciones debido a que el circuito instalar el programa, posiblemente por un
diseado era bastante simple y fcil de problema de licencias el programa no poda
comprender. Se comprob con la tabla de ser ejecutado. Se volvi a instalar en otra
verdad que se muestra en anexos. Se usaron laptop y la licencia fue verificada,
diodos LED para comprobar la salida del inmediatamente el programa pudo ejecutarse y
sistema, no se utilizaron multmetros ya que realizar el test bench para comprobar el
son bastante estorbosos cuando se tratan de funcionamiento del MUX programado por lo
varias salidas. tanto se alcanz a cumplir el objetivo
mencionado.
En el segundo objetivo especfico se pretenda
armar un MUX y se necesitaban varias Posteriormente en el quinto objetivo
entradas. En total se usaron 6 (se usa notacin especfico, se implementaron varias entradas
entrada para denominar si se le dar un ya que cada entrada representaba un nmero
valor) el MUX contiene 4 entradas de datos y en la escala decimal, de 0 a 9 en total 10
dos que son la decisin de cul de las entradas entradas. Al momento de implementar el
se va a utilizar, usando la siguiente circuito se hizo de manera cautelosa ya que
comparacin: cada salida presentaba una confusin. Sin
embargo tomando la debida precaucin el
circuito tan complejo se transform en algo
bastante simple. Se comprob la
funcionalidad probando cada nmero decimal
en una salida de 4 LEDs que representaba el
numero en una escala del cdigo BCD.

0 = LOW se logr conectando el wirejumper


al lado negativo de la fuente
1=HIGH se logr conectando el wirejumper al
lado positivo de la fuente En el ltimo objetivo especfico los problemas
Adems el valor 1 se poda obtener se produjeron cuando se arm el circuito, en el
simplemente desconectando el wirejumper. DATASHEET indicaba donde se deba
conectar el Vcc sin embargo no se tom en
cuenta si era de nodo comn o de ctodo
9
UNIVERSIDAD CATOLICA BOLIVIANA SAN PABLO Facultad de Ingeniera
Laboratrio de Electrnica Digital - ETN 105 Semestre: 2-2015

comn, despus de verificar esto el circuito se Display estaba daado al comprobar su


comprob con cado uno de los nmeros (de 0 funcionamiento con un a resistencia y una
a 9 en sistema decimal). fuente se comprob que efectivamente el
componente no era funcional.
El objetivo General fue cumplido debido a
que se comprob el funcionamiento de los Estudiar los DATASHEET antes de montar el
distintos componentes que se pretenda. Se circuito ayuda bastante ya que nos brinda
informacin necesaria para implementar de
comprobaron tanto tericamente como
manera correcta el componente que se
experimentalmente. Se pudo estudiar que los
pretende utilizar. Para la parte nmero 3 que
componentes estudiados puedes funcionar de se pretenda armar un sumador con un MUX,
manera correlacionar, es decir pueden se tuvieron varias dificultades pero una vez
simplificar de gran manera un circuito que se investigo el DATASHEET, el proble
complejo como se puede ver en la parte fue subsanado.
nmero dos, se puede apreciar cmo es
5. BIBLIOGRAFIA
posible simplificar un sumador completo
solamente utilizando MUXs.
[1] Etitutela.circuitos Jose miguel (28-
3-2008)
4.2. Recomendaciones [2] I.P.N. ESIME Unidad Culhuacan-
Para futuros laboratorios se debe tomar el CEAM (4-10-2011)
debido tiempo para montar los circuitos,
[3] electrnica analgica-Luis A.diaz
hacerlos con precaucin ya que pueden
(26-2-2008)
parecer bastante complejos pero si se los
monta con paciencia y concentracin estos
tienden a ser bastante simples. En el circuito
numero 5 las fallas se presentaron porque el
circuito se arm de manera apresurada,
solamente cuando se tom el debido tiempo
para revisar bien el circuito fue cuando
funciono.

Se debe tomar en cuenta la funcionalidad de


cada componente, comprobar que todos los
circuitos integrados estn en perfecto estado
ya que si una compuerta esta defectuosa todo
el experimento estar errneo. Al momento de
armar el circuito seis el error se dio porque el
10
UNIVERSIDAD CATOLICA BOLIVIANA SAN PABLO Facultad de Ingeniera
Laboratrio de Electrnica Digital - ETN 105 Semestre: 2-2015

11
6. ANEXOS

12

Вам также может понравиться