Вы находитесь на странице: 1из 14

UNIVERSIDAD NACIONAL ABIERTA Y A DISTANCIA UNAD

Sistemas Digitales Secuenciales

COLOMBIA 23/03/2016

INTRODUCCION

En el siguiente trabajo desarrollaremos el proyecto de la gua integrada de actividades del curso


Sistemas Digitales Secuenciales, el cual solicitan dar solucin usando la circuitera
combinacional de circuitos secuenciales para disear un pequeo vehculo el cual es impulsado
por dos motores DC adems de esto contara con dos sensores en la parte frontal que sirva para
detectar el impacto contra los obstculos, para ello debe realizar al momento de la deteccin un
retroceso por un tiempo de 3 segundos y luego reiniciar su marcha hacia delante usando un
temporizador 555 en modo monoestable, para la elaboracin de este circuito se realizaron varios
prototipos o circuitos en donde se analizaron sus funciones para llegar a la presentacin del
circuito que ms integre lo solicitado, con este proyecto lograremos evidenciar las propiedades y
funciones del temporizador 555 y las caractersticas de los Flip-Flops, adems con base a este
proyecto pondremos en prctica la utilizacin tablas de verdad, simulaciones en software de
electrnica que nos sern de gran utilidad para el desarrollo de nuestra carrera y conocimientos
en esta rea.
OBJETIVOS

OBJETIVO GENERAL

Dar solucin al problema planteado del Diseo de un Vehculo impulsado por dos motores DC,
implementando Circuitera Combinacional y Circuitos Secuenciales, estudiadas en la Unidad 1.

OBJETIVOS ESPECIFICOS

Generar habilidades y destrezas en el diseo, implementacin y programacin de circuitos


digitales secuenciales, a travs de herramientas de software y hardware para la solucin del
proyecto que se entrega a realizar.

Implementar los sistemas digitales secuenciales, mediante el estudio de los cerrojos S-R, JK,
T, D, y sus aplicaciones con Flip Flops.

Aplicar cada uno de los conceptos dados para la elaboracin del proyecto como son las
Algebra Boleana, Almacenamiento de Registro, Flip Flops, Mquinas de estado.

Conocer la aplicacin de los Circuitos de Tiempo mediante el integrado 555.

Dar solucin e innovacin tecnolgica a travs del diseo del circuito apoyados en
herramientas de simulacin y softwares especializados para la ejecucin de dicho proyecto.

Desarrollar e incentivar a la investigacin mediante la elaboracin del proyecto electrnico y


as generar aptitudes y estrategias fundamentales en el ejercicio en nuestra vida como
profesionales.
DESCRIPCION DEL PROBLEMA

Usando una circuitera combinacional y circuitos secuenciales, se debe disear un pequeo


vehculo impulsado por dos motores DC. El carro contar con dos sensores en la parte frontal
que servirn para detectar el impacto del carro con un obstculo. Una vez que uno de los
sensores haya detectado el impacto del carro, ste deber retroceder por un tiempo de tres (3)
segundos y reiniciar su marcha hacia adelante, este tiempo debe ser controlado usando un
temporizador 555 en modo monoestable.
Realizar un video para el diseo implementado en el software de simulacin seleccionado. Se
debe subir el video a un gestor de videos en la web como evidencia del trabajo realizado y
anexar el link correspondiente en el informe final de la actividad.
DIAGRAMA DE FLUJO
DIAGRAMA DE BLOQUES

TABLA DE ESTADOS

C Q` Motor
D CLOCK
1 0 1
0
1 0 0
0
0 1 1
1
0 1 0
1

Debemos explicar de la tabla anterior que:


D = Q` Ese fue el diseo que se implement en el circuito.
Motor El estado 1 representa el avance del motor, el estado 0 representa el retroceso del motor.
Clock El flip-flop se activa por flanco de subida.
DISEO VHDL

TABLA DE VERDAD

Q CLOCK Motor
D
1 1 1
0
1 0 0
0
0 1 1
1
0 0 0
1

MAPA DE KARNAUGH

Q,clok 00 01 11 10
D
0 X X 1 0

1 0 1 X X

D Q` clock
D` Q clock
Clock

Motor = clock
Luego de realizar La simplificacin del circuito procedemos a implementarlo en VHDL:
TEMPORIZADOR 555 EN MODO MONOESTABLE

T = Tiempo de carga del condensador = 3s.


Teniendo en cuenta la formula vista en el mdulo donde:
T = 1.1*R1*C, Se dise e implemento un capacitor de 0.272mF:
T = 1.1*10K*C C = (3s/1.1) / 10K = 0.272mF

Entonces tenemos el circuito:


Con los switches abiertos (Sensor sin seal) los motores giran en un solo sentido por corriente que deja pasar el transistor Q5.
Con los switches cerrados los motores reciben por permiso del transistor Q7 el digito de la salida Q del flip-flop, a su vez el transistor
Q5 deja de conducir permitiendo que el digito Q del flip-flop sea una seal que permite cambiar el sentido de giro de uno de los
motores.
Con los switches cerrados los motores reciben por permiso del transistor Q6 el digito de la salida Q` del flip-flop, a su vez el transistor
Q5 deja de conducir permitiendo que el digito Q` del flip-flop sea una seal que permite cambiar el sentido de giro de uno de los
motores.

Debo aclarar que el voltaje de funcionamiento de los motores paso a paso fue modificado, ellos funcionan normalmente con 12V y los
utilizados en la simulacin funcionan a 2V, esto solo con el fin de realizar la simulacin.
EVIDENCIA VIDEO

https://www.youtube.com/watch?v=gfMD2NdDdCc&feature=youtu.be
CONCLUSIONES

Despus de realizado el documento anterior, podemos sacar las siguientes conclusiones:

Fortalecimos los procedimientos y conocimientos para el diseo, simulacin e


implementacin del circuito electrnico, en el cual se manifiesta en dar solucin.
Implementamos los sistemas digitales secuenciales, poniendo en prctica el estudio de los
cerrojos S-R, JK, T, D, y sus aplicaciones con Flip Flops.
Practicamos los conceptos dados como fue la Algrebra Boolena (Puertas Lgicas, Tablad de
Verdades, Funciones de variables, etc.), Almacenamientos de Registros, Mquinas de Estado,
etc.
Conocimos la aplicacin de los Circuitos de Tiempo mediante el Integrado 555.
Desarrollamos e incentivamos las habilidades en el manejo de diferentes herramientas
Software de Simulacin de Circuitos.


REFERENCIAS BIBLIOGRAFICAS

Campus Virtual UNAD. Entornos de Aprendizaje de la Herramienta (Entorno de conocimiento


Aprendizaje Colaborativo Aprendizaje).

ACEVEDO, G. (2008). Mdulo Sistemas Digitales Secuenciales. Medelln, Antioquia:


Actualizacin del 26 de febrero de 2008, Universidad Nacional Abierta Y A Distancia Unad.
Facultad De Ciencias Bsicas E Ingeniera. Programa De Ingeniera Electrnica.

Flip Flops, Multivibradores y Contadores. www.electronicasi.com/wp-content/.../04/flip-taller-


de-electronica.pdf.

Proyecto investigacin de Tecnologa (2010). Circuito 555. Sites.google.com. Consultado el 27


de Febrero de 2016. Disponible en https://sites.google.com/site/tecnoiesvillena/circuito-555.

Tocci, J. R.; Widmer, Neal S. (2003). Sistemas Digitales Principios y Aplicaciones Octava
Edicin, Mxico: Pearson Educacin.

Youtube (2013). Biestables asncronos - Biestable RS - aprobarfacil.com - V303. En Youtube.


Consultado el 15 de Febrero de 2016. Disponible en https://www.youtube.com/watch?
v=85VJ4Bq4UwQ.

Electrnica Digital (2012). Elementos biestables: Flip-flops R-S, M-S, J-K, T y D, sncronos y
asncronos. En sites.google.com. Consultado el 12 de Febrero de 2016. Disponible en
https://sites.google.com/site/electronicadigitaluvfime/4-3-elementos-biestables-flip-flops-r-s-j-k-
d-y-t-sincronos-y-asincronos.

Circuitos secuenciales (2012). Circuitos Secuenciales. En slideshare.net. Consultado el 22 de


Febrero de 2016. Disponible en http://es.slideshare.net/diegoavalos_tec/circuitos-secuenciales-
12604375

Вам также может понравиться