Вы находитесь на странице: 1из 15
10¢-— Ra Gait Eg = 12.95 koalimole AllnP 103 € ge w = < & ed S G 102 | 101 1 t 1 1 1 3.0 34 3.2 3.3 34 35 36 1000/T (4) 2.6 Wet Etching of Other III-V Materials GaSb can be etched in hot HC! (27), HNOs/HF (28) or HCI/H2 03: (29) mixtures, and can be polished with bromine- methandI solutions. GaN can be removed with hot (80°C) NaOH/H2 0), solutions (28), whereas GaP can be etched in the same type of mixtures as for GaAs, eg, HNO3/HF, HNO3/HCL Hy SO, /H2 0} or bromine-methanol (20). Y In the In-based TII-Y semiconductors, InSb can be etched in HNO;/HCI, HNO3/HF, NaOH/H,O, (hot), H,SO4/H,O, or bromine-methanol (20). InAs is typically etched in HCI based mixtures, HNO3/HF, HNO3/HC1 (with wafer or acetic acid addition (20). A sclection of typical eiches for a variety of III-V materials is shown in Table 3. Selective etches for some of the materials are also given. TABLE 3, Wet Chemical Etch Mixtures for Various I11-V Semiconductors Material Ech Solution Comment AlGaAs Ka selective over GaAs for low [2 Gis KU selective over AlGaAS for high Ip InGaP =H PO,/HCI/H,O _ highly selective over GaAs for low Hy POs AllnP HCO selective over GaAs InGaAs H,$04/Hz02/H,0 selective over InP InP HCI/H, 0 selective over InGaAs InAlas —HCI/EO selective over InGaAs Gib HCL 11,0, or HO added HNO, /HE GaN NaOH ‘must be heated (80°C) GaP HNO, /THF or HCl“ HO can beaded H,S0,/H,0; insb HINO; /HF or HCI 1504/1120 InAs HCL HzO can be added /HNO;/HF of HCI pt she (btfered) @ Material Etched Exchants ‘Comments 1. Silicon MF HNO ‘This is an isotropic etch. Varying the HNO3 concentration with respect vo that of the HE varies surface rout roughnes and changes the dopendence of the et onthe substrate doping Acetic acid enhances the exidiing power of te 1INOs, making the etch more dependent on HE concentration. 2. Sion si OMe Eta Ankotopc ais (naa pla ‘ne Diamine/ etch rate dependent) plane et apa Pyrechaechol/ {high rate tolerate ethvlne diane water (200), (110), (111); KOH (110), (100), (111). | 3. Siiom diode Bu ide Bufered HF Ammonium fouride is (BHF) the usual Sot eae Ze | ue ae sa iteid Be Hot phos Unbutfered HF- etches are very fast. | Memaadts’ Nardaccisyeataesonns | ware Saar asa H(i8%). HNO3 es (70%) 3: 1 @T0°C oe Amine SoA hr ng fn eee caren o:atmoum at HC ad sm gine Yen we Gal rt hi a DRY ETCHING A 1. Introduction Dry etchingencompasses a number of variants, and in most cases the demareation is rather loose [1 2}. Plasma e(ching is a term often applied to etching performed at pressurcs above ~ 100 mtorr, whereas, Teective ion etching (RIE) is used to refer to lower pressure (< 100m torr) methods. Etching takes place through a combination of chemical and physical com- ponents. For example a chlorine discharge contains & Combination of chlorine atoms, molecules, radicals and ions. {II-V materials will etch spontaneously uipon exposure to atomic chlorine through the forma- : tion of volatile group I1J and group V chlorides. This js the chemical etch component, which is generally isotropic (exceptions occur when different crystallo- + frraphic planes etch at diflerent rates). Energetic ions also strike the semiconductor sample, leading 10 sputtering of its surface. This is the purely physical component of the etching, which is anisotropic. In all @ dry etching techniques the physical or chemical com- ponents are traded off in order to achieve the desired result. The chemical etching is rapid, but isotropic, whereas the physical etching is slow, but anisotropic 2. Plasma etching variants Some of the dry etching techniques in common usage are given below. Jon milling. A relatively high energy (300-800 eV) inert ion beam (typically Ar*) at pressures < 10-4 torr is directed on to the sample, which ean be tilted relative to the beam normal (3~6], Sample erosion occurs by physical sputtering, typically at rates of ~ 50m min” '. The etching is highly directional, but there is litte selectivity between the semiconductor and any masking material and the high ion energy creates significant displacement damage. Generally this damaged region extends tens to hundreds of ‘nanometres into the material and it must be removed by wet chemical ciching before further processing proceeds [6] Plasma eiching. This is typically performed in a so- called barrel etcher (a cylindrical vacuum discharge vessel) at pressures > 100mtorr. The sample sits at Aloating potential within this relatively high pressure discharge and is isotropically etched at quite high rates (1, 2] There is little application for this tech. nique (o III=V semiconductor etching because of its lack of directionality, but it is often employed for stripping of dielectric or photoresist films from the semiconductor. Reactive ion etching. This is typically performed at 10-100 mtorr pressure in a parallel-plate or diode type reactor [1, 2] The discharge is ignited and sustained by application of radiofrequency (r.) (usu ally 1356 MHZ) power through a coupling capacitor. Because electrons in the discharge respond to the half cycles of rf application, whereas the more ma ions cannot, the reactor walls charge negatively with respect to the body of the plasma, producing a space- charge or sheath region. Ions which stray near the edge of this sheath are accelerated across it. If the electrodes are made unequal in size, this potential difference is concentrated at the small electrode. The sample to be etched is placed on this powered elec- twode and is subject to the ion bombardment and impingement of neutral gas atoms and molecules from the plasma, This process is shown schematically in Fig. L There are 3x 10"? neutral atoms em”? for each | mtorr gas pressure, whereas the ion density isin the © range 10'°-10!" em for most conventional rf dis- charges, and up to 10'¥em™? for some of the new high-density discharges, such as electron cyclotron resonance (ECR), transformer coupled plasmas (TCP), helical resonators and helicons. The electrons are heated by the applied field and have typical temper- atures of ~ 10eV while the neutral and ion temper- ature is usually ~1eV. RIE is by far the most popular dry etching technique used for 1M-V mater- ials, and takes advantage of the fact that there is a synergitm between the physical and chemical etching mechanisms. The observed etch rates with RIE are faster than the sum of these two components. This is usually ascribed to the fact that the volatile etch products formed by chemisorption of active species from the plasma on to the semiconductor surface are quickly removed by physical sputtering. exposing a fresh layer of the material Chemically assisted ion-beam etching, Separate con- trol of the chemical etching and ion bombardment are attained by creating a remote, chemically-active plasma or beam (e.g. chlorine) which impinges on the sample, and having a separate ion beam of inert gas (eg At“). This arrangement separates the plasma generation process from the ion bombardment com ponent, which is not possible in RIE. CAIBE is often used in fabricating laser facets or other angled features because of the ability to tit the sample with respect to the ion beam (1, 2]. Schematic illustrations of the various dry etching techniques and geometries can be found elsewhere (1, 2} ‘A particularly useful and versatile approach is the hybrid ECR-rf. reactor, shown schematically in Fig. 2a [7]. Microwave power (2.45 GHz) is launched into a cavity, creating a plasma within a quar vacuum containment vessel. Permanent magnets around this vessel cause electrons in the plasma to precess along helical paths [8]. At a magnetic field strength of 8.75 x 10"? T, the prevessional frequency is 2.45 GHz and is therefore resonant with the applied ‘microwave power. The degree of ionization in these ECR zones is much higher (~ 10%) than in conven tional rl. discharges (0.1%-1%). Aetive species from this plasma diffuse to the sample position, which is separately biased by application of 4. power to con- {rol the impinging ion energy [9] schematic plan View of the ECR source is shown in Fig. 2b. There are other ECR source designs, of which the most popular utilizes electromagnets to shape the B-field, drawing @ plasma stream on to the sample [10-15] Te 100v Tate 1, = mes 10% j= 10 =3x 10m (Te Plasma Volatile Shean product av=100V omar 4 Ro-Few mm. Substrate = ~~~! electrode Figure | Schematic representation ofthe rescive in ech process sith yp vales for some ofthe key plasma parameters. Sliding shor ‘cavity Microwave input probe Water edeling ‘Quan chamber: Magnets (8) 3s inlet Gasiniet Secondary | 908 ing m | Water: ‘vacuuin pump | Tomperatura De. ore, contrallag lower ™ bias input ‘lectrode, i holder i) ‘eure 2 Schematic view of ECR, plasma reactor for etching of THI-V material a) and plan view of multipolar microwave plasms generation source b) ‘The advantage of the hybrid ECR-rf. approach is | that the enhanced discharge provides a faster etch than rf. alone, and hence lower ion energies can be utilized while still retaining practical etch rates. This leads to lower levels of damage in the semiconductor, ‘The etching is also extremely anisotropic at the low pressures used, typically ~ 1 m torr, 3. Effects of ion damage during RIE Energetic ions striking the semiconductor surface create displacement damage consisting of point de- fects and their complexes. The threshold energy for Frenkel defect formation in III-V materials, is ~ 20 eV, and some of the defects created have deep levels within the forbidden bandgap. These levels trap charge cartiers and reduce the carrier density in the near-surface region of dry etched samples. The optical properties of the semiconductor are also affected be- ‘cause the deep levels are also usually non-radiative. An example of the effects of this damage is shown in Fig. 3, where the observed and calculated photolumi- nescence (PL) intensity from an epitaxial Alp 5G, AS layer on a GaAs substrate are displayed during BCI, dry etching of the AlGaAs [16]. The PL from the AIGaAs decreases more rapidly than expected and disappears before the AIGaAs is removed. The GaAs PL also decreases rapidly when the substrate is reach- ed upon removal of the AlGaAs. The differences be- tween observation and prediction are a result of plasma-induced damage creating non-radiative cen- tres in both the AIGaAs and GaAs 20 PLsig. (Arb, units) °. $8. oy, anaes oer en Pe Etched depth (um) Figure 3 Observed (—-) and. calculated (-- -) GaAs and [Al Gay.» Ae photolumsinescence intensities induced by continuous wave laser excitation daring BCI, plasma etching. An example of the electrical effects of dry etch damage is shown in Fig 4. The CH,/H, chemistry generally leaves an indium-rich surface on InP, which is strongly n-type. An evaporated gold contact on this dry-ctched surface therefore does not display any rectifying behaviour, and indeed shows an chmic nature [17-19], Wet etch removal of > 15 nm of ma- terial prior (0 evaporation of the gold contact is necessary to restore its rectifying nature. The doping density in the InP may also be affected to depths up to ~ 100mm because of deep level introduction Changes to the electrical and optical properties of the semiconductor are minimized by using the ECR-r. approach. Exposure to the plasma environment can also affect, the integrity of the mask material. For example, CF. is widely used for etching dielectrics such as SiO;, but tends to deposit a fluorinated amorphous carbon polymer on the sidewalls of the mask material [20], However, the sidewall of photoresist can become rough under these conditions because the polymer coating is not permeable to the nitrogen which is released from the resist during the plasma heating that occurs during SiO, etching in CF, discharges. This sidewall roughness on the mask will be transferred into the underlying SiO, (21. The inerease of sidewall roughness for the resist also results from the different erosion rates between resist, sidewall-sputiered SiO, and polymer residue [22]. The sputtering of SiO; on the sidewall of the resist is difficult to avoid, owing 10 the relatively high etching bias ( > 75 V) that has to be maintained to achieve a straight SiO, sidewall. In Fig. Sa, the sidewall of the resist mask is still quite smooth after 1 min CF RIE and the SiO, etch depth is ~ 45 nm. After 4 min etching, the roughness is quite obvious and is also transferred into the bottom SiO; as illustrated in Fig. $b. The scale of this roughness is~ 100.nm, and this ean present a problem when etching submicromette features such as some laser stripes, because of the significant variations in linc Asetched| 037 or Reverse bias Vi oF Figure 4 Revers voltage-curren!characersies from Au/t-InP oes, which the gold was deposited ether before (contra) oF afte (etched) CHy/H, reactive in etching Inthe other wo cases either (~~ -) 9or b= +) 1S nm were wet chemically removed alter the dey etch step before depositing the gold contact, Figure 5 Scanning ekaron micrographs of etched SO, (on fed) land photoresist mask aller either (a) I min or (6) 4 mtn plasma stching in a CF oshatge width. Use of SF, discharges in place of CF, reduces the sidewall roughness because of the lack of polymer deposition, and use of ECR or other enhanced plasma sources also reduces roughness due to the lower dc. biases employed. Post-development baking of the res- ist is also an effective method for reducing erosion during plasma exposure. The choice of mask material depends on the particular application and the plasma (Y chemistry being used [23]. For example, CH./H, Geiarges 0 a honiely co na cots masking materi bat rather depos polymer on any norvctching surface. Metals sueh as tanium and Sluminium are shed in chlorine based ocharges and tungsten cqicly removed by fuorinecontin ing plasmas (24 4. Plasma chemistries ‘Traditionally, chlorine-based plasmas have been used for dry etching of It-V materials [25-35] and this is a fortunate situation because it makes patterning of dielectrics on compound semiconductors particularly simple with fluorine-containing (CF,, SFe, NF3) plasmas. Typical gases employed are Cla, SiCl,, BCly or CCI,F; (Freon-12) with additions of argon, helium ‘or oxygen to provide easier ignition of the plasma, more stable operation or dilution to control the etch rate. Pure chlorine tends to have extremely fest etch rates and leaves @ rough surface because the inhibiting native oxide on the semiconductor surface is. not broken through in a uniform fashion. Boron trichlor- ide is a particulanly attractive discharge because it geticrs water vapour and so is quite forgiving of residual amounts of this in the vacuum chamber. It also readily attacks the native oxide on III-V mater- ials, and provides smooth, controlled etching. The gases Cl,, SiCl, and BC1, are all toxis and corrosive ‘and require cautious handling. By contrast, CC1,F. is Quite safe and does not need any special safety consid- erations. It has been used extensively for selective etching of GaAs over AlGaAs because the flucrine in the discharge forms relatively involatile AIF, upon exposure of any AlGaAs layer and thus provides an etch stop reaction. The availability of CCI, F is being severely restricted because of its ozone-depleting characteristics, and attention has turned to mixtures of BCI, of SiCl, with SF, to obtain conditions, Cl, BCI, and SiC\, all provide equi-rate etching of GaAs and Al, Gay_, As over the entre aluminium composi- tion range. Table I shows some of the characteristics of plasma chemistries used for IMI-V materials Fig. 6 shows the etch rate of GaAs in a CC1,F,/O; low-pressure (4m torr) discharge at low power dens- ity, as a function ofthe sample temperature [36]. The etch rate is thermally activated with several aetiva- tion energies (0.17 eV at T'< 150°C, and 0.11 eV at T> 150°O, The arsenic-to-gallium ratio in the ‘near-surface region increases with increasing etch tem perature indicating that above 150°C there is more ‘ficient desorption of GaCl, relative to AsCl,. At low temperatures it has been reported that the main etch products with chlorine-based etching of GaAs are GaCl, and AsCl,, whereas at high temperatures the main products are GaCl and AsCI (37, 38] In some situations the eich products can be ob- served with spectroscopic techniques. Fig. 7 shows optical emission spectra from a CCl,F; discharge with no wafer loaded in the plasma reactor. The lines are due to various chlorine and fluorine emissions, with the broad continuum resulting from CF, and CCl, transitions. Introduction of GaAs into the chamber TABLE 1 Typical etch mictares for I-V semiconductors Chemisty Comments ‘Typical rates (2) Clybasea 1, SiCl,, BCL. Usually have additions 300 mmmin=* cei, of Ar 0: He Smooth for for GaAs GaAs rough for InP 3) maint forte? (6) CH, based CHIH. C)HUH, Ar often added for amen C/A, CHIME stability smooth etching for InP and ‘of InP, Heavy polymer InGaAs, lower deposition for Gane (6) Lybased CHyh CoH, High rates at room tem- 5X0 nmin“! perature InP, Corrosive. for InP and No polymer deposition InGaAs 30 nm min for Gans @) Beybised HB, CF,Br, Bry Corrosive = 300 — i E 260} £ e200 § 180} peat 3 i 0 100 160 200 250 300 350 400 Temperature (‘C) ‘igure 6 GaAs etch rate in a 19 CCL F105. 4 more. 06 Wem discharge, d mor, at 4 farction of the sample temperature produces extra lines due to gallium and GaCl trans- itions. The intensity of these emissions increases at higher dc. bias conditions where the GaAs etch rate is faster. Monitoring of such emissions can be used for end-point detection, when etching through « GaAs layer into a different underlying material Day ciching often changes the stoichiometry of the near-surface region of the semiconductor, and plasma- related residues are usually present. Surface analysis, techniques such as Auger electron spectroscopy or X- ray photoelectron spectroscopy have proved particu- larly useful in measuring both types of changes to the ‘material. Fig. 8 shows depth profiles from GaAs sam- piles either before or after RIE in SiCl4/Ar or CL/Ar discharges. The untreated sample shows the presence of @ native oxide and adventitious carbon, and the lattice constituents are in the ratio 1:1. The etched samples show the presence of chlorine contamination on their surfaces (depth ~ | am) and a deficiency of arsenic to 2 depth of 3 4m) in indium- based materials, the etch rates with CH,/H, are too low to be practical. Mask crosion by narrowing is also of concern in these situations. To overcome these problems, one may either use iodine-based discharges, or a newly developed Cl,/CHy/Hy mixture with the sample held at temperatures > 130°C [57-60]. This | prohibits using photoresist as « mask, but any dielee- tric or metal mask works well. Pute chlorine dis- charges etch InP slowly and roughly at room temper- | ature because of difficulty in removing the indium | chloride species. At elevated temperatures these spe- | Cies are more volatile, and the etching is rapid but still somewhat rough because now the rate of removal of the indium is actualy faster than that of the phosphor- ous. Addition of hydrogen to the discharge enhances the phosphiorous removal, and the role of the methane | appears to be as a sidewall passivant, preventing lundereut. In FCR reactors. argon can also be added to facilitate plasma ignition. At high microwave powers (700 W), low pressure (~ Imtore) and low bias (= 80 V}.etch rates of ~ | ym min” can be obtained for the InP/InGaAsP heterostructure system. Fig. 1 | shows mesas etched into « long wavelength (15S jm) laser structure using a Cls/CH,/H,/Ar discharge at ~ 130°C. The etch rates with this mixture are ~ 10° times faster than with CH,/H, at the same pressure and bias conditions. 5. Applications Dry etching offers many advantages over wet etching, for device fabrication, including better dimensional ; control, superior uniformity. compatibility with multi- chamber processing and less effluent. The disadvant- ages are the much higher capital equipment cost, and to a lesser extent the question of damage in the semiconductor. Because metal Schottky or ohmic con- tacts can be used as selfaligned etch masks, the directionality of dry etching enables one to minimize parasitic capacitances arising from electrode spacings. Many different II1-V devices have been demonstrated using this type of approach (61, 62], including hetero- Junction bipolar transistors, quantum well infrared detectors, heterostructure field-effect transistors and self electro-optic devices. ‘There are two particular applications that demon- Srate the versatility and dimensional control of dry ‘etching, namely submicrometre gratings and through- wafer via holes. Distributed feedback lasers require the presence ofa grating structure near the active layer for mode discrimination and control of the laser fre- quency. The period of first-order gratings is of the order of 200 nm for typical 1S im InGaAs/InP lasers, and this is most conveniently obtained by holographic exposure of photoresist masks, followed by etching. welt laa Both wer-d ical and dry etching have been used to fabricate this type of grating [63-66], with the latter apable of better pattern transfer charact superior uniformity. Fig, 12 shows gratings ~ 200nm deep produced using CH,/H,/Ar discharges (a), CyH,/H,/Ar (b) or HI/H,/Ar (d). The photoresist is still in place in all cases. The etching was performed at I mtorr, and at higher pressures ( > 10 m tort) signi amount of polymer deposition could be ob- served when using the C)Hy/H istics and ants of features etched into InP using a CH,/H, discharge shown in Fig. 12c their These dry-eiched gratings retain fectangular shape upon subsequent epitaxial rowth, The second application creation of through-wafer vias for connecting the front and back of a GaAs-based monolithic microwave integrated circuit. A thinned-dowa ( < 100 um) front-side pro: cessed GaAs substrate is selectively etched from the rear so thal the hole is opened on to metal contact pads [67-70]. A subsequent metal deposition step @ Figure 11 Searing raphe of features etched inte InP InGaAsP laser structures in C1y/CH/H/Ar discharges a (a c) — 150 bias and 750 W microwave power or (&) — 80 bias 750 W or (d) — 150 V, 400 W from the rear produces contact to these pads, com- pleting the via connection A number of different plasma chemistries have been reported 10 yield ex- cellent results for etching these via holes, including, BCL,/C1,, CCI,F; and SiC1,/Cl, [67-10]. The fabric ation of vias with relatively small diameters is desir- able from the viewpoint of placing them as close as possible to the ac on the circuit, The difficulty of this approach is that the etch rate falls off rapidly for small-sized openings, presumabl of the impeded entry and removal of the active etchant | species and etch products, respectively. The mainten- ance of relatively vertical sidewalls also dictates that undereut by the chemical component of the etching be minimized, cither by running at the lowest practical pressure and with low Cl, to SiCl, or BCI, ratios, that a sidewall passivant technique be employed Fig. 13 shows scanning electron micrographs of features produced at 150 V de. bias with 65 BCI,/15 Cl; discharge, where the numbers refer to flow rates of these gases in standard cubic centime- tres per minute. Fig. 13a shows an array of closely spaced vias of diameter 30 or 20 um. The etching is uniform and anisotropic, and it is noticeable that the smaller diameter holes ate shallower than their larger diameter neighbours, an excellent illustration of the dependence of etch rate on aspect ratio. This effect is shown more clearly in the close-up in Fig. 13b. The etch depth is ~ 11% less for the 20 jim diameter hok than for the neighbouring 30 um via. Fig. [Je and d show 301m holes separated by either 20m (¢) or 12 um (d). The formation of these vias is an excellent test of how closely spaced it is possible to have the relative to. the devices because wafer connection themselves The examples of grating formation and via-hole etching illustrate the versatility of dry etching in pro- ducing features with dimensions from a few tens to hundreds nanometres, up to sizes of ~ 100 um. The control afforded by dry etching can also be used ina simple technique for extending the resolution of standard lithography tools. Carrier transit times are reduced in short gate length devices, leading to short propagation delays and higher operating frequencies. Tremendous effort has been put into developing litho- graphic techniques for fabrication of submicrometre gate length devices by optical lithography with deep ultraviolet light, X-ray lithography, phase shift masks and electron-beam direct writing. Although the latter has slow throughput and involves expensive equip- ment, HIL-V devices with gate lengths < 0.25 jim are fabricated with this method. The principle application has been for microwave devices with the development of multilayer resists to make submicrometre T-shaped gates. This T gate is used to reduce gate resistance, which is usually the limiting factor for optimal device performance. Submicromette features down t0.0.1 um can also be produced using 4 positive photoresist lift-off gate pattern definition, low-temperature SiN, deposition and a nitride etch-back process, First, 1-2 wm lift-off resist gate features are patterned using conventional optical lithography. A negative resist profile for sub- 6%) Figere 12 Scanning cifon microscopy cros-secionsl views of gratings etched into InP using (a) CH Hs/A\ fb CMU Hy/Ar oF 6) IA /Arat I ne torr pressure, a (c) C;HJHL/Ae at 20 more. The photoresist i til in place in al cases sequent lift-off is achieved using a chlorobenzene soak ing process. A low-temperature (45°C) plasma-en- hanced chemical vapour deposition of SiN, is then deposited over the resist pattern. This reduces the gate length to the original resist opening minus twice the iN, layer thickness. Finally an ECR SF, plasma is used to etch back the nitride, exposing the GaAs for ie metal deposition. This produces a Y-shape gate metallization of submicrometre dimensions, as shown in Fig. Ida. This Y-gate shape reduces device gate resistance. A comparison with a 0.1 um gate contact fabricated using electron-be in Fig. [4b, Both techniques achieve a small gate footprint, however, the Y-gate can be produced with conventional optical lithography Dry etching may also be used in fabricating novel microlasers based on the high reflectivity whispering gallery modes around the edge of a thin semicon- ductor microdise [71,72]. Optical confinement within the thin-dise plane results in a microresonator with potential for single-mode, ultralow threshold lasers. Fig. 15 shows scanning electron micrographs of two such microdisc lasers. The top disc consists of a 10 nm thick InGaAs quantum well between two 20.nm thick InGaAsP barriers suspended on an InP pedestal. The pedestal is a rhombus in cross-section due to the anisotropic HCI wet chemical eich that is used select- ively to etch away the InP around and below the quantum wells. Initially the sample is patterned with resist dots of various diameters, and a cylinder is etched using an ECR CH,/H,/Ar disch: lective wet etching then produces the pedestal. Optic- ally pumped quantum wells provide sufficient gain when cooled to 77 K to obtain single-mode lasing at 1.3 and 1.5 um wavelength with threshold pump po- wers below 100 pW. These elements may form the basis of efficient microphotonic circuits and arrays Contact layers on top of the quantum wells can provide clectrical pumping of the lasers and operation Mt room temperature with sub-milliamp threshold currents [72] Plasma deposition techniques are also critically important in modern microelectronics and also pro- vide fine-line patterning capability. Fig. 16 shows an example of a 3.5 ym high GaAs mesa created by dry etching, followed by a plasma-enhanced chemical va- pour deposition of ~ 250 nm of SiN,. The coverage of ver the feature is conformal, with a uniform e. The se- 13 Features etched into Gas masked by photoresist 19on ‘igere 14 Scanning electron micrographs of .1 um gate metalconiacts ot GaAs til in place) using # 15165 BCL, 15mrort 1S0V ae, 230 lé-flecttarsistorstructres, produced using) the SiN, lepostion and etch hack precese of (b)trayereestron beam Mhopraphy thickness on all surfaces [21], These films can be used as long-term surface passivants and protect against atmospheric contamination, 6. Conclusion The numerous dry etching techniques for [1-V ma- terials form the basis for the fabrication of many electronic and photonic devices and are becoming increasingly important as critical dimensions are de- creased. Indium-containing semiconductors are gen- erally dry etched with CH,/H based plasmas, while gallium- and aluminium-containing materials are gen erally etched with chlorine-based mixtures, hhanced discharges with low ion energies, changes to of a dry etched sample res: ulting from ion-induced damage or chemical modi fication, can be minimized the near-surface regi Acknowledgements The authors appreciate the invitation from Editorial Board member, Dr A. S, Jordan (AT&T Bell Labor: tories) to write this article Figure 13 Seansiog apts of InP-InGaasP whispering gallery mode micraiz lasers formed by a combisation of dry and wet igure 16 Scanning dlectron micrograph of 250m plesma.en hanced enemcally vapour deposited SIN, Onan etched GaAs water References 1D. M-MANOS and 0 £. FLAMM (os), “Plasma Eiching {An Inicalision” (Academic Press, New York, 1989) 2. SM. ROSSNAGEL. J. 1. CUOMO and W. D. WEST: WOOD (eds) “Handbook of Plasma Processing Technology (Noyes Publications, Pack Ridge, NJ, 1990). 3 CLA. ASIIRY, "Properties of GaAs", EMIS Data Review RN 15422 (IEE, Lonion, 1985) 4. S.W. PANG and W.J. PIANCENTINIL J. Voc. Se. Techn a. % G_ AVTZ and G. & WEHINER, in “Sputtering by Particle Bombardment, Vol. ei by R Bebrwch Springer, Bet SJ PEARTON. | REN. T. RFULLOWAN, JR. LOTH TAN A KATZ. ROP ROPE and CR. ABERNATHY Plasma Sources Sct. Techno. (1992) 18 PASMUSSEN.J. Vie Set. Tehol, AT (1989) 88 CHAKRABARTI, A.B. EMERSON, WS. HOBSON a 1 M.E HARPER. J. Appl Phys 68 (1990) S J PELLETIER and M.1, COOKE, J. Vac. So. Technol, 7 (as) 9 SM _GORBATE Nand L.A. RERRY hid, ALO(1992) 3108 GAMBINO, RH. KASTLand © ©, PARKS. ibd. A8 (1990) NIEREand J. CUOMO. ibd. AB(1990) 311, N. SADEGH, T. NAKANO, D. J. TREVOR and RA GOTTSCHO.J. App. Phys. 711992) 366, A MITCHELL R A. GOTTSCHO,S.1. PEARTON and G RSCHELLER, Ap Phys. Lert 6 (1990) 821 TR. HAYES, M. DREISBACH, P.M. THOMAS, W. ¢ So PEARTON. UK CHAKRABARTI.A.F. KINSELLA 190) 1428 Sei Techro.B9 (1981) 1421 HoT. ARENDS and F. SCHMIDT. in “Proceedings of 5 Symposium on Plasma Processing”. Vl. 85-1 Ekctocher ical Socciy, Pennington, NJ, 1985) p. 527 F- REN, S.J. PEARTON, J. R. LOTHIAN. ©. & NATHY and W.S HOBSON. J, Vac Se. Technol. B10(1992) UK CHAK RABARTIS.J. PEARTONand F, REN, Semi TR FULLOWAN.P. W. WISKand J. 8 LOTHIAN. (tid 7 TONG. MC HOLLAND and C.D. W. WILKINSON Arp. Phy. Lett, 6 (1992) 2335, KL SEAWARDatd N. J. MOLL.J J. HERZ and D. KURILLOV. ibid B7(1989) 556. AUD 1992) 399, 1A BARKER, T. M. MAYER aed Phy, Lett, 401982) S83, MMOTTSON. J. Electrochem. Soc 19 1982] 253. SON, Vacuum 36 1985) 803, SC MGNEVIN.J. Vac. Se. Technol. Bd (1986) 1203, and A. PERLEY. J. Electrochem Soc, 37 (1990) 3188 WANM and J.S. WEIMER, J. Vac 18 (1990) E-LANE, K.S.JONES, K, T.SHORT, A. 6, WHITEand T BL EULLOWAN, J. Appl, Phys 66 (198) 1839, Sel. Technol BLO A. RENTSCHLER, tid 19 (1981 f. BURTON. Ary M7. RA. GOTTSCHO, G. SMOLINSKYand RM BURTON, $8. G.J. VAN GURP. J. M. JACOMS, 1.3. M. BINSMA and Ibu. $8 (1982) 908, LF. THEMEVER, Jpn J. Appl. Phys. 28 (1989) 1236 BR ECONTOLINIand LA D'ASARO.J Vac. Sci Techaol $9. A. DULKIN,S., MOSHIKALYOV, ¥. 2: PYALAEY, 4 14 (1986) 70, S. SMIRNOV and KS. FROLOY, Microeecton. Eng” 17 BY. S.J. PEARTON, U.K. CHAKRABARTI. W.S. HOBSON (199) MS, snd A.B. KINSELLA. ibid, B (1999) 607 (0. VAN ROUEN, M. KEMP, ©. BULLE-LIEUWMA, LJ. 40. C8 COOPENIIL,S. SALIMIANand H.F. MacMILLAN, VAN IZZENDOORN and T THLISSEN. J. Amp Phys 70 Ane. Phys, Len $1 ((989) 228 (190) 393, AL, Ko L, SEAWARD, WN. J. MOLL, DJ. COULMAN and 61. TR. FULLOWAN, S.J. PEARTON, R. KOPF and WF. STICKLE,J. Apnl. Phys. 61 (1987) 2358 P. SMITH. J. Va. Sci. Tech. B9(1991) 1485, 2 EL WU and RE HOWARD, Appl Phys. Leu. 37 (198) 62. F REN, TR. FULLOWAN, © R. ABERNATHY, 5. 1 122, PEARTON. SMITH, R. KOPF, EJ. LASKOWSKI and 7 43. A. SEABAUGH,J. Vac. Sei Teal, B6 (1988) 7 S-LOTHIAN, Electron Let. 27 (1981) 1084 441, VATUS. 1 CHIEVRIA, P. DELESCLUE and J. M. ROG. T_RLHAYES, S.J. KINand C. A. GREEN, in “Proceedings - CHETTE, [REE Trans. Elec Des. ED3S (1988) 934 Of SPIE Conierence on Laser Diode Technology and Applcs- 45. DE INSOTTSON. DL FLAMM and VM DONNEL tions I” (Los Angeles 1991), paper 1418-20, LY, J. Appl Phys $4 (1985) 5974 68 D-1.C. MUO. MF YAN and J.D. WYNN, J. Electrochem, 45. K-TAKIMOTO.K.OHNAKAand J. SHIBATA, gl Phx Soc. 137 (1990) 3539, Lat. 84 (1989) 1999 6S. J-ABE, Hl. SUGIMOTO, 7. OHNISHIL, K. ONTSURA, a 47. 5.4, PEARTON, U.K. CHAKRABARTI, E. LANE, A. T. MATSUL H. YOSHIYASU and Y. NOMURA, in "Pro- PERLEY. CR ABERNATHY. W.S HORSON and KS. endings ofthe {3th SOTAPOCS Sympotium”, edited by H. | JONES, J. Bctrocton. Sic. 139 (1992) 856. {Le (Fectocherical Soc, Penainglon, NJ, 1991) p. 85 48. D.C. FLANDERS. L. D. PRESSMAN and G. PINELLI 66, S.J. PEARTON. F. REN. W. S. HOBSON. C. A. GREEN. J. Vee. Set. Technol BA (1990) 1990 and UE. CHAKRABARTI, Semicond. Sei. Feshnal. 71992) 4). 5.1. PEARTON, U. K. CHAKRABARTI, W. 5. HOBSON, nan C.R ABERNATHY. A.KATZ.F.REN.T RFULLOWAN 67. L.A D’ASARO.A D.BUTHERUS,1 8. DILORENZO, b. land A, PERLEY. J. Electrochem. Soe, 139 (1992) 1763 E. INGLESIAS and 5. 1. WEMPLE, Proc. Gads Related 50.5.1. PEARTON, U. K. CHAKRABARTI, D, COBLENTZ, Comp. AIP Cox. Ser, $6 (198) 26. FOREN.T.R FULLOWANand A.XATZ Electron Let 28 6% § SALIMIAN, C. 8, COOPER IIland M. E, DAY, J. Fae (49) 448, Sct Technol BS (1987) 1606, SI. U.NIGGEBRUGGE. M. KLUG and G.GARUS. fas. Phys TE KAZIOR B. PATELand 8.3. GUERIN. in “Proceed Conf Sei 79 (1985) 367 ings ofthe [31h SOTAPOCS", edited by H, Le Electrochem 2 LA, T, LOUGHRAN and J. BATES, Mater cal Society, Pennington, NJ, 1991) p. 291 Res. Sec. Symp. Pro. 144 (1989) 501 DK P-HILTON and J. WOODWARD, Electron, Let. 21 8. N-VODIANT and PPARRENS, J. Vac. Si: Techual. BS (198s) 962 (0985) 199. TL SL. MeCALL, A. FJ, LEVI, RE. SLUSHER, S.J SLD LeCROSNIER, L_ HENRY, A. LeCORRE and C. VAU. PEARTON and R.A. LOGAN, Appl Phys, Lew, 60 (1992) DRY, Electron, Let 29(1987) 1254, 29. 5. R CHEUNG. S. THOMS, 5.P. BEAUMONT. G. DOUG. 72. A. FJ. LEVI.R. & SLUSHER.S. 1. MeCALL. T. TAN: a BY, V. LAWS and €.D, W. WILKINSON, Electron, Ltt. BUN-EK, DL COBLENTZapd 5.3. PEARTON, Electron. 23,1987) 857 ‘Lett. 28 1992) 1010 Sh T.R HAVES. inInPand Relited Compounds" edited by A ~- Kotz (Artech House, Boston, MA, 1990) Ch. & - 51. C. CONSTANTINE, C. BARRATT, S.J. PEARTON. F. RENand JR. LOTHIAN, Appl. Phys. Let 61 (1992) 2889. f he Seley th we 51, 500, ane SIM, rtlobare Fo th ite. nm he es Fle ty arphures such Ch, : y dissocike psu. > F afouws > SEES se, SE te SIE ak ue © ue) ddd. 1) 0, Tt beh role 4 Sis 0, lee Five} : adbdeim 1) ty bh tbh role QQ Soe SO, (lee Figs

Вам также может понравиться