Вы находитесь на странице: 1из 17

Memrias Semicondutoras

Notas de aula sobre memrias


Memrias Semicondutoras
1 Introduo
Um circuito que permite o armazenamento de um ou mais bits por tempo
indeterminado denominado memria. Tais bits podem ser acessados (procedimento de
leitura) ou substitudos (procedimento de escrita ou armazenamento).

2 Tipos de Memria
O desenvolvimento e expanso da tecnologia de fabricao de circuitos integrados
para armazenamento de dados determinaram o grande avano dos computadores digitais.

At 1970, as memrias de ncleo de ferrite eram de uso corrente. Entretanto, por


causa do seu alto custo, grande consumo e limitaes em velocidade forma substitudas
pelas memrias semicondutoras que lideram o mercado at hoje.

3 Caractersticas gerais
A seguir apresentamos algumas caractersticas que podem ser usadas quando
queremos avaliar o desempenho de um determinado dispositivo de memria.

3.1 Densidade:
Nmero de bits armazenados por rea fsica. Est relacionado
capacidade total de armazenamento.

3.2 Velocidade:
Se refere rapidez com que os dados podem ser acessados (lidos) ou
armazenados (escritos).

3.3 Potncia:
Potncia consumida ou dissipada pela memria.

3.4 Custo:
Custo para armazenamento por bit, ou seja, o valor do semicondutor
dividido pelo nmero de bits que pode armazenar.
Outras caractersticas so fornecidas especificamente por cada fabricante de
circuito integrado, tais como os sinais de comandos, parmetros eltricos e imunidade a
rudos.

4 Definies
No manuseio com memrias a semicondutor comum o uso de expresses
referentes aos modos de operao, aos terminais de entrada/sada, capacidade de
armazenamento e a sinais de controle.

Escrita (Write): Termo usado para o procedimento de armazenamento de uma informao


binria na memria. Em uma operao de escrita, a informao colocada nas entradas de
dados copiada para uma posio ou endereo da memria.

Leitura(Read): Termo usado para o procedimento de obteno, ou busca, de uma


informao armazenada em uma memria. Em uma operao de leitura, a informao
armazenada na posio correspondente s entradas de endereo e copiada nos bits de sada.

Contedo ou palavra: Corresponde informao grupo de bits armazenada em uma


determinada posio da memria.

Entradas de endereo (Address): Correspondem aos terminais do circuito integrado usados


para identificar um certa posio de memria.

Entradas de dados (Datas): Correspondem aos terminais do circuito integrado usados para
introduo dos dados a serem armazenados.

Sada de dados (Outputs): Correspondem aos terminais do circuito integrado onde sero
colocados os dados armazenados em uma dada posio da memria, em uma operao de
leitura.

Nibble: Termo usado para uma informao binria com 4 bits.

Byte: Termo usado para uma informao binria com 8 bits.

Kilobyte: Termo usado para um conjunto de 1024 bytes

Memria voltil: aquela que perde o seu contedo na ausncia de alimentao.

Memria Fixa: aquela que no perde o seu contedo na ausncia de alimentao.


Habilitao de chip (Chip enable): Uma entrada do chip que, quando polarizada
convenientemente, habilita ou inibe a operao do chip provocando uma reduo na
potncia dissipada e impedindo a operao escrita/leitura. Normalmente tais entradas so
designadas por CE (quando a habilitao com nvel 1) ou CE (quando a habilitao
com nvel 0). Nem todos os chips possuem este tipo de entrada.

Seleo do chip (Chip select): Uma entrada do chip usada para conectar ou desconectar
colocar as sadas em alta impedncia as entradas/sadas a um barramento. Normalmente
tais entradas so designadas por CS (quando a habilitao com nvel 1) ou CS
(quando a habilitao com nvel 0). A maioria dos chips possue este tipo de entrada. Em
alguns circuitos as entradas CE e CS so combinadas em uma nica entrada.

5 Classificao
O critrio para a escolha das memrias disponveis no mercado descrito a seguir:

5.1 Quanto forma de acesso


As principais formas de acesso uma posio de memria podem ser do tipo
aleatrio ou seqencial.

Nas memrias do tipo aleatrio, qualquer posio pode ser acessada aleatoriamente,
ou seja, pode ser lida diretamente sem a necessidade da leitura das demais posies. Caso,
por exemplo, das memrias RAM e ROM, descritas a seguir.

Nas memrias seqenciais, uma posio no pode ser feita diretamente. Neste caso,
vrias posies da memria so acessadas at a informao desejada, o caso das fitas
magnticas, dos registradores de deslocamento e das memrias de bolha magntica.

O tempo para ler uma informao em uma memria seqencial depende da posio
de armazenamento.

5.2 Quanto tecnologia


Normalmente as memrias so do tipo bipolar, MOS (semicondutor de xido
metlico) ou CMOS (semicondutor de xido metlico complementar).
No caso das memrias bipolares, encontramos com facilidade circuitos TTL padro,
Schottky e ECL. No caso dos circuitos MOS, os de canal N so os mais usados por
favorecerem grande densidade a custo baixo. As memrias CMOS so mais lentas do que
as NMOS e bipolares, contudo so de menor consumo e maior imunidade ao rudo.

5.3 Quanto a capacidade de armazenamento


Este parmetro est relacionado ao nmero total de bits ou palavras que a memria
pode armazenar. Por exemplo, uma memria com 1024 X 8 corresponde a uma capacidade
de 1024 palavras, cada uma com 8 bits, ou seja, com capacidade para 8192 bits.

5.4 Quanto ao tipo de sada


As sadas das memrias podem ser do tipo Totem-polem, Open collector ou Tree-
state.

As memrias do tipo Three-state so as mais usadas por permitirem, de forma


eficiente, a criao de bancos de memria descritos adiante.

6 Memrias RAM
As memrias RAM (Random Access Memory) so do tipo voltil e permitem o
acesso aleatrio para escrita ou leitura a qualquer uma das suas posies.

So usadas para armazenar temporariamente as informaes, tais como os


programas corrente do usurio. Tambm so conhecidas como memrias read/write porque
permitem a leitura e escrita.

O tempo de acesso para cada posio das memrias RAM aproximadamente


igual.

A seguir apresentamos algumas caractersticas das memrias RAM.


6.1 RAM esttica
Um dispositivo de armazenamento voltil com tecnologia bipolar ou MOS (NMOS
e CMOS) onde os bits so armazenados em uma estrutura tipo flip-flop.

6.2 RAM dinmica


Um dispositivo de armazenamento voltil com transistores MOS, onde a estrutura
de armazenamento capacitiva.

Neste caso, a informao se perde com o tempo, independentemente da manuteno


da alimentao razo do nome dinmica. Por esta razo, precisa passar periodicamente
por um processo de refrescamento (refreshing), onde as informaes so lidas e regravadas
(recarga dos capacitores).

Isto implica na necessidade de circuitos externos especficos. Normalmente a


operao de refrescamento feita durante uma operao de leitura, atravs de um circuito
projetado especificamente para este fim.

Apesar de a necessidade de refrescamento, a grande vantagem das memrias


dinmicas sobre as estticas permitir uma grande densidade de fabricao dos chips
quatro vezes maior que as estticas com baixo consumo que da ordem de trs a cinco
vezes menor do que as estticas.

6.3 Arquitetura
Normalmente as memrias RAM so organizadas (Figuras 1 e 2) em forma de
matriz nXm n palavras, cada uma com m bits, com os seguintes tipos de entradas:

1) m bits para sadas de dados;

2) m bits para entradas de dados;

3) p bits para entradas de endereos, tal que 2P = n;

4) Entrada R W para comando leitura/escrita;

5) Entrada tipo CS para comando Tree-state.

Basicamente os mesmos terminais existem nas memrias ROM descritas adiante


exceto o pino de leitura/escrita.
A Figura 2 apresenta uma forma matricial semelhante a um edifcio com oito
andares e oito apartamentos por andar. Observe que a informao 10111011 est
armazenada no endereo 0, 01100110 no endereo 1 e assim sucessivamente.

Figura 1 Memria RAM

(a) (b)

Figura 2 Memria RAM a)Memria 8X8 e b)Forma Matricial

6.4 Procedimento para leitura/escrita


Em operao normal, a memria deve permanecer na forma de leitura, onde
devemos ter:

1) A entrada R W , correspondente ao comando de leitura/escrita, em


nvel 1 e CS em 0;
2) Nveis lgicos estveis nas entradas de endereo e correspondentes
locao de memria a ser lida.

3) As sadas apresentam uma cpia da informao armazenada na locao


desejada.

Para procedermos ao armazenamento (escrita) de uma informao em uma dada


posio devemos seguir os passos abaixo:

1) Colocar a entrada R W em nvel 0;

2) Colocar CS em 1, ou seja, ativar o terceiro estado das sadas;

3) Colocar os dados a serem armazenados nas entradas de dados;

4) Colocar nveis lgicos estveis nas entradas de endereo,


correspondentes locao de memria onde queremos armazenar os
dados;

5) Colocar a entrada R W em nvel 0, durante um intervalo de tempo


mnimo igual ao tempo de escrita da memria. Enquanto esta entrada
estiver em nvel 0, as linhas de dados e de endereo devem permanecer
inalteradas;

6) Colocar a entrada R W em nvel 1, retornando posio de leitura;

7) Repetir os passos anteriores para o armazenamento nas demais posies


da memria.

Todos esses procedimentos devem ser executados de forma a garantir os parmetros


no tempo especificados para cada memria.

7 Memrias ROM
As memrias ROM (Read Only Memory) so do tipo fixa e permitem o acesso
aleatrio a qualquer uma das suas posies. Permite apenas leitura do contedo e
destinada a guardar uma informao de forma permanente.

A informao gravada pelo fabricante atravs da queima de diodos em uma matriz


(Figura 3) conforme solicitao do usurio. Podem ser do tipo bipolar ou MOS.
Quando a matriz manufaturada, diodos so colocados em todos os cruzamentos.
Atravs de um processo prprio, os diodos so queimados convenientemente. Se, a cada
instante, apenas uma das linhas x,y,z ou w assume nvel 1, os diodos destas linhas so
polarizados diretamente atravs dos resistores R.

Por exemplo, se XYZW = 1000, somente os diodos D1, D2, D3 e D4 sero


polarizados diretamente. As sadas S1, S2, S3 e S4 so iguais, respectivamente, s tenses
nos diodos diodos D1, D2, D3 e D4 menos 0,6V.

Para garantir que apenas uma das linhas X, Y, Z ou W assuma nvel 1, podemos
usar um decodificador conforme a figura 4, onde A0 e A1 operam como linha de endereo.

Figura 3 Matriz ROM 4X4

Figura 4 Matriz ROM 4X4 com decodificador


7.1 PROM ROM programvel pelo usurio
Uma memria bipolar onde o armazenamento feito pelo usurio agilizando os
processos industriais atravs da queima de diodo ou de um fusvel colocado em srie
com o diodo, ou seja, o armazenamento no ocorre durante a fabricao do chip.

O procedimento para a queima dos diodos fornecido pelos fabricantes e especfico


para cada circuito.

Note que, uma vez programada, a memria no pode ser apagada para correes ou
nova utilizao.

7.2 ROM altervel


Memrias que podem ser programadas e reprogramadas pelo usurio, ou seja, em
casos de erros de programao o chip no precisa ser descartado, como nas memrias
PROM. A programao feita pela aplicao de sinais eltricos convenientes em pinos do
chip e indicados pelos fabricantes.

7.2.1 EPROM Erasable PROM


Um dispositivo com arquitetura similar s PROM, mas do tipo MOS, onde o
conjunto inteiro das informaes armazenadas pode ser apagado atravs da aplicao de
raios ultravioletas em uma janela de quartzo localizada em uma das faces do chip.

implementada usando o princpio de armazenamento do tipo Floating-gate


Avalanche Injection MOS (FMOS). Em um transistor PMOS, um potencial negativo
aplicado ao gate produz um canal de conduo de cargas positivas (buracos) entre a fonte e
o dreno. No transistor FMOS, para causar a conduo da fonte para o dreno e o
conseqente armazenamento de cargas negativas no gate, devemos aplicar um pulso da
ordem de 25 a 50 volts na juno p-n (dreno/fonte). Cerca de 20 a 30% da carga
armazenada se perde depois de 20 anos.

E2PROM Electrically Erasable ROM

Neste tipo de memria, tanto a gravao como a desgravao feita por sinais
eltricos. O principal meio de implementao o Metal Oxide Semicondutor NMOS. Na
verdade um MOS modificado onde usado um capacitor de carga que alcana um tempo
de armazenamento entre 20 a 30 anos.

Uma tenso elevada e da ordem de 20V entre porta e dreno provoca a induo de
cargas nas portas flutuantes que ali permanecem quando a tenso retirada.

Uma tenso inversa apaga a carga armazenada. Desta forma, tanto a programao
como o apagamento pode ser feito por posies de memria. No preciso apagar toda a
memria para corrigir algum dado ou usar a memria com novos valores.

Parmetros de tempos das memrias

Os tempos de chaveamento em uma memria so complicados. Tais tempos so


devido aos atrasos nas portas internas, s restries impostas pelos tempos de manuteno
e preparao de flip-flops e ao grande nmero de terminais.

A Figura 5 apresenta os sinais em uma operao de escrita em memria RAM. Note


que a entrada CS garante a seleo do chip para nvel 0, intervalo entre t0 e t7.

Como normalmente as linhas de endereo (Ais) e dados (Dis) so mltiplas, no


podem ser representadas por um sinal em nvel 0 ou 1. Por esta razo, quando vlidas so
representadas por linhas cruzadas. Caso, por exemplo, dos dados vlidos entre os instantes
t2 e t5.

Figura 5 Sinais em uma operao de escrita


Normalmente os tempos so medidos partir do instante em que um sinal
ascendente atinge 90% do nvel 1 ou do instante em que um sinal descendente atinge 10%
do nvel 0.

Os tempos da Figura 5 so assim definidos:

1) Tempo hold para dados (Thd = t5-t4)

Tempo mnimo de permanncia das linhas de dados aps pulso de escrita.

2) Tempo hold para endereos (The = t6-t4)

Tempo mnimo de permanncia das linhas de endereo aps pulso de escrita

3) Tempo hold para seleo (Ths = t7-t4)

Tempo mnimo de permanncia das linhas de seleo aps pulso de escrita

4) Largura do pulso de escrita (Te = t4-t3)

Durao mnima do pulso de escrita

5) Tempo de set-up para dados (Tsd = t3-t2)

Tempo transcorrido desde a estabilidade das linhas de dados e o incio de


armazenamento.

6) Tempo de set-up para endereos (Tse = t3-t1)

Tempo transcorrido desde a estabilidade das linhas de endereos e o incio de


armazenamento.

7) Tempo de set-up para seleo (Tss = t3-t0)

Tempo transcorrido desde a seleo do chip at o incio de armazenamento.

8) Tempo de escrita

Intervalo de tempo decorrido desde o instante no qual as entradas de endereo e


de dados se estabilizam at o instante em que os dados so efetivamente
armazenados.

Na operao de leitura, tanto para as RAM como para as ROM, os sinais


envolvidos so como os da Figura 6.
Figura 6 Sinais em uma operao de leitura

Os tempos da figura anterior so assim definidos:

1) Tempo hold para dados (Thd = t4-t3)

Intervalo de tempo em que os dados permanecem vlidos na sada aps uma


mudana de endereo.

2) Tempo de acesso (Tac = t2-t0)

Intervalo de tempo decorrido desde o instante em que as entradas de endereo


se estabilizam at o instante em que a informao fica disponvel nas sadas da
memria, ou seja, tempo necessrio para transferir uma informao de uma
locao da memria para as suas sadas.

3) Tempo de habilitao do chip (Tce = t2 t1)

Tempo de resposta do circuito aps a seleo do circuito.

8 Associao de Memrias
Podemos organizar vrios chips de memria para obtermos um circuito equivalente
a uma memria com maior capacidade.

Esta associao pode ser de trs tipos: vertical, horizontal e hbrida.


Tomando por base a memria 2114 (memria RAM esttica com 1024 X 4 bits),
vamos obter associaes com 2048 X 4 (associao vertical), 1024 X 8 (associao
horizontal) e 2048 X 8 (associao hbrida).

8.1 Associao Vertical (Srie): 2048 X 4


Como um chip 2114 possui 1024 X 4, o circuito com 2048 X 4 pode ser obtido da
associao de dois chips.

Os seguintes passos devem ser seguidos:

1) Interligao de entradas

Devem ser interligadas: as entradas de endereo e os pinos entrada/sada afins, e


os sinais de controle para escrita/leitura.

2) Novas linhas de endereo

O circuito equivalente vai precisar de 11 linhas de endereo para permitir o


acesso s 2048 (que igual a 2 11) posies. Alm disso, como os pinos de
entrada/sada so interligados, para no causar danos nos chips devemos
garantir que s um deles est ativo, enquanto o outro em terceiro estado (alta
impedncia). Isto pode ser obtido garantindo que a cada instante s uma das
entradas assume 0, ou seja chip ativo. Um circuito decodificador executa esta
tarefa, conforme a Figura 7.

CS1
X Decodificador

CS 2

X CS1 CS 2
0 0 1
1 1 0
Figura 7

Da tabela da Figura 7, temos CS1 X e CS 2 X . Ou seja, o circuito


decodificador uma porta inversora.
3) Circuito Equivalente

Agora podemos usar os resultados dos passos anteriores, resultando na Figura 8.

Figura 8 Memria 2048 X 4

8.2 Associao Horizontal (Paralela): 1024 X 8


Como cada chip 2114 possui 1024, um circuito com 1024 X 8 tambm pode ser
obtido com dois chips, conforme Figura 9.

Neste tipo de associao, devemos interligar as entradas de endereo afins e os


sinais de controle de terceiro estado (alta impedncia) e de escrita/leitura. Os pinos
entradas/sadas devem permanecer separados conforme a Figura 9.
Figura 9 Memria 1024 X 8 obtida com associao paralela de duas memrias 2114

8.3 Associao Hbrida: 2048 X 8


Como cada chip 2114 possui 1024 X 4, um circuito com 2048 X 8 ser obtido da
associao de 4 chips.

Em primeiro lugar fazemos uma associao horizontal para 1024 X 8, conforme a


Figura 9. A seguir, usamos dois blocos 1024 X 8 em uma expanso vertical (Figura 8). A
Figura 10 apresenta o resultado da expanso.

Figura 10 Memria 2048X8


Note que em uma expanso vertical sempre haver a necessidade da criao de um
ou mais bits de endereos.

Nos exemplos anteriores, por ser necessrio apenas 1 bit, um circuito inversor
suficiente para controlar os CSs e fornecer o bit A10. Contundo, quando h necessidade de
mais bits de endereo, em uma expanso vertical maior, um circuito decodificador pode ser
usado para fornecer os bits desejados.

Por exemplo, na Figura 11 feita uma expanso para 4096 X 8. Usamos as quatro
primeiras sadas de um decodificador para comandar os CS ' s . As duas entradas
significativas foram usadas como bits A10 e A11.

Figura 11 Memria com 4096 X 8

Вам также может понравиться