Вы находитесь на странице: 1из 2

1

Nombre del documento


Jorge Herney Rivera Madronero
E-mail: jorgeh rivera@hotmail.com
Universidad Santiago de Cali, Colombia

ResumenEn el presente escrito se estudiaran conceptos III. D ESCRIPCI ON DE UN F ULLADDER EN V HDL


propios del lenguaje VHDL, Entidad y Arquitectura en este
entorno, de igual forma se realizara la descripcion de un Full
Adder en dicho lenguaje.

Index TermsEntity, port. in, out, inout, buffer

I. I NTRODUCCI ON

Para iniciar el proceso de aprendizaje del lenguaje VHDL


se requiere tener claridad sobre la estructura que lo compone,
en este caso inciaremos con los conceptos de Entidad y
Arquitectura, los cuales van de la mano, ya que el primero
describe externamente y el segundo internamente el circuito a Figura 2. Fulladder
trabajar.

Este circuito esta compuesto por dos compuertas XOR, dos


AND y una OR, cada una de estas de dos entradas y una salida,
II. E NTIDAD Y ARQUITECTURA pero viendo al Fulladder como una entidad, este consta de tres
entradas y dos salidas, lo cual se declara de la siguiente forma
Una entidad en VHDL corresponde a la descripcion externa, en VHDL:
a terminales de conexiones fsicas, como entradas y salidas de library ieee;
un circuito. use ieee.std logic 1164.all; librerias a usar
La arquitectura por el contrario, corresponde a la des-
use ieee.numeric.std.all;
cripcion y funcionamiento interno del sistema digital, hace
referencia a su esquema electronico. ENTITY FULLADDER IS Nombre de la entidad
PORT ( a, b, Cin: IN STD LOGIC; Puertos de entrada
S, Cout: OUT STD LOGIC Puertos de salida
);
END ENTITY; FIN DE LA ENTIDAD
ARCHITECTURE Comportamiento OF FULLADDER IS
Nombre de la arquitectura
SIGNAL K STD LOGIC; se declara una conexion interna
Figura 1. Entidad y Arquitectura BEGIN
K<= A XOR B; Se declara que K es la senal de salida
de la XOR cuyas entradas son A Y B.
S<= K XOR Cin; Se declara que S es la senal de salida
de la XOR cuyas entradas son K Y Cin.
2.1 Insertar entidad en arquitectura
Cout<= (K AND Cin) OR (B AND A); Se declara que
Para insertar una entidad en una arquitectura se utiliza el las compuertas AND cuyas entradas son K y Cin y B y A
comando component, indicando cada parte de la entidad que respectivamente, se encuentran conectadas como entradas a la
se quiere insertar en el mismo orden en que fue declarada en compuerta OR, cuya salida es Cout.
la entidad originalmente. END ARCHITECTURE; FIN DE LA ARQUITECTURA
2

IV. SUMADOR DE 4 BITS PANEL DE C ALIFICACI ON


Item
Tiene todas las secciones de un artculo?
Cumple con las normas tecnicas IEEE?
Esta impreso en una sola hoja?
Calidad de Redaccion [30 %]

Calidad del Contenido [70 %]

Calificacion Final

Figura 3. Sumador de 4 Bits

Descripcion del proceso:


library ieee;
use ieee.std logic 1164.all; Librerias a usar.
use ieee.numeric.std.all;
Entity sumador4b is Nombre de la entidad.
port ( a, b: in std logic vector (3 downto 0); Puertos de
entrada y cantidad de sumadores que las tendran.
Cin: in std logic; Declaracion de Cin como entrada.
S: out std logic vector (3 downto 0) Puerto de salida y
cantidad de sumadores que la tendran.
Cout: out Std logic Declaracion de Cout como salida.
);
End entity; FIN DE LA ENTIDAD
architecture suma1 of sumador4b is Nombre de la arqui-
tectura perteneciente al sumador4b.
component fulladder is, comando que permite ingresar la
entidad anterior en la arquitectura.
port (A, B, Cin: in Std logic;
s, Cout: out Std logic); Notese que el contenido de compo-
nent es el mismo de la entidad.
end component;
signal carrys: std logic vector (4 downto 0); Declaracion
de los acarreos en serie en los 4 sumadores.
begin
secuencia: for k in 3 downto 0 generate Declaracion de
la salida interna k y los sumadores en los que va.
sumaf: fulladder port map (A(k), B(k), carrys(k), s(k),
carrys(k+1)); Puertos de entrada y salida del sumador
completo
end generate;
carrys (0) <= Cin; asignacion de Cin como entrada al primer
sumador.
Cout <= carrys (4); Asignacion de Cout a la salida del
ultimo sumador.
[1].

R EFERENCIAS
[1] Sistemas electronicos digitales. 9 edicion. Marcombo, S.A., 2007.
[Online]. Available: http://www.ebook.de/de/product/12576252/sistemas
electronicos digitales 9 edicion.html

Вам также может понравиться