Вы находитесь на странице: 1из 71

EE6007 Micro Electro Mechanical Systems Dept.

of EIE 2016-2017

UNIT I
INTRODUCTION
PART A
1. Write the relation between resonant frequency and Q-factor?
At or near resonance frequency the mechanical vibration amplitude sharply increases. The
sharpness of resonant peak is characterized by a term called quality factor (Q). The sharper the
resonance peak, the higher the quality factor.
2. Name any two materials used in the fabrication of MEMS.
i) Silicon ii) Polymers
3. What do you mean by in situ doping?
The intentional introduction of impurities, called doping, would turn as intrinsic material into an
extrinsic semiconductor material. Impurities can be introduced into number of ways, most
notably through diffusion and ion implantation. They can also be incorporated into
semiconductor lattice during the growth of material as well. This process is called in situ
doping.
4. Define mean free path.
The sheet resistivity of an emitter layer is typically measured with a four-point-probe.
5. Define elastic modulus.
An elastic modulus, or modulus of elasticity, is the mathematical description of an object or
substance's tendency to be deformed elastically (i.e., non-permanently) when a force is applied
to it.
6. Define beam.
A beam is structure member subjected to lateral roads, that is, forces or moments having their
vectors perpendicular to the longitudinal axis.
7. What do you mean by cantilever?
A beam fixed at one end and free at another is conveniently referred to as fixed free beam,
commonly called a cantilever.
8. Name some commonly encountered beam structures in MEMS.
Double - clamped suspension structures and single-clamped cantilevers.
9. What are the three preparatory steps required to calculate the curvature of the beam.
Three preparatory steps:
1. Find the moment of inertia with respect to the neutral axis
2. Find the state of force and torque along the length of beam
3. Identify boundary conditions. Two boundary conditions are necessary to
deterministically find a solution.
10. Why intrinsic stress is important for MEMS devices.
Intrinsic stress is important for MEMS devices because it can cause deformation damages in
excessive cases, affect surface planarity, or change the stiffness of mechanical element.
11. Name the material having zero stress.
Single crystal bulk silicon.
12. What are the strategies for minimizing undesirable intrinsic bending?
The strategies are:
a. Use materials that inherently have zero or very low intrinsic stress.
b. For materials whose intrinsic stress depends on material processing parameter, fine
tune the stress by calibrating and controlling deposition conditions.
c. Use multiple layered structures to compensate stress-induced bending.
13. What do you mean by quality factor (Q)?
The sharpness of resonant peak is characterized by a term called the quality factor. The sharper
the resonance peak, the higher the quality factor.
14. Define stress and explain tensile and compressive stress.
Stress is defined as the external force applied per unit area. When body is subjected to equal and
opposite pulls, it elongates. The resistance offered to this elongation is tensile stress. If body is
subjected to equal and opposite pushes, it contracts and the resistance offered to this contraction
is compressive stress.
15. Define strain and explain tensile and compressive strain.
It is the ratio of change in dimension to the original dimension. The strain corresponding to the
St. Josephs College of Engineering 1
EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017
tensile stress is called tensile strain and it is defined as the ratio of the increase in the length to
the original length. The strain corresponding to the compressive stress is called as compressive
strain. It is defined as the ratio of decrease in length to the original length.
16. Define shear stress and shear strain.
The two equal and opposite force act tangentially on any cross sectional plane of the body
tending to slide one part of the body over the other part. The stress induced is called shear stress
and the corresponding strain is known as shear strain. Or Shear stress is the resistance offered to
the force applied. It is the ratio of shear force to shear area. The corresponding strain is called as
shear strain.
17. State Hooks law and explain elastic limit.
It states that within elastic limit, the ratio of the stress and strain is a constant.Elastic limit is a
point on the stress strain diagram below which the body regains its original shape when
deformed, not necessarily obeying the hooks law.
18. What you mean by thermal stresses?
If the body is allowed to expand or contract freely, with the rise or fall of temperature no stress
is developed but if free expansion is prevented the stress developed is called temperature or
thermal stress and the corresponding strain is temperature strain.
Thermal stress = . T. E
Thermal strain = . T
A= the coefficient of thermal expansion of the material,
T = change in temperature
19. What is bending moment?
The algebraic sum of the bending moment due to all the individual forces to the right or to the
right of the section.
20. What are the types of the beam?
The different types of the beam are
a. Fixed beam b. Cantilever beam
c. Simply supported beam d. Over hanging beam
e. Continuous beam
21. What is neutral axis?
As a result of bending moment, a length of the beam will take up a curved shape. It follows that
outer radii of the material will be in tension and at the inner radii in compression, and at some
radius there will be no stress. This layer of the material is neutral axis.
22. What are the assumptions made in the theory of torsion?
The material of the shaft is uniform throughout.
The twist along the shaft is uniform.
Normal cross sections of the shaft which were plane and circular before twist must
remain plane and circular after twist.
The diameter of the cross sections which were straight before twist must remain straight
without any change in the magnitude.
23. Define Torsion.
When equal and opposite torque are applied at ends, the shaft is said to be in torsion.
24. Define Torsional rigidity.
We know, = T / GJ,
The quantity GJ is called torsional rigidity. Product of rigidity modulus and polar moment of
inertia is called torsional rigidity.
25. Define Stiffness of spring.
Stiffness of spring (K) is the force per unit deflection. Its unit is N / mm in SI units.
26. What are the assumptions of simple bending theory?
Assumptions made in the simple bending theory are
The material of the beam is perfectly homogeneous throughout.
The stress induced is directly proportional to strain.
The value of modulus of elasticity is the same, for the fibres of the beam under
compression or tension.
The transverse of the beam, remains plane before and after bending.
There is no resultant pull or push on the cross section of the beam.
St. Josephs College of Engineering 2
EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017
The loads are applied in the plane of bending.
27. What is mean free path.
Mean free path is the average distance travelled by a moving particle (such as an atom,
a molecule, a photon) between successive impacts (collisions), which modify its direction or
energy or other particle properties.
28. Define sheet resistivity.
For a uniformly doped layer, the sheet resistivity is defined as:

o =
t
The sheet resistivity is normally expressed as ohms/square or /
For non-uniformly doped n-type layers, ie., if is non-uniform:
1
= t
1
( x)dx
0
The sheet resistivity of an emitter layer is typically measured with a four-point-probe.

PART B
1. With neat sketch explain about the photolithography process for micro-machined pressure sensor.

St. Josephs College of Engineering 3


EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017

St. Josephs College of Engineering 4


EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017

St. Josephs College of Engineering 5


EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017

St. Josephs College of Engineering 6


EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017

St. Josephs College of Engineering 7


EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017

2. Explain about the considerations required for deposition process, etching process. Also explain
about rules for building a process flow and a robust process.

St. Josephs College of Engineering 8


EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017

St. Josephs College of Engineering 9


EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017

3. Discuss in detail about the calculation of charge carrier concentration.

St. Josephs College of Engineering 10


EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017

4. Derive for conductivity and resistivity. Explain about this in detail.

St. Josephs College of Engineering 11


EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017

St. Josephs College of Engineering 12


EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017

5. Discuss about crystal planes and orientations.

St. Josephs College of Engineering 13


EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017

St. Josephs College of Engineering 14


EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017

6. Write in detail about the flexural beam bending analysis.

St. Josephs College of Engineering 15


EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017

St. Josephs College of Engineering 16


EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017

7. In general discuss about intrinsic stress in MEMS.


When thin film material are under room temperature and zero external loading conditions,
this phenomenon is called intrinsic stress. It can cause deformation damages in excessive
cases, affect surface planarity or change the stiffness of a mechanical element and it may
affect the mechanical behaviour of membranes as well. The flatness of a membrane is
guaranteed when the membrane material is under tensile stress. Excessive tensile stress in a
clamped membrane can cause the membrane to fracture. On the other hand, a film would
buckle if compressive stress where present it can also result from micro structure of the
deposited film. The incorporation of oxygen atoms into silicon lattice during thermal
oxidation process. Apart from this other mechanisms possible are phase change of material
St. Josephs College of Engineering 17
EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017
and incorporation of impurity atoms. In certain cases bending is desired and intentional

8. In brief explain about dynamic system, resonant frequency, and quality factor.
Dynamic System : The governing equation of mass spring damper system is

x+ c x +kx=f (t )
m
x+ 2 n x+ n2=a(t)

Where the term n=



k
m
Is the natural resonant frequency and the term
c
= =c/cr
2 km
Is the damping ratio
Cr=2 km is called critical damping coefficient.
Response under sinusoidal resonant input.
f(t)= F sin (wt) = ma sin wt
x=A sin (wt+)
X 1
T= = 2
F ms +Cs+ K

St. Josephs College of Engineering 18


EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017

Damping and Quality Factor


fr
Q=
f
1
Q=
2

9. Discuss in detail about torsional deflections.


Consider a prismatic bar of circular cross section twisted by torques T acting at the ends.
Sins the bar is identical and subjected to same internal torque T, the bar is set to be in pure
torsion. If the angle of rotation between one end of the bar and other is small, neither the
length of the bar nor its radius will change. To aid visualizing the deformation of the bar,
imagine that the left hand end of the bar is fixed in position, then, under the action of T, the
right hand end will rotate through a small angle , known has the angle of twist. Because
of this rotation, a straight longitudinal on the surface of the bar will become a helical curve.

St. Josephs College of Engineering 19


EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017

10.The intrinsic carrier concentration (ni) of silicon under room temperature is 1.5X10 10/cm3. A silicon
piece is doped with phosphorous to a concentration of 1018 cm-3. The mobility of electrons and
holes in the silicon are approximately 1350 cm2/V-s and 480 cm2/V-s, respectively. Find the
resistivity of the doped bulk silicon.
The concentrations of electrons and holes are
2
18 3 ni
n0=10 cm , p0= = 225 cm3 , the resistivity of the doped silicon is
n0
calculated by the following formula
1 1 1
= = = = 0.0046
q(n n0 + p p0 ) 1.6 10 (1350 1018 +480 225)
19

V . s . cm V . cm
=0.0046
C A

UNIT II
SENSORS AND ACTUATORS-I
PART A
1. State the principle of operation of electrostatic sensors.
A capacitor is broadly defined as two conductors that can hold opposite charges. It can be used as
either a sensor or an actuator. If the distance and relative position between two conductors changes
as a result of applied stimulus, the capacitance value would be changed. This forms the basis of
Capacitive ( electrostatic sensing).
2. Name the materials used in fabrication of parallel plate sensors.
i) Silicon ii) Germanium
3. What are the major advantages of electrostatic sensing and actuation.
Simplicity: The sensing and actuation principles are relatively easy to implement, requiring only
two conducting surfaces.
Low power: Electrostatic actuation relies on differential voltage rather than current. The method is
generally considered energy efficient for low frequency applications. This is especially true under
static conditions, when no current is involved.
St. Josephs College of Engineering 20
EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017
Fast response: Electrostatic sensing and actuation offers high dynamic response speed, as the
transition speed is governed by the charging and discharging time constants that are typically small
for good conductors.

4. What do you mean by pull-in voltage?


At particular bias voltage, the two curves representing the mechanical restoring force and the
electrostatic force intercept at one point tangentially. At the interception point, the electrostatic and
mechanical restoring forces balance each other. Moreover, the magnitude of the electric force
constant equals the mechanical force constant. The effective force constant of spring is zero. This is
a special condition and should be dealt with carefully in practice. The bias voltage that invokes
such a condition is called the pull in voltage, or Vp.
5. What do you understand about comb drive device?
Generally, one set of finger like electrode is fixed on chip while second set is suspended and free to
move in one or more axis. Since the interdigitated fingers are sharped like tooth of combs, such
configuration is commonly referred to as comb drive device.
6. What are the two configurations of electrostatic transducer?
Parallel plate and interdigitated comb drive.
7. What are the two different movements by which parallel plate capacitor is moved?
Normal displacement
Parallel sliding displacement
8. What are the advantages of capacitive pressure sensor?
Greater pressure sensitivity
Lower temperature sensitivity
Reduced power consumption
9. What is the principle of capacitive pressure sensor?
Capacitive pressure sensors are typically based upon a parallel plate arrangement whereby one
electrode is fixed and the other flexible. As the flexible electrode deflects under applied pressure,
the gap between electrodes decreases and the capacitance increases.
10. What is the main drawback associated with capacitive approach of sensor?
The main drawbacks associated with the capacitive approach are the inherently nonlinear output of
the sensor and the complexity of electronics (compared with the resistive bridge).
11. How nonlinearity can be avoided in capacitive pressure sensor?
The use of bossed diaphragms will mitigate this effect to some degree. Another linearizing
approach is to pattern the electrodes such that the sensing capacitance is measured from a particular
part of the diaphragm. Maximum deflection occurs at the diaphragm center but this is also the
location of maximum nonlinearity. By sensing the capacitance at an annulus removed a short
distance from the diaphragm center, non-linearity is reduced but at the expense of sensitivity.
12. How can be the parasitic capacitance avoided.
In order to reduce the effects of parasitic capacitance and achieve higher performance devices, the
pressure sensor should ideally be integrated with electronics. This is achieved by combining a bulk-
etched device with basic CMOS circuitry, but the more common solution is to employ surface
micro-machining.
13. What are the various advantages Comb-drive structures exhibit?
They have no sliding surfaces making them virtually showing no wear. The force of the drives is
independent of the position of the combs when one uses (the preferred) voltage control.
14. What are the major performance objectives in micro motor fabrication process?
Friction reduction and wear resistance.

15. How the sacrificial layers are removed in the process of micromotor fabrication?
Sacrificial layers are removed by immersing the wafer into HF etch solutions.
16. What are microactuators?
Microactuators are based on three-dimensional mechanical structures with very small dimensions
which are produced with the help of lithographic procedures and non-isotropic etching techniques.
For an actuator-like displacement the most different principles of force generation are used, such as
the bimetal effect, piezo effect, shape memory effect and electrostatic forces.
17. What is the use of micro pump?
Micropumps are attractive means for drug dosing in medicine, reagent delivery on chemical
St. Josephs College of Engineering 21
EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017
applications or adhesive dispensing in the semiconductor industry.
18. Name any three thermal sensors.
i) Thermal bimorph sensors
ii) Thermal couples
iii) Thermal resistive sensors
19. State the principle of operation of thermocouple.
When any conductor is subjected to a thermal gradient, it will generate a voltage. This is known as
the thermoelectric effect or Seebeck effect.
20. What is thermal bimorph principle?
Two different materials, with different coefficients of thermal expansion, are joined together in
such a way, that a temperature change will cause the entire structure to deform in a desired way.
21. What are the two gripping methods used in micro-gripper?
Two different materials, with different coefficients of thermal expansion, are joined together in
such a way, that a temperature change will cause the entire structure to deform in a desired way.
22. What are the advantages of thermal bimetallic actuation?
Relatively large range of movement can be achieved.
Small actuator footprint for comparable displacement.
23. What are the disadvantages of thermal bimetallic actuation?
Moderate to high power operation as current is used to generate ohmic heating.
Lower response speed as the time constant is governed by thermal heating and
dissipation.
24. What is the term thermistor referred to?
The term thermistor is generally used to refer to semiconducting thermoresistors.
A thermistor is a type of resistor whose resistance varies significantly with temperature, more so
than in standard resistors. The word is portmanteau of thermal and resistor. Thermistors are widely
used as inrush current limiters, temperature sensors, self-resetting over current protectors, and self
regulating heating elements.
25. What is bolometer?
A bolometer is a device for measuring the power of incident electromagnetic radiation via the
heating of a material with a temperature-dependent electrical resistance

PART B

1. Explain in detail about the principle and working of electrostatic motor.


A capacitor is broadly defined as 2 conductors that can hold opposite charges. It can be
used as a sensor or an actuator. If the distance & relative position between 2 conductors
change as a result of applied stimulus, the capacitance value would be changed. This forms
the basis of capacitive sensing. If a voltage is applied across 2 conductors, an electrostatic
force would develop between these 2 objects called electrostatic actuator. Electrostatic
forces are not often used for driving macroscopic machinery. However micro devices have
large surface area to volume ratios & their masses are generally very small thus making a
surface force.

The motor consists of rotor attached to substrate with a hub & set of fixed electrodes
St. Josephs College of Engineering 22
EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017
periphery called stators.initially the rotor is at an arbitrary angular west position.one group
of stator electrode is first biased. An in-plane electric filed develops between stator
electrode & closest rotor tooth next to it.This generates an electrostatic attractive force that
aligns the tooth with stator electrode.A small angular movement of the rotor is made to
reach a new configuration.The electric bias is shifted to next group of stator electrodes
resulting in another small angular movement in the same direction. Continuous motion of
rotor can be achieved by activating the stator electrodes by groups in succession.
Advantages: Simplicity, low power,fast response
Disadvantages: High voltage required.
There are two major categories of capacity electrodes geometries:Parallel plate
capacitor & interdigitated finger capacitors.

2. What do you understand by Equilibrium position of Electrostatic Actuator under bias?

A Parallel plate capacitor with 1 movable plate is supported by a mechanical spring.


The top plate is supported by a spring with force constant being K m. At rest, the
applied voltage, displacement & mechanical restoring forces are zero. When a
voltage is applied , an electrostatic force Felectric will be developed.

1 A 2 1 CV
2
Felectric = V =
2 d2 2 d

St. Josephs College of Engineering 23


EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017

[
F electric
][ ]
2 2
CV CV
Ke= = 2 = 2
d d d
x
m
x
-X =
2
C ( x) V 2

This force will tend to decrease the gap which gives rise to displacement &
mechanical restoring force. Under static equilibrium the mechanical restoring force has
equal magnitude but opposite direction as the electrostatic force. The case of an
electrostatic actuator is very intriguing.The magnitude of electrostatic force itself is a
function of displacement.

3. Discuss in detail about parallel plate capacitive accelerometer.


The sensor consists of a metal ported oxide with 0.35g-thick electro plated gold patch at
its distal end serving as proof mass. The length , width & thickness of cantilever are 108m
, 25m & 0.46m.The counter electrode is made of heavily doped p type silicon.The
capacitor gap ( CB) is defined by epitaxy silicon layer grown on a silicon surface.
A surface micro machining process was developed using thermal oxide as cantilever
structural material & epitaxially grown silicon as a sacrificial layer.The process starts an n-
type(100 silicon wafer). A heavily boron doped region is made using an oxide layer as the
doping barrier. An epitaxial silicon layer with resistivity of 0.5cm is grown to a thickness
of 5m over the entire wafer. Another layer of oxide is deposited & patterned , serving as a
mask for etching via hole & then as a barrier for doping. The oxide barrier is then removed
followed by growth of another layer of thick oxide which serves as the dielectric insulator,
cantilever & etching barrier other than gate. A layer of metal is deposited & patterned
which provides electrical interconnects to the bottom p + electrode, electrode on top of
oxide cantilever & gate of FET.Finally wet silicon h is performed to undercut epitaxial
silicon beneath the oxide cantilever.
L

C B= C xdx =
0
L

d +x
0
b dx
0

4. What do you understand by membrane parallel plate pressure sensor?


A membrane pressure sensor can deduct pressure differential across the membrane.Two
pressure ports are required & to simplify design & use , absolute pressure sensors are
desirable. The use of vacuum avoids expansion of trapped air & increases the band width
by eliminating air damping inside the cavity.A membrane made of doped silicon serves as
pressure sensing element & 1 electrode. The counter electrode consists of patterned metal ,
thin film on the bottom substrate . The process begins with (100) silicon wafer.An oxide
mask is deposited & patterned serving as chemical barrier during wet anisotropic etching of
silicon. The oxide is then photolithographically patterned further photo exposure action
reduces line with resolution & a boron diffusion step at 117 . Celcius is conducted followed
by stripping of oxide & growth & pattering of another oxide layer.A layer of silicon layer is
deposited & patterned to form dielectric instillation & further provide electrical contact
with the membrane later.
5. What are the applications of comb drive devices?
Comb drive actuator is one of the most common electrostatic actuator used in MEMS applications.
It uses both electrostatic energy from a DC voltage applied between the moving & fixed comb
St. Josephs College of Engineering 24
EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017
drive structures, and the mechanical restoring force provided by the spring structure . Comb drive
actuators have been used as resonators, electromechanical filters, optical shutters, micro grippers
and voltmeters. These have also been used as the driving element in vibromotors and
micromechanical gears. It is desirable in comb drive to achieve large displacements at low
actuation voltages. The well known electrostatic micro-actuators include side drive silicon
micromotor, wobble micro-motor, comb drive microactuator and out of plane diaphragm
microactuator. Comb Drive actuators consist of two interdigitated finger structures, where one
comb is fixed and the other is connected to a compliant suspension. The driving voltage between
the comb structures causes the displacement of the movable fingers towards the fixed fingers by an
attractive electrostatic force. The position of the movable finger structure is controlled by a balance
between the electrostatic force and the mechanical restoring force of the compliant suspension.
Mechanical forces are generated through spring structures. So besides electrostatic forces,
mechanical forces also play a very important role. Mechanical forces are directly depending upon
the stiffness of the flexures. By changing these flexures, mechanical forces also changes. It is very
important to find the flexure compatibility for large deflections at low actuation voltage. In the
present work, different flexures of electrostatic comb drives are simulated for large displacements.
6. Write in detail about the fabrication of thermal sensors.
Consider any of the thermal sensors-for eg: Hotwire anemometer- It utilizes PDMA(Plastic
Deformation Magnetic Assembly). Surface micro machined structures are anchored to substrates
with cantilever beam made of ductile material(Gold or Aluminum). The microstructure is attached
to pieces of electroplated ferromagnetic structures. When a magnetic field is applied the
ferromagnetic material interacts with the field and bend the microstructure out of the plane. If the
bending is significant the cantilever support hinges will be plastically deformed resulting in
permanent bent of microstructures. In fabrication Silicon or glass or polymer is used as substrate.
Above that a chrome/copper/titanium metal stack is evaporated and patterned a sacrificial layer
which will act as an adhesion layer. A thick layer of titanium film reduces the in-process oxidation
of copper film. A thick Photo definable polymide is spun on patterned via lithography and cured.
The polymide layer forms the support prong and part of hotwire. A Cr/Pt/Ni/Pt film is then
evaporated and patterned to form a thermal element. A thick Ni resistor is sandwiched between two
Pt films, which are used to reduce possible oxidation of Ni while in operation process Pt is
relatively inert at high temperature. The authors then evaporate and pattern a thick Cr/Au film to
serve as mechanical bending element as well as electrical leads of hot-wire filament. They
electroplate a thick permalloy thin film on portions of cantilever support prongs. Sacrificial layer
release is performed by using a solution containing acetic acid and hydrogen peroxide to remove
selectively the copper thin film.PMDA assembly is carried out to lift the entire sensor out of plane
by placing a permanent magnet at the bottom of substrate. To finish the process the device chip is
then rinsed in de-ionized water and dried.
7. Explain briefly about heat transfer processes associated with pot heating.

Temperature is manifested at the micro scale by the vigorousness of atomic vibration. Heat
transfer occurs whenever a temperature gradient is present in a material. Successful design
of thermal actuators & sensors require familiarity with heat transfer processes.

There are 4 possible mechanisms for heat to move from one point to another:
1.Thermal
2.Natural
3.Forced thermal convection
4.Radiation
The convective heat transfer coefficient is influenced by surface geometrics, fluid velocity,
viscosity, & thermal diffusivity.
Heat is generated at the heating coil by passing current & is eventually lost to the ambient
background which is assumed to be a constant room temperature. Major heat transfer
pathways & the direction of heat flux are identified by arrows.
The heat produced by the heating coil first travels through the walls of the pot to reach the
St. Josephs College of Engineering 25
EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017
body of water inside. Heat transfer occurs within the thickness of the wall via thermal
conduction. Once the heat reaches the interior wall of the pot, heat transfer to the liquid
within begins.The liquid mass closest to the wall warms up & begins to rise, setting up a
natural convection, which brings heat transfer from the pot wall to the interior of the body
of water.If the liquid in the pot were stirred, the heat transfers from inside wall of the pot to
liquid could become stronger as naturally convection is replaced by forced convection.
The body of water is exposed to air at the top.If the air outside is still, heat will transfer
from the water to the air through natural convection. On the other hand , if the air were
moving, heat would travel from the hot liquid to the air by forced convection.
Meanwhile, a person standing nearby feels heat wave coming from the heating coil.
Heat is said to move through air by radiation. Assuming the heating coil is at a significantly
high temperature, the radiation heat transfer can be quite strong.Certainly, the radiative
dissipation reduces the energy efficiently of heating the water. Even for such a simple
example, the heat transfer pathways are quite complex.
A heat flow will result between 2 points of different temperatures.The ability of a media or
an object to transfer heat between is quantified by its thermal resistance.
For two dimension thermal conductors & three dimensional ones , the effective
thermal resistance is much more difficult to estimate.
8. With neat diagram explain about thermal bimorph principle.

The thermal bimetallic effect is a very commonly used method for sensing and actuation.
This mechanism allows temperature variation in microstructures to be exhibited as
transverse displacement of mechanical beams. The thermal bimorph consists of 2 materials
joined along their longitudinal axisserving as a single mechanical element.
Principle of actuation is by increase in temperature heats the bimorph, as aluminum expands more
beam bends and results in an angular displacement. A thermal bimorph beam is composed of two
material films, with different Coefficients of thermal expansion CTE, bonded at an interface.
Typically thermal bimorphs are made of one material with a low CTE, such as a dielectric like
Polysilicon, and another material with a high CTE, such as metal like aluminum. When the
temperature of the bimorph is raised, the high CTE material will expand more than the low CTE
material. Since both materials are bonded together, stress develops in both material layers due to
the bonded interface constraint.. The high CTE material exhibits a compressive stress because it is
stretched below its equilibrium length, and the low CTE material exhibits a tensile stress because it
is stretched past its equilibrium length. The stresses that developed upon an increase in temperature
will cause the bimorph to curl towards the Coefficient of thermal expansion of aluminum with
1=23e- 6 [1/K] where, the Coefficient of thermal expansion of Polysilicon is 2 =2.33e-6[1/K],
low CTE material to minimize the internal energy stored by the stress. However thermal expansion
co-efficient for most materials are very small hence amount of displacement would be small. The
temperature at which the bimorph materials are deposited, assuming no residual stress is present
from processing conditions, both materials will be at their equilibrium lengths and the bimorph will
be flat. The opposite effect happens when the temperature of a bimorph is lowered. The high CTE
material will contract more than the low CTE material, and will develop tensile stress. The lower
CTE material will be contracted to a length shorter than its equilibrium length and will develop
compressive stress. When the temperature of a bimorph is lowered, the bimorph will bend towards
the high CTE material to minimize the internal energy stored by the stress. The effects of an
increase in temperature to the curl of a cantilevered bimorph are shown in Fig 1. Figure 1 Thermal
bimetallic bending (1 > 2) Figure 2 Geometry of thermal bimorphs actuator.

St. Josephs College of Engineering 26


EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017

9. Discuss in detail about thermal resistors.

The resistance value of a resistor is a function of the resistivity p & its dimensions, including length
l & the cross-sectional area A. It is given as :
R = p l/A
Both the resistivity & the dimensions are functions of temperature. As a result , the resistance value
is sensitive to temperature. A thermal resistor is an electrical resistor with appreciable temperature
sensitivity.The resistance of a thermal resistor . R is related to the ambient temperature in a
relationship shown below:
RT = R0(1 + R( T T0) ),

Where RT & R0 are the resistance at temperature T & T 0 respectively. The term R is called the
temperature coefficient of resistance.Thermal resistors can be made of metal or semiconductors. In
both cases , the dimensions of a resistor change with temperature. The electrical resistivity of both
metals & semiconductors varies with temperature, but the principles of such change is quite
different for metals & semiconductors.The temperature coefficient of resistance can be measured
simply by heating up a resistor using a temperature controlled stage & monitoring the resistance
value. The temperature of the stage should be increased slowly in small increments, to allow
sufficient time interval in between each temperature rise step to ensure thermal equilibrium. The
bias voltage & current must be kept low in this experiment, to minimize contribution of the electric
heating power, which is the product of voltage & current.The current & voltage used to interrogate
the resistance value of a thermoresistor may introduce heat to it. This phenomenon is called self-
heating. The heating power of a resistor under current l is:
P = I2R.
If self heating occurs , the resistance of the resistor is changed. Hence the slope of the I-Curve will
change. If the TCR value is positive, the resistance will increase at elevated power input level. A
representative I-V curve of a thermal resistor with TCR>0 is shown. Slope of I-V curve decreases
with the self-heating at high power input levels. On the other hand, if the TCR value of the resistor
is negative, the slope of the I-V curve would increase at high power input levels.

10.In detail explain about thermal couples.


As a result two pieces of dissimilar metals must be used to provide an open circuit voltage at
the reference junction. Seebeck coefficients are in fact associated with individual metal
elements.If the seebeck coefficient of the two constitutional materials in the thermal coupls
are denoted a & b. The seebeck coefficient of the thermal couple is defined as following:
ab= a - b
The output voltage from thermal couples will be increased when multiple thermal couples are
connected in an end to end fashion, with the hot & cold junctions aligned . This configuration
St. Josephs College of Engineering 27
EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017
is called a thermal pile.It is analogous to many batteries lined up together to provide a higher
output voltage. The output voltage equals that of a single thermal couple multiplied by the
number of thermal couples in the system.

UNIT III
SENSORS AND ACTUATORS-II
PART-A
1. What is meant by piezoelectric effect?
Piezoelectric Effect is the ability of certain materials to generate an electric charge in response
to applied mechanical stress.
2. What is cantilever?
A cantilever is a beam anchored at only one end. The beam carries the load to support where
it is forced against by moment and shear stress. Cantilever construction allows for overhanging
structures without external bracing. Cantilevers can also be constructed with trusses or slabs.
3. What is dielectric effect of piezoelectricity?
It was observed that certain materials generate an electric charge when it is under a mechanical
stress. This is known as dielectric effect of piezoelectricity.
4. What is inverse effect of piezoelectricity?
The material would be able to produce a mechanical deformation when an electric field is
applied to it.
5. Define Curie point.
If a piezoelectric element is heated to certain threshold temperature, the crystal vibration may be
so strong that domains become disordered and the element becomes completely depolarized.
This critical temperature is called Curie point.
6. What do you mean by electromechanical coupling coefficient?
Electromechanical coupling coefficient k is a measure of how much energy is transferred from
electrical to mechanical or vice versa during the actuation process.
7. What is the most familiar application of quartz crystal?
The most familiar use of quartz crystal, a natural piezoelectric material is resonator in watches.
8. Which unique property of quartz enables it to be used in quartz crystal oscillator?
The property of its oscillation frequency is quite insensitive to temperature changes make it to
be used in quartz crystal oscillator.
9. Define Sputtering.
Sputtering is a form of Physical Vapor Deposition. It is used to deposit thin metal films in the
order of 100 A (1A = 10-10 m) onto the substrate surface. Sputtering process is carried out with
plasmas under very low pressure in high vacuum up to 5x10-7 torr and at room temperature. No
chemical reaction is involved in the deposition process.
10. What is the primary application of acoustic wave sensor?
Primary application of these sensors is to act like band filters in mobile telephones and base
stations.
11. What are the secondary applications of acoustic wave sensor?
Sensing of torques and tire pressures
Sensing biological and chemical substances
Sensing vapors, humidity and temperature
Monitor fluid flow in microfluidics
12. What are the two common types of micro pressure sensor?
Sensors using piezoresistors, Sensors using capacitances
13. What are the characteristics of sensor using piezoresistors?
Small in size Linear I/O relation Temperature sensitive
14. What is PVDF?
The polyvinylidenfluoride is a synthetic fluoropolymer with monomer chains of (-CH 2-CF2-)n.
It exhibits piezoelectric, pyroelectric, and ferroelectric properties, excellent stability to
chemicals, mechanical flexibility, and biocompatibility.
15. What are the assumptions to be made for calculating curvature of bending of a compact
model?
The induced stress and strain should be along axis 1 or the longitudinal axis of

St. Josephs College of Engineering 28


EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017
the cantilever
The beam maintains constant curvature throughout the beam
Shear effects are negligible
Beam curvature due to intrinsic stress may be ignored
Poissons ratio is isotropic for all films
16. What do you understand by PZT?
The lead zirconatetitanate (PZT) system is widely used in polycrystalline form with very high
piezoelectric coupling. The name PZT actually represents a family of piezoelectric materials.
Depending on the formula of preparation, PZT materials may have different forms and
properties.
17. What are the different methods of producing PZT materials?
Different methods of producing PZT materials are sputtering, laser ablation, jet molding and
electrostatic spray deposition.
18. Name the material used as bonding agent in preparing PZT materials?
Lithium carbonate and bismuth oxide are used as bonding agent in preparing PZT materials.
19. How are ZnO materials grown?
ZnO materials are grown using rf or dc sputtering, ion plating and chemical vapour deposition.
What are the applications of piezoelectric materials?
Cantilever piezoelectric accelerometer
Membrane piezoelectric accelerometer
PZT piezoelectric acoustic sensor
PZT piezoelectric Microphone
Polymer piezoelectric tactile sensor
20. Why ZnO is used instead of PZT?
ZnO is used instead of PZT, because, although the PZT material offers greater piezoelectric
coefficient, it does so at the expense of greater dielectric constant and hence larger capacitance.
21. How are the electrical noise and impedance mismatch effects reduced in polymer
piezoelectric tactile sensor?
To reduce electrical noise and impedance mismatch effects, a two dimensional matrix of high
input impedance metal oxide semiconductor field effect transistor amplifiers have been directly
gate contact coupled to the lower surface of a piezoelectric PVDF polymer film.
22. What are the two most commonly encountered elastic wave?
Surface acoustic wave (SAW) and flexural plate wave (or Lamb wave). Saw occurs on samples
appreciable depth, whereas Lamb wave occurs in thin plates of materials.
23. What are the functions of SAW?
Chemical sensing
Environmental monitoring
Electrical circuitry
Transportation of fluid in contact with surface
24. What is the primary application of acoustic wave sensor?
Primary application of these sensors is to act like band filters in mobile telephones and base
stations.
25. What are the secondary applications of acoustic wave sensor?
Sensing of torques and tire pressures
Sensing biological and chemical substances
Sensing vapors, humidity and temperature
Monitor fluid flow in microfluidics
26. What is the major problem in pressure sensor?
Major problems in pressure sensors are in the system packaging and protection of the
diaphragm from the contacting pressurized media, which are often corrosive, erosive, and at
high temperatures

PART B

1. Write in detail about the principle of operation of piezoelectric sensors. Also discuss about the
properties of materials used in the fabrication of such sensors.
A piezoelectric sensor is a device that uses the piezoelectric effect, to measure changes
St. Josephs College of Engineering 29
EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017
in pressure, acceleration, temperature, strain, or force by converting them to an electrical charge.
The prefix piezo- is Greek for 'press' or 'squeeze'. Some materials generate electric charge when it is
under a mechanical stress. This is known as direct effect of piezo electricity. Alternatively some
materials would be able to produce mechanical vibration when an electric filed is applied to it. This
is called as inverse effect of piezoelectricity- Piezoelectric materials are crystals. The microscopic
origin of piezoelectricity is the displacement of ionic charges within a crystal, leading to
polarization and hence electrified. A stress applied to piezoelectric crystal will alter the spacing
between centers of positive and negative charge site in each domain cell leading to a net
polarization manifested as open circuit voltage measurable at the crystal surface. Compressive and
tensile stresses will generate electric fields and hence voltages of opposite polarity. Inversely an
external electric will exert a force between the centers positive and negative charges, leading to an
elastic strain and charges of dimensions depending on the field polarity.
Properties of piezoelectric crystals- Since semi-conductor materials are often used in making
circuits and MEMS , its of interest to discuss piezoelectricity of important semiconductor
materials. Elemental semi-conductors such as silicon and germanium show centrosymmetric crystal
structure and do not exhibit piezoelectric behavior. III-V compound and II-VI compounds such as
Ga-AS and CdS on the other hand are piezo electric. Write in detail about Quartz, PZT,PVDF, ZnO

2. Discuss on piezoelectric actuator model. Explain any two applications of piezoelectric actuators.
Details of Cantilever piezoelectric actuators- Cantilever actuator with two layers,
analytical models have been successfully developed- The deflection of a two layer
piezoelectric structure can be described by compact formula. Consider all the assumptions
while calculating curvature of bending- Develop the mathematical model. Example:
Piezoelectric microphone
Cantilever microphones are chosen here because of the compliance. The cantilever
is also free from any residue-stress induced on a membrane. The authors stated that the use
of a cantilever actually created a microphone with great sensitivity (20Mv/bar at 890 Hz,
the resonant frequency). Conversely, acoustic output can be generated when the device is
used as an actuator , with a sound pressure level of 75dB at 890 Hz with 4V drive. The size
of the cantilever is 2 mm by 2 mm, with the overall thickness being 4.5 m. A ZnO thin
film is located on the cantilever.
The fabrication begins with a <100> -oriented silicon wafer.A 0.2 -m thick oxide is grown
by thermal oxidation, followed by the LPCVD deposition of a 0.5- m.-thick silicon
nitride. The nitride is deposited at 835.C & 300 m Torr deposition pressures from a vapour
with 6:1 ratio of dichlorosilane (DCS, SiH 2Cl2) to ammonia. A 0.2- m.-thick LPCVD
polysilicon electrode is deposited on the wafer. The front side of the wafer is coated with
spin-on photoresist electrode & patterned. Because the etch rate on silicon nitride is finite,
care must be taken to prevent excessive over etch of the silicon nitride. The front side is
then coated with a LPCV low temperature oxide (LTO), an insulating layer.The wafer is
annealed for 25min at 950.C to reduce stress to relieve stress and activate dopants in the
polysilicon. A 05- m- thick layer of ZnO is deposited using RF- magnetron sputtering.

3. Discuss about mathematical description of piezoelectric effects.

Mathematical description of piezoelectric effects


Piezoelectric materials are crystals. The microscopic origin of piezoelectricity is the displace-ment
of ionic charges within a crystal. leading to polarization and hence electric field. A stress (tensile Or
compressive) applied to a piezoelectric crystal will alter the spacing between centers of positive and
negative charge sites in each domain cell. leading to a net polarization mani-fested as open circuit
voltages measurable at the crystal surface. Compressive and tensile stresses will generate electric
fields and hence voltages of opposite polarity. Inverelv, an external electric field will exert a force
between the centers of positive and nega-tive charges. leading to an elastic strain and changes of
dimensions depending on the field polarity Not all naturally occurring or synthesized crystals
exhibit piezoelectricity. Crystals can he classified into 32 groups accnr(ling to crystal symmetry.
St. Josephs College of Engineering 30
EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017
Centrosymmetric crystal structures are crYstals that are symmetric Amu all axes through the center
of the crystal. These crystals occupy I t out of 32 possible groups and are non-piezoelectric
materials because the positive and negative charge sites will not be spatially separated under stress.
Out of 21 noncentrosvm-metric groups. 20 are piezoelectric crystals. Piezoelectric effects are
silongly orientation dependent. The notation conventions for crystal orientations in the context of
piezoelectric polarization are discussed first. A piezoelectric material needs to be poled in a
particular direction to provide a strong piezoelectric effect. although some materials exhibit natural
or spontaneous polarization. The direction of positive polarization is cuss tmarily chosen to
coincide with the Z-axis of a rectangular system of crystallographic axes X. V. and Z. Alternatively,
the normal stress components along axes X. V. and Z are denoted by sub-scripts 1,2, and 3,
respectively. As such, the poling axis always coincides with axis 3. Shear stress and strain
components about these axes are denoted by subscripts 4, 5. and 6, respectively (Figure 7.1).
In a piezoelectric crystal, the constitutive equation that relates electrical polaritatio. (/) and applied
mechanical stress (1) is D=dT+E
where d is the piezoelectric coefficient matrix. e the electrical permitivity matrix. and F is electrical
field. Here, an electric field is applied in conjunction with the mechanical stress. The electrical
polarization is contributed by two parts one stemming from electrical biasing and one from
mechanical loading. If no electric field is present (i.e., E = 0), then the second term on the right
hand skit of Equation 7.1 can be eliminated. The general constitutive equation can be written in the
full matrix form,

The terms T1 through T3 are normal stresses along axes 1, 2, and 3, whereas T4 through TO are
shear stresses. The units of electrical displacement (Di), stress T j and electri-cal field (Ej) are C/m2,
N/m2 and V/m, respectively. The unit of the piezoelectric constant is the unit of electric
displacement divided by the unit of the stress, namely,

The inverse effect of piezoelectricity can be similarly described by a matrix-form constitutive


equation. In this case, the total strain is related to both the applied electric field and any mechan-
ical stress, according to
S=ST+dE
where s is the strain vector and S the compliance matrix. Equation 7.4 can be expanded to a full
matrix form:

St. Josephs College of Engineering 31


EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017

If there were no mechanical stress present strain is related to electric field by

4. In brief discuss about properties of representive PZT materials.

Since semiconductor materials are often used in making circuits & MEMS, it is of interest
to discuss piezoelectric of important semiconductor materials. Elemental semiconductors
such as silicon & germanium show centrosymmetric crystal structure & do not exhibit
Piezoelectric behaviour. III- V compound & II VI compounds such as GaAs & CdS on
the other hand, are held together by covalent & ionic bonding.
Commonly employed Piezoelectric materials & their properties are summarized . Detailed
information about Piezoelectric coefficients of selected materials is summarised in
subsections. The properties of thin film materials may be different from their bulk
counterparts. The progress of developing new Piezoelectric materials is fast-paced in recent
years.
Quartz:
The most familiar use of quartz crystal , a natural Piezoelectric material, is resonator in
watches. In a quartz-crystal oscillator, a small plate of quartz is provided with metal.

5. What do you know about PVDF and ZnO. Explain in detail about it.

PVDF:
The polyvinylidenfluoride (PVDF) is a synthetic fluoropolymer with monomer chains of ( -
CH2 - CH2-). It exhibits Piezoelectric , pyroelectric , & ferroelectric properties , excellent
stability to chemicals, mechanical flexibility , & biocompatibility. The Piezoelectric effect
of PVDF has been investigated & modelled. The stretched PVDF films are flexible & easy
to handle as ultrasonic transducers. The material is carbon based, usually deposited as a
spin cast film from a dilute solution in which PVDF powder has been dissolved. As for
most Piezoelectric materials , process steps after deposition greatly affect the behaviour of
the film.
St. Josephs College of Engineering 32
EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017

6. Discuss about ZnO piezoelectric actuator.

We have fabricated and operated two cantilevers in parallel in a new mode for imaging
with the atomic force microscope(AFM). The cantilevers contain both an integrated
piezoresistivesilicon sensor and an integrated piezoelectric zinc oxide (ZnO) actuator. The
integration of sensor and actuator on a single cantilever allows us to simultaneously record
two independent AFMimages in the constant force mode. The ZnO actuator provides over
4 m of deflection at low frequencies (dc) and over 30 m deflection at the first resonant
frequency. The piezoresistive element is used to detect the strain and provide the feedback
signal for the ZnO actuator. Fabrication and characterization of ZnO thin film piezoelectric
sensors on a polyimide membrane is presented in this paper. As a test device a differential
pressure liquid flow sensor has been fabricated. We discuss new material combinations for
the fabrication of the test devices. The pressure sensor membrane is a thin polyimide sheet
bonded to a silicon wafer and the sensing material is sputtered ZnO piezoelectric thin film.
The fabricated liquid flow sensor has been tested with a piezoelectric micropump for flow
rates from 30 l h1 to 300 l h1. Stroke volumes of 1 to 10 nl have been measured. The
strain in the sensing layer has been modeled and a transverse piezoelectric coefficient
of e31,f = 0.294 C m2 has been extracted.

7. With neat sketch explain about cantilever piezoelectric accelerometer.

The piezoelectric layer is sandwiched between a top conducting layer (platinum) & a
bottom conducting layer. A vertical acceleration will deflect the cantilever producing a
longitudinal stress in axis 1, along the length of the cantilever. The fabrication process is
briefly discussed . A silicon oxide & a silicon nitride layer are deposited over the silicon
wafer to serve as insulating layers. A phosphorous-doped polycrystalline silicon film is
deposited & patterned via reactive ion etching to defines electrical contacts to the bottom
electrodes of the accelerometer.
A second layer of 2 m-thick layer of phosphosilicate glass is deposited by LPCVD and
patterned to define regions under the suspended cantilever. The RIE etch rate on silicon
nitride & oxide is smaller, reducing damages of over-time etch. However care must be
exercised to prevent or minimize over-etching the first polycrystalline layer.The authors
then removed the photoresist layer with acetone. Next a ZnO layer on the order of 0.5 m
thick is deposited by RF-magnetron sputtering from a lithium doped ZnO target. The ZnO
protection is necessary because , although the film is covered by Pt on top, it is exposed on
the side & through possible pin-holes on the Pt films.

8. Discuss about membrane piezoelectric accelerometer with neat diagram.

A second example of accelerometer uses PZT instead of ZnO as the sensing material
because of its greater piezoelectric coefficient. The structure is also different from the
previous example. The sensor consists of a silicon proof mass suspended by an annular
diaphragm supporting a center proof mass. The annular ring design provides desired
mechanical characteristics , including high resonant frequency & insensitivity to transverse
acceleration due to symmetry. Three ring-shaped electrodes are involved in this device.
Two concentric electrode rings are placed on the top of the membrane. A bottom electrode
ring is placed underneath the PZT ring. Electric field between the bottom electrode & the
top electrodes provide in-situ poling. The output voltage is measured between two top
electrodes. The stress components in the annular ring upon the vertical deformation of
membrane due to applied acceleration are radially distributed. Unlike other examples in
St. Josephs College of Engineering 33
EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017
this chapter in which a single coordinate system is used for the entire device, each cross
section of the device is assigned a coordinate system, with axis1pointing in the radial
direction & axis 3 being perpendicular to the substrate.

9. In brief explain about PZT piezoelectric Microphone further shrinkage.


Cantilever microphones are chosen here because of the compliance. The cantilever is also
free from any residue-stress induced on a membrane. The authors stated that the use of a
cantilever actually created a microphone with great sensitivity (20Mv/bar at 890 Hz, the
resonant frequency). Conversely, acoustic output can be generated when the device is used
as an actuator , with a sound pressure level of 75dB at 890 Hz with 4V drive. The size of
the cantilever is 2 mm by 2 mm, with the overall thickness being 4.5 m. A ZnO thin film
is located on the cantilever.

The fabrication begins with a <100> -oriented silicon wafer.A 0.2 -m thick oxide is grown
by thermal oxidation, followed by the LPCVD deposition of a 0.5- m.-thick silicon
nitride. The nitride is deposited at 835.C & 300 m Torr deposition pressures from a vapour
with 6:1 ratio of dichlorosilane (DCS, SiH 2Cl2) to ammonia. A 0.2- m.-thick LPCVD
polysilicon electrode is deposited on the wafer. The front side of the wafer is coated with
spin-on photoresist electrode & patterned. Because the etch rate on silicon nitride is finite,
care must be taken to prevent excessive over etch of the silicon nitride. The front side is
then coated with a LPCV low temperature oxide (LTO), an insulating layer.The wafer is
annealed for 25min at 950.C to reduce stress to relieve stress and activate dopants in the
polysilicon. A 05- m- thick layer of ZnO is deposited using RF- magnetron sputtering.

1. Discuss about piezoelectric flow rate sensor.


St. Josephs College of Engineering 34
EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017

The device consists of two pressure-sensing elements with piezoelectric readout


connected to a hydraulic restriction channel at two locations. The pressure difference
measured using the piezoelectric sensors provides information about the flow rate,
since the pressure difference along the channel & the volumetric flow rate are linked
by the Bernoullis equation. The device has been designed to measure flow rates from
30l/h to 300 l/h. Each pressure sensor consists of an membrane carrying an annular
ring made of ZnO.The diameter of the membrane is 1 mm with the thickness being 25
m. The strain distribution is not uniform throughout the ring. It was found that the
averaged strain 6.8*10-5 for a pressure of 100 mbar. An annular ring is used rather
than a continuous circular membrane. The ZnO material is located in the region with
an identical sign of radial stress when the membrane is deformed. Here the authors
did not use LPCVD oxide because the temperature of deposition is too high for the
polyimide.Finally an aluminium electrode is deposited using the lift-off process.Lift
off-process does not involve wet etching for patterning, which may attack underlying
layers including ZnO. The average pressure sensor sensitivity is 8 mV/mbar. Flow
volume of 1 to 10 nl has been measured.

UNIT IV
MICROMACHINING
PART A
1. Define isotropic.
If the etch rates in all directions are identical, the etching is said to be isotropic.
2. Define anisotropic.
If the etch rate is orientation dependent, the etching is said to be anisotropic..
3. What is SLSP.
A three dimensional profile bound by slow etching <111> planes is called self limiting stable
profile (SLSP).

4. What is transition profile.


Before the self limited profile is reached, the profile is called transition profile.
5. Classify Transition profile.
Stable transition profile
Unstable transition profile
6. Define UTP.
A three dimensional etching profile that consists of fast etching, high indes planes [7],[8] are
called unstable transition profile.
7. Define STP.
A three dimensional etching profile that consists of only [6], [9], and [5] planes.
8. Define SLSP.
St. Josephs College of Engineering 35
EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017
A three dimensional etching profile that consists of only [5] planes.
9. What is convex corner.
At a convex corner, the solid angle of masked region is less than 180 degree.
10. Define FSLC.
A footprint of self-limited cavity, is the intersection of SLSP cavity with front surface of wafer.
11. What is concave corner.
At a concave corner, the solid angle of masked region is greater than 180 degree.
12. Define DRIE.
Deep reactive ion etching is a special kind of RIE process. It is capable of producing deep and
high aspect ratio features with near vertical side walls.
13. What are the advantages of DRIE.
It offers fast fetch rate, vertical sidewalls, room temperature process capability, and the ability
to easily correlate the mask with the resultant three dimensional structures.
14. Which is the most commonly used isotropic silicon etchant.
The most commonly used isotropic silicon etchant is HNA a mixed chemical solution
consisting of at least three constituent acids the letter H stands for hydrofluoric acid, N for
nitric acid, and A for acetic acid.
15. What is SOI Wafer.
SOI stands for silicon on insulator. An SOI wafer is a specially wafer consisting of two single
crystal silicon wafer sandwiching, an insulator layer.
16. Define electrochemical etch stop layer.
Heavily doped silicon can reduce etch rate by wet silicon etchants. Alternatively, doped layers
can be biased during etching process to realize electrochemical tech stopping.
17. What is CVD.
In a chemical vapour deposition chamber, solid thin films are formed on wafers by
condensation of vapor or adhesion of solid phase reaction by products.
18. What is LPCVD.
If the energy is provided by heat alone and conducted under low processing pressure, the
process is called low pressure chemical vapour deposition.
19. What is PEVCD.
If the energy is provided by plasma power, the process is called plasma enhanced chemical
vapor deposition.
20. What are etch holes.
One strategy for decreasing the over all time for sacrificially under cutting large area plates is to
deploy small openings called etch holes.
21. What are the approaches taken for stiction issue.
Eliminate the capillary attractive force
Prevent excessive bonding force
Release structures that are stuck to the substrate
Provide counteractive force
22. Define stiction.
The failure mode of microstructures is referred to as stiction, a hybrid word combining sticking
and friction.
23. What is PSG.
The specific form of P-doped oxide is called phosphosilicate glass.
24. What is cantilever.
A beam that is fixed on one end and free on another.
25. Define suspension.
A beam that is fixed on both ends. The beam may contain plates in the middle. It is also referred
to as clamped- clamped beams.

PART B

1. Give the rules of anisotropic etching. Explain in detail with simplest case.
Rules of Anisotropic EtchingSimplest Case Let us first examine the simplest casethe profile of
an etched pit in a <100> oriented silicon substrate when the mask contains a rectangle or a square
open window, with edges aligned to the <110> direction (Figure 10.4a).
St. Josephs College of Engineering 36
EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017

SEM micrograph of an binned cavity.

Cantilever beams formed by anisotropic etching

Etch profile evolution

When a silicon wafer is immersed in a wet silicon etching solution, the atomic layer that is
exposed through the open window is etched first. Wet anisotropic etchants exhibit drastically

St. Josephs College of Engineering 37


EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017
different etch rates along different crystal planes. In general, the etch rate along <I 1 I > direc-tion is
the slowest among all crystal orientations The reason for crystalline-dependant etch rate is not yet
fully understood though conjec-tures exist. It is believed that, since wet anisotropic etchants remove
silicon by first oxidizing a silicon surface (using the oxidizing constituents within the solution) and
then removing the oxide (using oxide-etching constituents), the difference of etch rate is attributed
to the differ-ence of oxidation rates. However, there seems no reported direct evidence correlating
experi-mentally data and reaction rate analysis. Microscopically, the etch rate difference is attributed
to atomic bonding energy of silicon atoms on various surfaces. Atoms on different crystalline
surfaces are associated with different number of neighbors, bonding energy, and degrees of
difficulty for removal. At this stage, it is sufficient for a reader to realize that the etch rates are
different along crystal orientations, and that the etch rates along <100> and <110> directions are
much greater than that along the <11 1 > direction. Etch rates along certain high-index crystalline
directions are greater. With the knowledge of etch rate distribution in a crystal lattice, let's now
examine the pro-gression of etched profile. We shall focus on the cross section of the silicon wafer
cut through the middle of a window (Figure 10.4). Atoms on the top-most layer are closely packed
and all face the <100> direction. A representative atom, atom A in Figure 10.4b, can be removed
according to the etch rate in the <100> direction.
As the first layer of atom is removed, atoms along the edges of the mask opening are ex-posed in
<100> and other directions (Figure 10.4c). An atom in the middle of the etched floor (e.g., atom B)
can only be attacked from the <100> direction as it is flanked by other <100> facing atoms on the
same plane. An atom on the edge. e.g., atom C has greater bond strength than atom B. One intuitive
way to understand the higher bond strength associated with atom C is that in the A-A' cross section,
atom C has greater than 180 solid angle on the solid side. In contrast, atom B is only associated
with a solid angle of 180. After the second layer of atoms is removed (Figure 10.4d). a
representative atom on the slope (atom E) is now flanked by atoms facing the <111> direction.The
energy it takes to re-move atom E is much greater than that needed to remove atom D. for example.
Consequently, the etch rate of the <111> slope is much slower than that of the bottom surface. As
the etching progresses, the cross-sectional profile of the cavity changes according to Figure 10.4e.
Dashed lines represent progression of etch profiles. The depth of the cavity in-creases with etching
time according to the etch rate in the <100> direction. Originating from the edges, sloped <111>
surfaces are formed. Atoms lying in the middle of this <111> plane is etched very slowly as it is
flanked by other <111> facing atoms A computer simulation program is used to graphically illustrate
the three-dimensional etched profile (Figure 103), with the mask shown in Figure 103a. The top
view of the wafer after a few layers of silicon atoms are removed is shown in Figure 103h A
perspective view of the cavity, with a magnified view of one of the corners, is shown in Figure 103c.
The corner is defined by two <111> planes and a bottom <100> plane. If we assume the wafer
thickness is much greater than the size of window opening and that the etching process continues for
a long time, the <100> surface would eventually disap-pear and the four <I I I> planes would meet.
2. With an example explain about the rules of anisotropic etching (complex).
Rules of Anisotropic EtchingComplex Structures In the previous section we reviewed a very
simple and commonly encountered case: masks with rectangular or square openings with edges
aligned to <110> directions, lying in <100> silicon surface. We now build up the complexity of
analysis by relaxing limitation on mask shapes. First, we eliminate the restriction that the edges of a
window must be a noninterrupted straight line. Next, we eliminate the restriction that the edges must
be parallel to <110> directions.

Cross section view of etched cavity


Instead of reviewing the text of rules first, let us observe illustrated examples of actual etching cases
St. Josephs College of Engineering 38
EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017
as predicted by a computer simulation software 1101.The progression of etch pro-files from two
independent mask patternslabeled A and B is illustrated in Figure 10.8. These two window
openings in the mask are very different. However, after a sufficiently long etch time (e.g..170 min),
they result in very similar three-dimensional profilesblind STP-type cavities with edges aligned to
<110> (Figure 10.8h). Note that etching occurs underneath certain regions that are originally
masked. For example, the material underneath the extended beam in B is gradually removed starting
at its distal end.

Progression of etch profile

3. Discuss in detail about dry etching of silicon.


Dry etching refers to the removal of material, typically a masked pattern of semiconductor material,
by exposing the material to a bombardment of ions (usually a plasma of reactive gases such
as fluorocarbons, oxygen, chlorine, boron trichloride; sometimes with addition
of nitrogen, argon, helium and other gases) that dislodge portions of the material from the exposed
surface. A common type of dry etching is reactive-ion etching. Unlike with many (but not all,
see isotropic etching) of the wet chemical etchants used inwet etching, the dry etching process
typically etches directionally or anisotropically.
Dry etching is used in conjunction with photolithographic techniques to attack certain areas of a
semiconductor surface in order to form recesses in material, such as contact holes (which are
contacts to the underlying semiconductor substrate) or via holes (which are holes that are formed to
provide an interconnect path between conductive layers in the layered semiconductor device) or to
otherwise remove portions of semiconductor layers where predominantly vertical sides are desired.
Along with semiconductor manufacturing, micromachining and display production, the removal of
organic residues by oxygen plasmas is sometimes correctly described as a dry etch process. The
term plasma ashing can be used instead. Dry etching is particularly useful for materials and
semiconductors, which are chemically resistant and could not be wet etched, such as silicon

St. Josephs College of Engineering 39


EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017
carbide or gallium nitride. Dry etching is currently used in semiconductor fabrication processes due
to its unique ability over wet etch to do anisotropic etching (removal of material) to create high
aspect ratio structures (e.g. deep holes or capacitor trenches).
4. Explain in detail about plasma etching.
Plasma etching is a form of plasma processing used to fabricate integrated circuits. It involves a
high-speed stream of glow discharge (plasma) of an appropriate gas mixture being shot (in pulses) at
a sample. The plasma source, known as etch species, can be either charged ( ions) or neutral
(atoms and radicals). During the process, the plasma will generate volatile etch products at room
temperature from the chemical reactions between the elements of the material etched and the
reactive species generated by the plasma. Eventually the atoms of the shot element embed
themselves at or just below the surface of the target, thus modifying the physical properties of the
target.

A plasma is a high energetic condition in which a lot of processes can occur. These processes
happen because of electrons and atoms. To form the plasma electrons have to be accelerated to gain
energy. Highly energetic electrons transfer the energy to atoms by collisions. Three different
processes can occur because of this collisions:

Excitation

Dissociation

Ionization

There are different species in the plasma present such as electrons, ions, radicals and neutral
particles. Those species are interacting with each other constantly. Plasma etching itself can be
divided into two main ways of interactions:[4]

The generation of the chemical species

The interaction with the surrounding surfaces

Without a plasma all those processes would occur at a higher temperature. There are different ways
to change the plasma chemistry and get different kinds of plasma etching or plasma depositions.
One of the excitation techniques to form a plasma is by using RF excitation of a power source of
13.56 MHz.

The mode of operation of the plasma system will change if the operating pressure changes. Also, it
is different for different structures of the reaction chamber. In the simple case, the electrode
structure is symmetrical, and the sample is placed upon the grounded electrode.

The reaction of the products depend on the likelihood of dissimilar atoms, photons, or radicals
reacting to form chemical compounds. The temperature of the surface also affects the reaction of
products. Adsorption happens when a substance is able to gather and reach the surface in a
condensed layer, ranging in thickness (usually a thin, oxidized layer.) Volatile products desorb in the
plasma phase and help the plasma etching process as the material interacts with the sample's walls.
If the products are not volatile, a thin film will form at the surface of the material. Different
principals that affect a sample's ability for plasma etching: [3][6]

St. Josephs College of Engineering 40


EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017

Volatility

Adsorption

Chemical Affinity

Ion-bombarding

Sputtering

Plasma etching can change the surface contact angles, such as, hydrophilic to hydrophobic or vice
versa. The Argon plasma etching has reported to enhance contact angle from 52 deg to 68 deg and,
Oxygen plasma etching to reduce contact angle from 52 deg to 19 deg for CFRP composites for
bone plate applications. Similarly, the plasma etching has reported to reduce the surface roughness
from hundreds of nanometers to as lower as 3 nm for metals.
Microwave plasma etching

Microwave etching happens with an excitation sources in the microwave frequency, so between
MHz and GHz. One example of plasma etching is shown here.

A microwave plasma etching apparatus. The microwave operates at 2.45 GHz. This frequency is
generated by a magnetron and discharges through a rectangular and a round waveguide. The
discharge area is in a quartz tube with an inner diameter of 66mm. Two coils and a permanent
magnet are wrapped around the quartz tube to create a magnetic field which directs the plasma.
Hydrogen plasma etching

On form to use gas is the plasma etching is hydrogen plasma etching. Therefore, an experimental
apparatus like this can be used:

St. Josephs College of Engineering 41


EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017

A quartz tube with an rf excitation of 30 MHz is shown. It is coupled with a coil around the tube
with a power density of 2-10 W/cm. The gas species is H 2gas in the chamber. The range of the gas
pressure is 100-300 um.

Plasma etching is currently being used to process semiconducting materials for their use in the
fabrication of electronics. Small features can be etched into the surface of the semiconducting
material in order to be more efficient or enhance certain properties when used in electronic
devices. For example, plasma etching can be used to create deep trenches on the surface of silicon
for uses in Microelectromechanical systems. This application suggests that plasma etching also has
the potential to play a major role in the production of microelectronics. Similarly, research is
currently being done on how the process can be adjusted to the nanometer scale.

Hydrogen plasma etching, in particular, has other interesting applications. When used in the process
of etching semiconductors, hydrogen plasma etching has been shown to be effective in removing
portions of native oxides found on the surface. [5] Hydrogen plasma etching also tends to leave a
clean and chemically balanced surface, which is ideal for a number of applications.
5. Describe in detail about DRIE.
Deep reactive-ion etching (DRIE) is a highly anisotropic etch process used to create deep
penetration, steep-sided holes and trenches in wafers/substrates, typically with highaspect ratios . It
was developed for microelectromechanical systems (MEMS), which require these features, but is
also used to excavate trenches for high-density capacitors forDRAM and more recently for creating
through silicon via's (TSV)'s in advanced 3D wafer level packaging technology .
There are two main technologies for high-rate DRIE: cryogenic and Bosch, although the Bosch
process is the only recognised production technique. Both Bosch and cryo processes can fabricate
90 (truly vertical) walls, but often the walls are slightly tapered, e.g. 88 ("reentrant") or 92
("retrograde").
Another mechanism is sidewall passivation: SiO xFy functional groups (which originate from sulphur
hexafluoride and oxygen etch gases) condense on the sidewalls, and protect them from lateral
etching. As a combination of these processes deep vertical structures can be made.
In cryogenic-DRIE, the wafer is chilled to 110 C (163 K). The low temperature slows down
the chemical reaction that produces isotropic etching. However, ions continue to bombard upward-
facing surfaces and etch them away. This process produces trenches with highly vertical sidewalls.
The primary issues with cryo-DRIE is that the standard masks on substrates crack under the extreme
cold, plus etch by-products have a tendency of depositing on the nearest cold surface, i.e. the
substrate or electrode.
St. Josephs College of Engineering 42
EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017
The Bosch process, named after the German company Robert Bosch GmbH which patented the
process,[1][2][3] also known as pulsed or time-multiplexed etching, alternates repeatedly between two
modes to achieve nearly vertical structures.
1. A standard, nearly isotropic plasma etch. The plasma contains some ions, which attack the
wafer from a nearly vertical direction.Sulfur hexafluoride [SF6] is often used for silicon.
2. Deposition of a chemically inert passivation layer. (For instance,
C4F8 (Octafluorocyclobutane) source gas yields a substance similar to Teflon.)

Undulating sidewall of a silicon structure created using the Bosch process


Each phase lasts for several seconds. The passivation layer protects the entire substrate from further
chemical attack and prevents further etching. However, during the etching phase, the
directional ions that bombard the substrate attack the passivation layer at the bottom of the trench
(but not along the sides). They collide with it and sputter it off, exposing the substrate to the
chemical etchant.
These etch/deposit steps are repeated many times over resulting in a large number of very
small isotropic etch steps taking place only at the bottom of the etched pits. To etch through a
0.5 mm silicon wafer, for example, 1001000 etch/deposit steps are needed. The two-phase process
causes the sidewalls to undulate with an amplitude of about 100500 nm. The cycle time can be
adjusted: short cycles yield smoother walls, and long cycles yield a higher etch rate.

6. Explain about isotropic wet etching.


Isotropic etching (e.g. wet etching or chemical etching) is a method commonly used in semi-
conductor technology to remove material from a substrate via a chemical process using
an etchant substance. The etchant may be a corrosive liquid or a chemically active ionized gas,
known as a plasma. Unlike dry etching, isotropic etching does not etch in a single direction, but
rather etches horizontally as well as vertically into the surface of the substrate. The horizontal
etching may result in undercutting of patterned areas and significant changes in device
characteristics. Isotropic etching may occur unavoidably, or it may be desirable for process reasons.

7. Discuss about LIGA process.

The starting material is a flat substrate, such as a silicon wafer or a polished disc of beryllium,
copper, titanium, or other material. The substrate, if not already electrically conductive, is covered
with a conductive plating base, typically through sputtering or evaporation.
The fabrication of high-aspect-ratio structures requires the use of a photoresist able to form a mold
with vertical sidewalls. Thus the photoresist must have a high selectivity and be relatively free from
stress when applied in thick layers. The typical choice, poly(methyl methacrylate) ( PMMA) is
applied to the substrate by a glue-down process in which a precast, high-molecular-weight sheet of
PMMA is attached to the plating base on the substrate. The applied photoresist is then milled down
to the precise height by a fly cutter prior to pattern transfer by X-ray exposure. Because the layer
must be relatively free from stress, this glue-down process is preferred over alternative methods
such as casting. Further, the cutting of the PMMA sheet by the fly cutter requires specific operating
St. Josephs College of Engineering 43
EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017
conditions and tools to avoid introducing any stress and crazing of the photoresist.
A key enabling technology of LIGA is the synchrotron, capable of emitting high-power, highly
collimated X-rays. This high collimation permits relatively large distances between the mask and the
substrate without the penumbral blurring that occurs from other X-ray sources. In the
electron storage ring or synchrotron, a magnetic field constrains electrons to follow a circular path
and the radial acceleration of the electrons causes electromagnetic radiation to be emitted forward.
The radiation is thus strongly collimated in the forward direction and can be assumed to be parallel
for lithographic purposes. Because of the much higher flux of usable collimated X-rays, shorter
exposure times become possible. Photon energies for a LIGA exposure are approximately
distributed between 2.5 and 15 keV.
Unlike optical lithography, there are multiple exposure limits, identified as the top dose, bottom
dose, and critical dose, whose values must be determined experimentally for a proper exposure. The
exposure must be sufficient to meet the requirements of the bottom dose, the exposure under which
a photoresist residue will remain, and the top dose, the exposure over which the photoresist will
foam. The critical dose is the exposure at which unexposed resist begins to be attacked. Due to the
insensitivity of PMMA, a typical exposure time for a 500 m thick PMMA is six hours. During
exposure, secondary radiation effects such as Fresnel diffraction, mask and substrate fluorescence,
and the generation of Auger electrons and photoelectrons can lead to overexposure.
During exposure the X-ray mask and the mask holder are heated directly by X-ray absorption and
cooled by forced convection from nitrogen jets. Temperature rise in PMMA resist is mainly from
heat conducted from the substrate backward into the resist and from the mask plate through the
inner cavity air forward to the resist, with X-ray absorption being tertiary. Thermal effects include
chemistry variations due to resist heating and geometry-dependent mask deformation.
For high-aspect-ratio structures the resist-developer system is required to have a ratio of dissolution
rates in the exposed and unexposed areas of 1000:1. The standard, empirically optimized developer
is a mixture of tetrahydro-1,4-oxazine (20 %), 2-aminoethanol-1 (5 %), 2-(2-butoxyethoxy)ethanol
(60 %), and water (15 %). This developer provides the required ratio of dissolution rates and reduces
stress-related cracking from swelling in comparison to conventional PMMA developers. After
development, the substrate is rinsed with deionized water and dried either in a vacuum or by
spinning. At this stage, the PMMA structures can be released as the final product (e.g., optical
components) or can be used as molds for subsequent metal deposition.
In the electroplating step, nickel, copper, or gold is plated upward from the metalized substrate into
the voids left by the removed photoresist. Taking place in an electrolytic cell, the current density,
temperature, and solution are carefully controlled to ensure proper plating. In the case of nickel
deposition from NiCl2 in a KCl solution, Ni is deposited on the cathode (metalized substrate) and
Cl2 evolves at the anode. Difficulties associated with plating into PMMA molds include voids,
where hydrogen bubbles nucleate on contaminates; chemical incompatibility, where the plating
solution attacks the photoresist; and mechanical incompatibility, where film stress causes the plated
layer to lose adhesion. These difficulties can be overcome through the empirical optimization of the
plating chemistry and environment for a given layout.
After exposure, development, and electroplating, the resist is stripped. One method for removing the
remaining PMMA is to flood expose the substrate and use the developing solution to cleanly remove
the resist. Alternatively, chemical solvents can be used. Stripping of a thick resist chemically is a
lengthy process, taking two to three hours in acetone at room temperature. In multilayer structures,
it is common practice to protect metal layers against corrosion by backfilling the structure with a
polymer-based encapsulant. At this stage, metal structures can be left on the substrate (e.g.,
microwave circuitry) or released as the final product (e.g., gears).

After stripping, the released metallic components can be used for mass replication through standard
means of replication such as stamping or injection molding.

St. Josephs College of Engineering 44


EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017

St. Josephs College of Engineering 45


EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017

8. Discuss in detail about material selection criteria for a two layer process.

9. Discuss about striction and antistriction methods.


A well-known problem in the fabrication of MEMS devices from surface micromachining is
stiction, which occurs when surface adhesion forces are higher than the mechanical restoring force
of the micro-structure. When a device is removed from the aqueous solution after wet etching of an
underlying sacrificial layer, the liquid meniscus formed on hydrophilic surfaces pulls the
microstructure towards the substrate and stiction occurs. While this release-stiction problem may be
alleviated by dry HF etching or supercritical CO2 drying, a more difficult problem is in-use stiction
which occurs during operation when microstructures come into contact (intentionally or
accidentally). In-use stiction may be caused by capillary forces, electrostatic attraction, and direct
chemical bonding. To circumvent the stiction problem, many MEMS developers are forced to
switch to bulk micro-machining, which is less capable and versatile than surface micromachining in
terms of device function.
One attractive approach to tackle the stiction problem is to provide low-energy surface coating in
the form of an organic passivation layer on the inorganic surface. Such a coating can not only
eliminate or reduce capillary forces and direct chemical bonding, but also reduce electro-static
forces if the thin organic layer is directly applied to the semiconducting substrate, without the
intervening oxide layer . Texas Instruments uses a fluorinated fatty acid self-assembled monolayer
(SAM) on the aluminum oxide surface in their DMD [1], while Analog Devices coats the surfaces of
their inertia sensors using thermal evaporation of silicone polymeric materials at the packaging stage
after the device is completely released. Another much advocated approach is the formation of
siloxane self-assembled monolayers (SAMs) on the oxide terminated surface, but the difficulty of
this chemistry and the poor reproducibility put significant limitations on its practical usage.

In view of the critical importance of anti-stiction coatings in MEMS products, we are developing a
number of chemical processes that possess the following attributes: (1) the chemistry is simple and
St. Josephs College of Engineering 46
EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017
reproducible; (2) the coatings are of monolayer nature and are covalently bonded to the substrate;
(3) the coating processes are compatible with dry or aqueous etching processes; (4) the monolayers
are chemically and mechanically stable under conditions of processing and operation. The design
principle of the coating process is illustrated in scheme 1. A key component in the coating chemical
is a molecule containing two major parts, R & X. The R group is selected to provide low surface
energy, i.e., "wax" or "Teflon" like, while the X group is chosen to selectively react with the solid
surface of interest for covalent linkage. The attachment of these molecules to the solid surface is a
specially designed process which provides kinetic control; the reaction self-terminates after a
saturated monolayer coverage is reached. This is very different from self-assembled monolayers
(SAMs). Such a selective and kinetically controlled reaction ensures that the coating is uniform and
conformal with solid surfaces in a MEMS device. The thickness of the coating is chosen to be 1-2
nm.
10. Brief about acceleration of sacrificial etch.
Surface micromachining focused on using the few micron thick surface layer of the wafer, to
simplify cointegration with electronics. The process emerged through the 1980s, with major
progress in its development at the UC Berkeley and Sandia National Laboratories (2004). The
principle of sacrificial etching is based on silicon oxide etchants that do not etch polysilicon. The
structures are formed by patterning a layer of oxide into the required shape by photolithography,
covering it with patterned layers of polysilicon, and then etching away (sacrificing) the oxide
through small holes etched in polysilicon. The thickness of structures fabricated with surface
micromachining is typically under 4 m, due to limitations in polysilicon deposition. One of the
highest volume products based on this technology is the family acceleration sensor from Analog
Devices, Massachusetts. A well-advanced process is Summit V, developed at Sandia National Labs,
enabling five layers of polysilicon to be independently shaped to create complex mechanical
systems in the chip, such as gears, pumps, and mirrors.

UNIT V
POLYMER AND OPTICAL MEMS
PART-A
1. Give any two applications of MEMS in medical field.
i) MEMS Pressure Sensors ii) MEMS Hearing-Aid Transducer
2. State the principle behind magnetic actuation of MEMS.
The principle of magnetic actuation is based on the Lorentz Force Equation.

Fmag =q v xB
When a current-carrying conductor is placed in a static magnetic field, the field produced
around the conductor interacts with the static field to produce a force. This force can be used to
cause the displacement of a mechanical structure.
3. What are the classifications of polymers?
Thermal plastic polymer, Thermal setting polymer
4. Define viscoelastic creep.
When a force is applied to polymer, an instantaneous elastic deformation may occur, followed
by viscous, time dependent strain changes. As a result, many polymeric materials are
susceptible to time dependent deformation under constantly maintained stress. Such
deformation is called viscoelastic creep.
5. List some polymers.
Polyimide,SU-8,Liquid crystal polymer, Polydimethylsiloxane
6. Define polyimide.
Polyimide represents a family of polymers that exhibit outstanding mechanical, chemical and
thermal properties a s a result of their cyclic chain bonding structure.
7. What is SU-8.
The SU-8 is a negative tone, near UV photo-resist first invented by IBM in late 1980s with
main purpose of allowing high aspect ratio features (>15) to be made in thick photosensitive
polymers.
8. What is LCP.
Liquid crystal polymer is a thermoplast with unique structural and physical properties. LCP are
available commercially in sheets of various thicknesses.
St. Josephs College of Engineering 47
EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017
9. What is PMMA.
The photodefinable PMMA thin film is a widely used e-beam and X-ray lithography resist.
10. Define Parylene.
Parylene is a thermalset polymer. It is the only plastic material that is deposited using chemical
vapor deposition process. The deposition process is conducted under room temperature
11. What is PDMS.
Poly dimethylsiloxane, an elastometer material belonging to the room temperature vulcanized
silicone alstometer family, offers many advantages for general MEMS applications.
12. What are fluoropolymers.
Fluoropolymers such as Teflon and cytop provide excellent chemical inertness, thermal stability
and nonflammability due to strong C-F bond.
13. What do you understand about cells?
Cells are basic functional units of life. The function of cell is determined by the genetic
sequence it carries. A basic human cell stores genetic codes, reproduces such codes upon cell
division, and manufactures protein molecules based on such codes.
14. What are the most commonly exploited biological binding protocols?
Binding between antibody and antigens
Binding between biotin and streptavidin molecules
DNA complementary binding
15. What is electric double layer?
The high capacitance charged region of ions at the interface of liquid and wall is referred to as
the electric double layer.
16. What are the factors to be considered when selecting or developing a micro-machined
valve?
The reliability of valve operation
The repeatability of valve operation
The ability to withstand large pressure
The simplicity of valve construction
The simplicity of valve operation and control
17. How are the valves classified according to the mode of operations?
Cyclic valves, One-time valves and Constant off valves.
18. How is the valve structures categorized?
Hard-membrane valves
Soft-membrane valves
Plug valves
Threshold valves
19. Why channels made of PDMS popular?
PDMS is popular because of easy accessibility of material, rapid fabrication.
20. What are the major design variables in Nova sensor?
Die size
Membrane size
Membrane thickness
Resistor thickness
Resistor doping level
Resistor dimensions
21. What are the commercial applications of MEMS?
Invasive and noninvasive biomedical sensors
Miniature biochemical analytical instruments
Cardiac management systems (e.g., pacemakers, catheters)
Drug delivery systems (e.g., insulin, analgesics)
Neurological disorders (e.g., neuro stimulation)
Engine and propulsion control
Automotive safety, braking, and suspension systems
Telecommunication optical fiber components and switches
Mass data storage systems
RF and wireless electronics
Distributed sensors for condition-based maintenance and monitoring structural
St. Josephs College of Engineering 48
EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017
health
Distributed control of aerodynamic and hydrodynamic systems
22. Write the applications of MEMS in telecommunication industries?
Optical switching and fiber optic couplings
RF relays and switches
Tunable resonators
23. Name few applications of MEMS in aerospace industry?
Cockpit instrumentation
Wind tunnel instrumentation
Microsattelites
Command and control systems with MEMtronics
Propulsion systems with micro pressure sensor, chemical sensor for leak
detection
24. What are the several important factors to be considered when selecting technologies for on
chip pumps?
Achievable flow rate
Simplicity of fabrication
Cost of fabrication
Simplicity of control
Robustness of the membrane
Biocompatibility of membrane and channel materials
25. What do you mean by flow resistance of a channel?
The ratio between the pressure difference and the volumetric flow rate is called flow resistance
of a channel.

PART B

1. Write in detail about polyimide and SU-8.


Polyimide (sometimes abbreviated PI) is a polymer of imide monomers. Polyimides have been in
mass production since 1955. With their high heat-resistance, polyimides enjoy diverse applications
in applications demanding rugged organic materials, e.g. high temperature fuel cells, displays, and
various military roles. A classic polyimide is Kapton, which is produced by condensation
of pyromellitic dianhydride and 4,4'-oxydianiline.
According to the composition of their main chain, polyimides can be:
Aliphatic (linear polyimides),
Semi-aromatic,
Aromatic: these are the most used polyimides because of their thermostability.
According to the type of interactions between the main chains, polyimides can be:
Thermoplastic: very often called pseudothermoplastic.
Thermosetting: commercially available as uncured resins, polyimide solutions, stock shapes,
thin sheets, laminates and machined parts.
Several methods are possible to prepare polyimides, among them:
The reaction between a dianhydride and a diamine (the most used method).
The reaction between a dianhydride and a diisocyanate.
Dianhydrides used as precursors to these materials include pyromellitic
dianhydride, benzoquinonetetracarboxylic dianhydride and naphthalene tetracarboxylic dianhydride.
Common diamine building blocks include 4,4'-diaminodiphenyl ether ("DAPE"), meta-
phenylenediamine ("MDA"), and 3,3-diaminodiphenylmethane.[1] Hundreds of diamines and
dianhydrides have been examined to tune the physical and especially the processing properties of these
materials. These materials they tend to be insoluble and have high softening temperatures, arising from
charge-transfer interactions between the planar subunits.
Thermosetting polyimides are known for thermal stability, good chemical resistance, excellent
mechanical properties, and characteristic orange/yellow color. Polyimides compounded
with graphite or glass fiber reinforcements have flexural strengths of up to 50,000 psi (340 MPa) and
flexural moduli of 3,000,000 psi (21,000 MPa). Thermoset polyimides exhibit very low creep and
St. Josephs College of Engineering 49
EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017
high tensile strength. These properties are maintained during continuous use to temperatures of up to
452 C (846 F) and for short excursions, as high as 704 C (1,299 F).[3] Molded polyimide parts and
laminates have very good heat resistance. Normal operating temperatures for such parts and laminates
range from cryogenic to those exceeding 260 C (500 F). Polyimides are also inherently resistant to
flame combustion and do not usually need to be mixed with flame retardants. Most carry a UL rating of
VTM-0. Polyimide laminates have a flexural strength half life at 249 C (480 F) of 400 hours.
Typical polyimide parts are not affected by commonly used solvents and oils including
hydrocarbons, esters, ethers, alcohols and freons. They also resist weak acids but are not recommended
for use in environments that contain alkalis or inorganic acids. Some polyimides, such as CP1 and
CORIN XLS, are solvent-soluble and exhibit high optical clarity. The solubility properties lend them
towards spray and low temperature cure applications.
Polyimide materials are lightweight, flexible, resistant to heat and chemicals. Therefore, they are used
in the electronics industry for flexible cables, as an insulating film onmagnet wire and for medical
tubing. For example, in a laptop computer, the cable that connects the main logic board to the display
(which must flex every time the laptop is opened or closed) is often a polyimide base with copper
conductors. Examples of polyimide films include Apical, Kapton, UPILEX, VTEC PI, Norton TH
and Kaptrex.

Structure of poly-oxydiphenylene-pyromellitimide, "Kapton".


The semiconductor industry uses polyimide as a high-temperature adhesive; it is also used as a
mechanical stress buffer. Some polyimide can be used like a photoresist; both "positive" and "negative"
types of photoresist-like polyimide exist in the market.
An additional use of polyimide resin is as an insulating and passivation layer in the manufacture of
digital semiconductor and MEMS chips. The polyimide layers have good mechanical elongation and
tensile strength, which also helps the adhesion between the polyimide layers or between polyimide layer
and deposited metal layer. The minimum interaction between the gold film and the polyimide film,
coupled with high temperature stability of the polyimide film, results in a system that provides reliable
insulation when subjected to various types of environmental stresses
Polyimide powder can be used to produce parts and shapes by sintering technologies ( hot compression
molding, direct forming, and isostatic pressing). Because of their high mechanical stability even at
elevated temperatures they are used as bushings, bearings, sockets or constructive parts in demanding
applications. To improve tribological properties compounds with solid lubricants like graphite, PTFE or
molybdenum sulfide are common. Polyimide parts and shapes include P84 NT, VTEC PI, Meldin,
Vespel and Plavis.

The SU-8 is a negative, epoxy-type, near-UV photoresist based on EPON SU-8 epoxy resin (from Shell
Chemical) that has been originally developed, and patented (US Patent No. 4882245 (1989) and others)
by IBM.This photoresist can be as thick as 2 mm and aspect ratio >20 and higher have been
demonstrated with a standard contact lithography equipment (picture courtesy of R. Yang).These
astounding results are due to the low optical absorption in the UV range which only limits the thickness
to 2 mm for the 365nm-wavelength where the photo-resist is the most sensitive (i.e., for this thickness
very little UV light reach the bottom of the structure).Of course LIGA still yield better results but low-
cost application will undoubtedly benefit from this resist that is well suited for acting as a mold for
electroplating because of its relatively high thermal stability (Tg>200C for the cross-linked (i.e.,
exposed) resist).Aspect ratio larger than 1:50 has been obtained... but repeatability may be a problem
:-Typical aspect ratio would be 1:20, and 1:25 for good process.It is possible to perform gray tone
lithography with SU8.Using MeV proton beam iradiation (instead of UV) the aspect ratio can go up to
100. In this case the exposure dose should be about 10-40 nC/mm 2 for complete irradiation. Note that
the MeV protons are almost not absorbed by the SU8, nor reflected by the substrate, which helps a lo t in
getting large aspect ratio.The chemical resistance of SU-8 is quite excellent however most chemical
etches it, albeit at a very slow rate. The adhesion is worst with gold (Au), average with silicon with
native oxide, and best with silicon nitride (SiN).
The adhesion of SU-8 seems to be affected by the chemical and SU-8 lift-off with immersion in KOH
St. Josephs College of Engineering 50
EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017
and TMAH, whereas it sticks with HF [unfortunately no detail available about the substrate]. As
adhesion problem are linked with substrate cleanliness, those reports are to be taken with a pinch of
salt :-) Finally, MCC with the newer SU-8 2000 series, claims a better adhesion on most materials, due
to the change of solvent. We have some experience with Al magnetron sputtering on SU8. In order to
get a good adhesion there should be a very effective Sputter Etch step before Al sputtering to activate
the surface of SU8.SU-8 seems to have a good biocompatibility. In collaboration with neuroscientists
working in the domain of electrophysiology, they use to culture biological cells on SU-8 and it seems
that there is a 'good' biocompatibility of this material. The SU-8 photoepoxy is used as passivation layer
for measurement electrodes in the bottom part of a cell culture chamber. The SU-8 is very difficult to
reflow when it is cross-linked. At 210C it does not reflow after 21h but at 220C it already changes
colour and turns black.The glass transition temperature of SU-8 after crosslinking is approximately
230C, but don't expect much to happen [confirming above behaviour which is different from a positive
PR]. Because the density of crosslinking in SU-8 is very high, there is not much change in the film after
processing, even at high temperatures. Shrinkage will occur to around 350C with the maximum change
occurring before 270C. After that a 5% weight loss is noted at 364C with the char temperature being
900C.

2. Explain in detail about LCP.


The liquid crystal polymer is a thermoplastic polymer material with unique structural and physical
properties. It contains rigid and exible monomers that link to each other. When owing in the liquid
crystal state, rigid segments of the molecules align next to one another in the direction of shear ow.
Once this orientation is formed, their direction and structure persist, even when LCP is cooled below the
melting temperature. This is different from most thermoplastic polymers (e.g., Kapton), whose
molecules are randomly oriented in the solid state. As a result of the unique structure, LCP offers a
combination of electrical, thermal, mechanical and chemical properties unmatched by other engineering
polymers. It was originally used as a high-performance thermoplastic material for high-densityprinted
circuit board (PCB) fabrication and semiconductor packaging. Results fromhigh-frequency tests show
that LCP has a uniform relative dielectric constant of 3 in the range 0.5 to 40 GHz and an extremely low
loss factor of 0.004. LCP has very low moisture absorption (0.02%) and low moisture permeability.
For other gases, including oxygen, carbon dioxide, nitrogen, argon, hydrogen and helium, LCP also
exhibits above-averagebarrier performance. Further, the permeation of gases through LCP is not
affected by humidity, even in an environment with elevated temperature (e.g., 150 C). The LCP lm
also shows excellent chemical resistance. Research shows that LCP is virtually unaffected by most
acids, bases and solvents for a considerably long time and over a broad temperature range. In terms of
mechanical properties, the thermal expansion coefcient of the LCP material can be controlled during
the fabrication process to be both small and predictable. For LCP lm with uniaxial molecule
orientation, its mechanical properties are anisotropic and dependent on the polymer orientation. For
instance, the uniaxial LCP lm can withstand less load in the transverse direction (i.e., the direction
orthogonal to the orientation of its molecules) than in the longitudinal direction (i.e., the direction along
the orientation of its molecules). To overcome this problem, biaxially oriented lm with equal
transverse and longitudinal direction properties can be made. The orientation of LCP molecules varies
through the thickness of the lm, while at the two faces of the lm molecules are oriented at opposite
angles. When the angles are +45 and 45 at either side, the mechanical properties, such as coefcient
of thermal expansion, tensile strength and modulus are nearly isotropic.
Commercial LCP material is supplied in thin lm with predened thickness ranging from 25 m to 3
mm. One or both sides of the LCP lm may have 18 m thick copper cladding. This copper layer is
laminated in a vacuum press at a temperature around the melting point of LCP.
here are many types of LCP products and their properties may be slightly different from one to
another. In our work, we used biaxial LCP lms made from VectraR A-950 aromatic liquid crystal
polymer. It is produced by Hoechst Celanese Corporation and supplied by Rogers Cooperation. The
thickness of the lm is 51 m. The reported melting temperature of Vectra A-950 is 280C. The specic
gravity ranges from 1.37 to 1.42 kg m3, and the molecular weight is greater than 20 000 g mol1.
Theroot-mean-square (RMS) surface roughness of LCP lm is measured to be 190 nm using a Veeco
St. Josephs College of Engineering 51
EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017
NT 1000 interferometer, which has a surface height measurement accuracy of less than 1 nm.
It is useful to compare LCP with Kapton, a polymer lm that has been used in MEMS in recent
years. Compared with Kapton polyimide lm, LCP has a low cost (50% 80% lower than Kapton), is
relatively unaffected by moisture and humidity, is not attacked by certain caustic solutions and ismelt-
processible. As a result, bonding between LCP and another substrate (e.g. glass) is simplied. For
example, whereas Kapton is often bonded with an intermediate adhesive layer, LCP lms can bond to
other surfaces directly by thermal lamination.
Comparison of physical, chemical, mechanical and electrical properties of LCP and Kapton.
LCP Kapton
(Vectra A-
950) (HN200)

Melting
temperature 280 C >400 C
Dielectric
constant 2.8 3.5
Loss factor, tan 0.004 0.002
Moisture
absorption <0.02% 2.8%
Coefcient of
thermal 030 ppm/C 20 ppm/C
expansion controllable
Tensile strength30 Kpsi 34 Kpsi
Tensile modulus 1.3 Mpsi 370 Kpsi
1.42 kg
Specic gravity 1.4 kg m3 m3

3. Dicuss about precision patterning of PDMS.

Polydimethylsiloxane (PDMS) elastomer is widely used in microfluidic applications to form


components such as channels, valves, and diaphragms . The PDMS material offers many advantages. It
is transparent and biocompatible. It can be easily processed by molding and acquired for low costs. It
is elastic and can form fluid seals effectively. PDMS is commonly used as a bulk material. The
predominant fabrication process associated with PDMS is bulk molding.
It is previously impossible to form fine features made of PDMS with controlled lateral dimensions and
heights (e.g., less than 10 m) on solid surfaces (e.g., silicon or glass).
There are two major causes to this deficiency. First, PDMS is not photo- definable and cannot be photo-
lithographically patterned like photoresist. Secondly, PDMS pre- polymer is viscous. It is impossible to
form thin films of PDMS using spin coating, or any other method we know of. Earlier work showed that
even when spinning wafers at 8,000 rpm, the resultant PDMS thickness is greater than 40 m.
It is previously impossible to form fine features made of PDMS with controlled lateral dimensions and
heights (e.g., less than 10 m) on solid surfaces (e.g., silicon or glass).
There are two major causes to this deficiency. First, PDMS is not photo- definable and cannot be photo-
lithographically patterned like photoresist. Secondly, PDMS pre- polymer is viscous. It is impossible to
form thin films of PDMS using spin coating, or any other method we know of. Earlier work showed that
even when spinning wafers at 8,000 rpm, the resultant PDMS thickness is greater than 40 m.

Method for PDMS Patterning


The principle of the PDMS patterning process is discussed in the following (see Fig. 1). A
photoresist layer is first deposited on top of a solid substrate (e.g., glass or silicon) and patterned by
using conventional lithography process. We pour a PDMS pre- polymer solution (in the form of a

St. Josephs College of Engineering 52


EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017

viscous liquid) over the substrate surface. A flat and smooth blade is used to traverse the substrate
surface while maintaining contact with the top surface of the photoresist layer. Excessive PDMS pre-
polymer is removed, leaving PDMS only in recessed regions between protruding photoresist molds.
After the remaining PDMS is thermally cured, the photoresist mold is removed selectively by using
acetone. The height of the resultant PDMS pattern corresponds to the thickness of the photoresist.

Figure 1: Schematic diagram illustrating the principle of forming/patterning PDMS thin film.

4. Dicuss about PDMS, PMMA, Parylene, Flurocarbon.


Polydimethylsiloxane (PDMS) elastomer is widely used in microfluidic applications to form
components such as channels, valves, and diaphragms . The PDMS material offers many advantages. It
is transparent and biocompatible. It can be easily processed by molding and acquired for low costs. It
is elastic and can form fluid seals effectively. PDMS is commonly used as a bulk material. The
predominant fabrication process associated with PDMS is bulk molding.
It is previously impossible to form fine features made of PDMS with controlled lateral dimensions and
heights (e.g., less than 10 m) on solid surfaces (e.g., silicon or glass).
There are two major causes to this deficiency. First, PDMS is not photo- definable and cannot be photo-
lithographically patterned like photoresist. Secondly, PDMS pre- polymer is viscous. It is impossible to
form thin films of PDMS using spin coating, or any other method we know of. Earlier work showed that
even when spinning wafers at 8,000 rpm, the resultant PDMS thickness is greater than 40 m.
Poly(methyl methacrylate) (PMMA), also known as acrylic or acrylic glass as well as by the trade
names Plexiglas, Acrylite,Lucite, and Perspex among several others (see below), is
a transparent thermoplastic often used in sheet form as a lightweight or shatter-resistant alternative
to glass. The same material can be utilised as a casting resin, in inks and coatings, and has many other
uses.
Although not a type of familiar silica-based glass, the substance, like many thermoplastics, is often
technically classified as a type ofglass (in that it is a non-crystalline vitreous substance) hence its
occasional historic designation as acrylic "glass". Chemically, it is thesynthetic polymer of methyl
methacrylate. The material was developed in 1928 in several different laboratories by many chemists,
such as William Chalmers, Otto Rhm and Walter Bauer, and was first brought to market in 1933 by
the Rohm and Haas Companyunder the trademark Plexiglas.
PMMA is an economical alternative to polycarbonate (PC) when extreme strength is not necessary.
Additionally, PMMA does not contain the potentially harmful bisphenol-A subunits found in
polycarbonate. It is often preferred because of its moderate properties, easy handling and processing,
and low cost. Non-modified PMMA behaves in a brittle manner when under load, especially under
animpact force, and is more prone to scratching than conventional inorganic glass, but modified PMMA
is sometimes able to achieve high scratch and impact resistance.
PMMA is routinely produced by emulsion polymerization, solution polymerization, and bulk
St. Josephs College of Engineering 53
EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017
polymerization. Generally, radical initiation is used (including living polymerizationmethods), but
anionic polymerization of PMMA can also be performed. To produce 1 kg (2.2 lb) of PMMA, about
2 kg (4.4 lb) of petroleum is needed.PMMA produced by radical polymerization (all commercial
PMMA) is atactic and completely amorphous.
The glass transition temperature (Tg) of atactic PMMA is 105 C (221 F). The Tg values of commercial
grades of PMMA range from 85 to 165 C (185 to 329 F); the range is so wide because of the vast
number of commercial compositions which are copolymers with co-monomers other than methyl
methacrylate. PMMA is thus an organic glass at room temperature; i.e., it is below its Tg. The forming
temperature starts at the glass transition temperature and goes up from there.All common molding
processes may be used, including injection molding, compression molding, and extrusion. The highest
quality PMMA sheets are produced by cell casting, but in this case, the polymerization and molding
steps occur concurrently. The strength of the material is higher than molding grades owing to its
extremely high molecular mass. Rubber toughening has been used to increase the toughness of PMMA
owing to its brittle behavior in response to applied loads.

Parylene N is a polymer manufactured (chemical vapor deposited) from the p-xylylene intermediate.
The p-xylylene intermediate is commonly derived from paracyclophane. The latter compound can be
synthesized from p-xylene involving several steps involvingbromination, amination and Hofmann
elimination.

Parylene N is an un-substituted molecule. Heating paracyclophane under low pressure (0.01 1.0 Torr)
conditions and cracking it at 450-700 C gives rise to the p-xylylene intermediate,[14]
[15]
which polymerizes when physisorbed on a surface. The p-xylylene intermediate has two quantum
mechanical states, the benzoid state (triplet state) and the quinoid state (singlet state). The triplet state is
effectively the initiator and the singlet state is effectively the monomer. The triplet state can be de-
activated when in contact with transition metals or metal oxides including Cu/CuO x. Many of the
parylenes exhibit this selectivity based on quantum mechanical deactivation of the triplet state,
including parylene X. However, like any selective process there is a 'selectivity' window based on
mostly deposition pressure and deposition temperature for the parylene polymers. What is more, the
intermediate, p-xylylene has a low reactivity and therefore a small 'sticking coefficient' and as a result
parylene N produces a highly conformal thin film or coating.

The deposition of parylene N is a function of a two-step process. First, physisorption needs to take
place, which is a function of deposition pressure and temperature. The physisorption has
inverse Arrhenius kinetics, in other words it is stronger at lower temperatures than higher temperatures.
All the parylenes have a critical temperature called the threshold temperature above which practically
no deposition is observed. The closer the deposition temperature is to the threshold temperature the
weaker the physisorption. Once physisorption occurs, the p-xylylene intermediate needs to react with
itself (2nd step) for polymerization to occur. For parylene N, its threshold temperature is 40 C.

Fluorocarbons, sometimes referred to as perfluorocarbons or PFCs, are, strictly


speaking, organofluorine compounds with the formula CxFy, i.e. they contain only carbon andfluorine,
[1]
though the terminology is not strictly followed.Compounds with the prefix perfluoro- are
hydrocarbons, including those with heteroatoms, wherein all C-H bonds have been replaced by C-F
bonds. Fluorocarbons and their derivatives are useful fluoropolymers, refrigerants, solvents,
and anesthetics. Perfluoroalkanes are very stable because of the strength of the carbonfluorine bond,
one of the strongest in organic chemistry. [4] Its strength is a result of the electronegativity of fluorine
imparting partial ionic character through partial charges on the carbon and fluorine atoms, which
shorten and strengthen the bond through favorable coulombicinteractions. Additionally, multiple
St. Josephs College of Engineering 54
EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017

carbonfluorine bonds increase the strength and stability of other nearby carbonfluorine bonds on the
same geminal carbon, as the carbon has a higher positive partial charge. [2] Furthermore, multiple
carbonfluorine bonds also strengthen the "skeletal" carboncarbon bonds from the inductive effect.
[2]
Therefore,saturated fluorocarbons are more chemically and thermally stable than their corresponding
hydrocarbon counterparts, and indeed any other organic compound. They are susceptible to attack by
very strong reductants, e.g., Birch reduction and very specialized organometallic complexes. [5]

Fluorocarbons are colorless and have high density, up to over twice that of water. They are not miscible
with most organic solvents (e.g., ethanol, acetone, ethyl acetate, and chloroform), but are miscible with
some hydrocarbons (e.g., hexane in some cases). They have very low solubility in water, and water has
a very low solubility in them (on the order of 10 ppm). They have low refractive indices.

As the high electronegativity of fluorine reduces the polarizability of the atom,[2] fluorocarbons are only
weakly susceptible to the fleeting dipoles that form the basis of the London dispersion force. As a result,
fluorocarbons have low intermolecular attractive forces and are lipophobic in addition to
beinghydrophobic and non-polar. Reflecting the weak intermolecular forces these compounds exhibit
low viscosities when compared to liquids of similar boiling points, low surface tension and low heats of
vaporization. The low attractive forces in fluorocarbon liquids make them compressible (low bulk
modulus) and able to dissolve gas relatively well. Smaller fluorocarbons are extremely volatile.

There are five perfluoroalkane gases; tetrafluoromethane (bp 128 C), hexafluoroethane (bp
78.2 C), octafluoropropane (bp 36.5 C), perfluoro-n-butane (bp 2.2 C) and perfluoro-iso-butane
(bp 1 C). Nearly all other fluoroalkanes are liquids; the most notable exception is
perfluorocyclohexane, which sublimes at 51 C.[6] Fluorocarbons also have low surface energies and
highdielectric strengths.
5. What are acceleration sensors? Discuss about accelerometer with parylene beams.
Silicon Accelerometer with Parylene Beams Here we discuss a microfabricated acceleration sensor
using polymer support beams.The accelerometer incorporates a silicon proof mass and high aspect ratio
Parylene beams. The polymer beam increases the shock resistance, enabling large deformation without
fail-ure. Because Parylene has a small Young's modulus, the spring constant is low than if they were
replaced by silicon. A low spring constant translates into increased sensitivity but somewhat reduced
resonant frequency. In this design. Parylene beams are 10-40 pm wide and have aspect ratios (height
over width) of 10-30. However, it is impractical to grow Parylene films with thickness of hun-dreds of
micrometers. In addition. there is no high aspect ratio reactive ion etching process that can produce
vertical etching. An alternative process for realizing high aspect ratio Parylene structures is devel-oped.
It involves first creating high aspect ratio trenches (400-pm deep) as molds in a 500-Am-thick silicon
substrate (Figure b).Tbe water is oxidized by reacting with oxygen at a high temperature (Figure c). The
oxidized wafer (with conformal 2-m-thick oxide coating) is then placed inside a Parylene deposition
chamber. thin films with thickness of 10 to 20-ktm fill the trenches entirely (Figure d). A global plasma
etch is performed to remove the Par lene on the open front surface. Parylene films in the trenches are
preserved because the effective thickness is much greater (Figure e). The wafer is turned over to pattern
a backside mask layer, which is used to define the wafer with deep reactive ion etching (DRIE). The
DRIE process has very high selec-tivity between silicon and silicon oxide (Fig.g) and stops when it
reaches the oxide layer. At the end. the oxide is removed by HF solutions to free the Parylene beams.
Since the Parylene film cannot survive overtime DRIE etching, the oxide layer effectively buffers the
Parylene film. Since no active sensing layers are incorporated, the displacement of the proof mass in
response to acceleration is detected using optical meansThe proof mass has an area of 1.75 mm by 1.75
mm. The resonant frequency was measured to be 37 Hz. The predicted thermal mechanical noise floor
is 25 nm/sqtHz, while the measured noise spectrum density is 45 nm/sqtHz.

St. Josephs College of Engineering 55


EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017

6. Describe about parylene surface micromachined pressure sensor.


Parylene Surface Micromachined Pressure Sensor The basic design of a surface micromachined
Parylene membrane with integrated resistors is shown in Figure . The membrane. circular as shown, is
elevated from the sub-strate surface by a distance of 0.5 to 30Am. Strain gauge resistors for sensing
membrane displacement are typically placed along the periphery of the membrane. as depicted in Figure
13.5. Metal films can serve as piezoresistors in place of doped polycrystalline silicon. However, one
disadvantage lies in the fact that the resistivity of thin film metal is much smaller compared with that of
polycrystalline silicon. In order to achieve appreciable mag-nitude of resistance (e.g.. greater than 40
f1). these resistors arc zigzagged, consisting of alternating radial segments and tangential ones The
redial segments are primarily responsible for the displacement sensing. When a vertical force or

St. Josephs College of Engineering 56


EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017
pressure is applied on the mem-brane. the membrane will be deformed to induce in-plane stress in the
radial direction. which is sensed by radial segments of the strain gauge resistors.

Fig.1
Top view and cross-sectional view of Parylene surface-micromachined membrane with integrated metal
resistors. The cross-sectional view is a composite, made along the A-O-A line. Etch channels are used
for removing the sacrificial material from underneath the membrane.
The basic design of a surface-micromachined Parylene mem-brane with integrated resistors is shown
in Fig. 1. The mem-brane, circular as shown, is elevated from the substrate surface by to .
Resistive elements made of thin-film metals are embedded in the membrane. Thin film metal resistors
may be sensitive to strain (Poisson effect) and temperature (thermore-sistive effect). The location of the
resistors is application de- pendant. For example, strain gauge resistors for sensing mem-brane
displacement are typically placed along the periphery of the membrane, as depicted in Fig. 1. These
resistors are zig-zagged, consisting of radial elements (long) and tangential ele-ments (short). When a
vertical force or pressure is applied on the membrane, the membrane will be deformed to induce in-
plane stress in the radial direction, which is sensed by radial segments of the strain gauge resistors. Thin
film metal resistors embedded in the polymer membrane must be located near the surface, off the
neutral axis of the membrane. Otherwise, the stress induced by membrane movement would induce
negligible change of re-sistance.
A resistor placed over the central suspended portion of the membrane will act as a thermally isolated
resistance tempera-ture device (RTD) for measuring temperature or for inferring flow characteristics
such as speed and boundary-layer shear stress.
Major design variables of a membrane device include the di-ameter and thickness of the membrane, the
height of the under-lying cavity, and the resistance of thin-film resistors. An accept-able design must
take into consideration processing and perfor-mance needs simultaneously. For example, to avoid
unwanted membrane collapse and sticking to the substrate, it is gener-ally desirable for a membrane to
be smaller, thicker, and with greater cavity heights. However, if a membrane is overly small, it may not
have enough area to accommodate appreciable re-sistance from embedded metal resistors. Increasing
the cavity height (and membrane clearance) will generally cause difficul-ties with electrical continuity
from the top of the membrane to the substrate level; there are also practical difficulties with building
thick sacrificial layers (e.g., greater than ).

St. Josephs College of Engineering 57


EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017

Fig.2
The overall process, diagramed in Fig. 2 and Fig. 3, can be achieved under relatively low overall
temperature (i.e., less than ). As a result, the process can be realized on a variety of substrate
materials, including silicon, glass, and other polymers (e.g., Kapton and liquid crystal polymer). In this
study, membranes are made on silicon substrates.
A layer of photoresist is spin coated on the front surface of a silicon substrate and patterned
photolithographically . The photoresist thickness, which can be controlled by selecting spin rate, will
later determine the height of the membrane cavity. The spin-on photo resist is cured in a con-vection
oven, first at for 5 min (to remove edge beads) and then at for 1 min. The patterned
photoresist will re-flow slightly during post-development bake ( for 2 min), rounding the edges of
features to create a sloped edge. Option-ally, the photoresist can be selectively thinned (to a target
height of ) near etch/sealing holes [see Fig. 2(b)]. We achieve this by additional exposure near the
etch hole regions using a separate mask. This reduces the amount of Parylene needed to seal the cavity
in optional step . It should be noted that major reasons for selecting photoresist as the sacrificial
material (as opposed to metal or silicon dioxide) include: the thickness of the sacrificial layer can reach
range relatively easily and quickly; the edge of photoresist sacrificial layer can be smoothed to
realize gentle slopes.

Fig.3.Finalsixsteps(i)through(n)inthefabricationprocessofParylenemembrane.

7. Discuss about LCP piezoresistive flow sensor.

St. Josephs College of Engineering 58


EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017
Development of fabrication processes
A common processing technique for LCP is laser machining , resulting in minimum feature sizes on the
order of 25 m and larger. However, in MEMS applications, features with characteristic sizes smaller
than 25 m may be frequently encountered. Conventional MEMS fabrication processes, such as
photolithography, metallization and plasma etching, need to be applied on LCP with proper
modication. Such processes have been developed and characterized in our work.
Procedures for photolithography and metallization were rst developed. Since LCP lms are thin
and exible, they are sometimes not perfectly planar (as silicon). This introduces problems in spin
coating of photoresist and lithography. We developed two methods of eliminating unwanted warpage in
LCP. First, LCP lm can be attached to a at solid surface (e.g., silicon wafer) with a dissolvable
adhesion layer (e.g., photoresist) before processing. This ensures surface atness during the process.
The LCP lm can be readily detached by dissolving the adhesion layer after processing. For example, a
square LCP lm with a size of 3.5 cm 3.5 cm attached to a silicon wafer by photoresist can be
released in acetone within 3 min. Second, warpage caused by heating during metal evaporation can be
alleviated by performing evaporation in short intervals; this allows dissipation of heat generated in the
process and limits the temperature rise.

. Schematic diagram of a LCP polymer flow sensor.


(a) When there is no flow rate, the cantilever is straight. (b) Flow imparts momentum on the
cantilever and causes bending.

Flow sensors

Most existing micromachined sensors have been developed using single crystal silicon substrates. An
important reason for making sensors out of silicon lies in the fact that piezoresistive elements can be
realized in silicon by selective doping. However, silicon devices are relatively expensive and less robust
when compared to polymer and metal-based devices. A silicon beam may fracture easily in the presence
of shock or contact.
Using new polymers and processes with traditional metal film strain gauges we have made a
cantilever beam flow meter. As shown in figure 4, flow imparts momentum on the cantilever and causes
it to bend, inducing strain at the base of the cantilever. The strain is sensed using a piezoresistive sensor
made of thin film metal. While the gauge factor of doped silicon can reach 80200 [26], the gauge
factor of thin film metal is much lower, typically ranging from 1 to 5, as shown by our experiments.
However, the increased compliance of these polymer film devices has been shown to offset the reduced
gauge factor of metal film strain gauges resulting in sensitivity comparable to silicon-based devices.
The flow sensor uses nickelchrome (NiCr) strain gauges on an LCP cantilever that is 1000 m
wide and 3000 m long. Figure 5(a) shows a micrograph of the completed device. Wind tunnel testing
with flow rates from 0 to 20 m s 1 showed a velocity-squared relationship as expected as seen in the
quadratic trend line in figure below.

St. Josephs College of Engineering 59


EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017

(a) Optical micrograph of a cantilever flow sensor.


(b) Experimentally measured output characteristics as a function of flow rate.

8. Write in detail about multimodal polymer based tactile sensor.

MULTI-MODAL SENSING SKIN


The reported device is fabricated on DuPont Kapton HN200 2-mil-thick polyimide film. The use of
a polymer substrate allows flexibility, robustness, and low material cost. Our device is comprised of
four distinct sensors, a reference nickel RTD for temperature measurement and compensation, a gold
heater and nickel RTD pair for thermal conductivity measurement, a membrane NiCr strain-gauge based
contact force and hardness sensor, and a reference contact hardness sensor (Fig 1a). In addition, the
contour of the skin is sensed in an integrated fashion using NiCr strain gauges dispersed between
sensory nodes (Fig 1b). When the skin is mounted on a curved or compliant surface (e.g., a robotic
finger tip), the spatial relation of sensor nodes is mapped to coordinate manipulation in 3D space. To the
best of our knowledge, the development, integration, and characterization of thermal conductivity,
hardness, and curvature sensors using polymer micromachining has not been previously achieved. Each
sensing aspect is now discussed in detail.

Figure 1) a) A sensory node incorporates 4 distinct sensors 1: reference temperature sensor, 2: thermal
conductivity sensor, 3 and 4: contact force and hardness sensors. b) Sensor nodes are arranged in an
array to form skin, with skin mapping sensors between nodes.

Temperature Sensing
St. Josephs College of Engineering 60
EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017
Incorporated in our sensing skin is a nickel RTD (Fig 1a) that is used to measure the temperature of
the operating environment as well as contact objects. This information is important for temperature
compensation of the measurements of the other sensors as well as providing contact object information.
Since all the sensors incorporated on the demonstrated sensing skin are based on thin film metal
resistors, all of them will function as RTDs to one extent or another based on the TCR (thermal
coefficient of resistance) of the base material. This value is low for NiCr, making it a good choice for
rejecting thermal disturbances, but is high for nickel and gold. Gold is not used for the RTD due to its
low resistivity. By using nickel, we can get a high TCR with the added benefit of increased resistivity to
decrease the effect of parasitic resistances.

Hardness Sensing
Existing micromachined hardness sensors require the applied force be known, use a known calibrated
integral actuator force, or use changing resonant frequency under ultrasonic vibration. The required
assumptions, complexity, and size limitations of such approaches do not lend themselves to a distributed
multi-modal skin.

a) Cross section of hardness sensor, with membrane and bulk hardness sensors, b) in contact with an
object, the sensors deform, with apparent pressures proportional to the contact object hardness.

9. Discuss about optical MEMS.

MEMS in Optical Circuits

A wide variety of optical components may also be implemented in MEMS. For example, the Digital
Mirror Device discussed above uses micromachined mirrors to redirect light. MEMS mirrors have also
been used for optical switching applications, allowing optical communication to be routed without
requiring conversion to electrical signals. Adaptive optics systems using MEMS mirrors have been built

St. Josephs College of Engineering 61


EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017

to correct distortions due to air refraction or lens anomalies.

MEMS optical waveguides are often used to route optical signals within a MEMS optical chip. These
waveguides consist of a core with low loss at optical wavelengths. Using micromachining, the
waveguides can be patterned on the same chip as other optical components. Both mechanically
suspended and fixed waveguides have been demonstrated. Suspended waveguides can also be designed
to deflect mechanically. Hence, they can also switch or attenuate a signal when the waveguide is moved
in and out of alignment with other components.

Micromachined lens arrays have also been demonstrated for optical applications. Figure 12 shows an
example of three types of micromachined lens arrays. Similarly, diffractive gratings can be made using
the fine dimensional control available from micromachining. These lens arrays and gratings have been
used in optical filters and switches.

Figure 12: Three types of MEMS lens arrays: cylindrical (top), circular lenses, square packed
(middle), and hexagonal lense, hex packed (bottom).

Sensors

A sensor is a device that responds to a physical input (such as motion, radiation, heat, pressure,
magnetic field), and transmits a resulting signal that is usually used for detection, measurement, or
control. A transducer (often used as a synonym for sensor) is a device that is actuated by power from
one system and converts it to a different form to another system. Advantages of MEMS sensors are their
size and their ability to be more closely integrated with their associated electronics.

Piezoresistive and capacitive sensing methods are among the most commonly employed sensing
methods in MEMS. Piezoresistance is the change in resistivity caused by mechanical stresses applied to
a material. Materials with high piezoresistivity (such as some semiconductors which have more than an
order of magnitude higher piezoresistivity than metals) are useful for transducing mechanical
deformation to electrical signals. This is particularly useful in applications such as pressure sensors and
accelerometers.

Capacitive sensors rely on the physical input being sensed to cause a change in capacitance. This
capacitance change can be caused by changing the distance between the capacitor plates (e.g. pressure

St. Josephs College of Engineering 62


EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017

pushing two plates closer together) or by changing the dielectric (such as relative humidity sensor using
a dielecric with a permittivity that changes with moisture content). The resulting change in capacitance
can be very small and specialized electronics are required to detect the changes and convert them into a
usable output signal.

An example of MEMS sensors include bulk micromachined pressure sensors, which have been
commercially available since the 1970's. A typical design is illustrated in Figure 13. A cavity is etched
to create a thin diaphragm which deflects under pressure. A backside port is etched in another substrate
and bonded to the first. Piezoresistive pressure sensors have piezoresistive elements on the diaphragm
that change resistance as the pressure increases. Another approach is to use the diaphragm as a plate in a
capacitor and to detect the capacitance change as the diaphragm deflects under pressure.

Figure 13: An example of a MEMS pressure sensor.

Accelerometers are another example of commercially successful MEMS sensors. Applications include
automotive airbag safety systems, mobile electronics, hard drive protection, and others. These have
been successful enough that Analog Devices, a leader in MEMS sensors, had shipped over 200 million
MEMS inertial sensors by April 2005. Figure 14 illustrates an example of a surface micromachined
capacitive accelerometer. An acceleration causes a displacement of the inertial mass and the capacitance
change between the comb fingers is detected.

Figure 14: A sketch of a capacitive MEMS accelerometer.

Other MEMS sensors include rate sensors, gyroscopes, radiation sensors, gas sensors, microphones, and
mass flow sensors, to name a few.

RF MEMS Components

Several types of MEMS components have been designed to operate in radio-frequency communications

St. Josephs College of Engineering 63


EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017

circuits. Low-power MEMS filters, variable capacitors, and switches have all been identified as
promising MEMS components of RF communications systems. MEMS filters use mechanical
vibrations to filter RF signals. They have demonstrated extremely low-power operation. MEMS
variable capacitors are used in tuning circuits and oscillators.

MEMS switches are especially attractive because they exhibit "nearly ideal" switch behavior [15].
When on, their insertion loss is typically about 0.2 dB or less, and off-state isolation is normally 20 dB
or better even at high frequency (20-40 GHz). In addition, MEMS switches are normally
electrostatically actuated, so that they consume very little cycling power.

Two types of MEMS switches have been used. The simplest type opens and closes a contact between
micromachined metal electrodes. For example, the switch shown in Figure 15 consists of a suspended
gold beam that can be pulled down using electrostatic force to make contact with an underlying gold
contact electrode. Metal contact switches have very wide bandwidth and excellent performance.
However, if they carry too much power, heating and degradation of the contact can occur.

Figure 15. A metal contact RF MEMS switch. When contact is made between the suspended gold
beam and the underlying gold electrode, current can flow.

Capacitive switches do not suffer from this limitation. Capacitive RF switches also consist of a
suspended electrode which is pulled toward a second electrode; however, a dielectric layer separates the
two electrodes to prevent the flow of electrons between them. Instead, a capacitive switch works by
changing the capacitance between the two electrodes. The ratio of on-state to off-state capacitance can
be as high as 200. Because DC current does not flow between the electrodes, capacitive switches can
often carry more power than metal contact switches. However, because capacitive impedance is a
function of signal frequency, they operate in a more narrow frequency band than metal contact switches.

Inkjet Printing

In 1984, Hewlett-Packard introduced the Thinkjet printer, the one of the first desktop printers to use
inkjet technology. The technology was based on micromachined inkjet print heads used to expel drops
of ink onto paper in well-defined patterns. Since that time, the technology has developed and spread,
until many manufacturers offer inkjet printers based on micromachined print heads [16]

Inkjet printing depends on ink drops being ejected through micromachined orifices to create the desired
pattern. Many different techniques have been used to eject the ink drops. Figure 16 illustrates a
micromachined printhead that uses one method, called thermal inkjet printing by Hewlett Packard,
where it was developed. In this method, a thin-film heater inside an ink-filled cavity heats a thin layer of
ink. A bubble forms as the ink layer is superheated. The bubble rises out of the cavity through the
St. Josephs College of Engineering 64
EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017

micromachined orifice, carrying with it a drop of ink, which is expelled toward the paper. The size of
the ink drop can be controlled by designing and fabricating an appropriately-sized cavity and orifice.
Other methods for ejecting ink drops rely on mechanical pumping motions, often using piezoelectric
materials. This application of micromachining has become extremely wide-spread in the printing
industry; in addition, it is starting to be expanded to other industries, including automotive fuel
injection, drug delivery, and other areas where precise control of fluid volume is required.

Figure 16. A micromachined inkjet printhead. The thin-film heater heats a thin layer of ink,
causing a bubble to form. Expulsion of the bubble through the orifice ejects a drop of ink onto the
paper.

Compliant Mechanisms

Achieving motion at the micro level presents some interesting challenges. Because bearings are not
feasible and lubrication is problematic, friction and wear present major difficulties. Assembly of parts at
this scale is difficult. The constraints introduced by the planar nature of MEMS fabrication also
introduce a number of unique challenges in constructing mechanical devices.

Nature provides an example of how to affectively address problems with motion at small scales.
Smaller living organisms are more likely to use the deflection of flexible members to achieve motion. In
a similar fashion, compliant mechanisms gain their motion from deflection of flexible members and
they present solutions to many of the problems discussed above. The advantages of compliant
mechanisms at the micro level include the following [17]: Can be fabricated in a plane

Require no assembly

Require less space and are less complex

Have less need for lubrication

Have reduced friction and wear

Have less clearance due to pin joints, resulting in higher precision

Integrate energy storage elements (springs) with the other components

Figure 17 illustrates a bistable switch that employs compliant members with long flexible legs that
combine the function of hinges and springs [18]. Other examples of compliant MEMS include the
thermal actuators of Figure 7, the mirror torsional hinges in Figures 2 and 9, the pressure sensor of
Figure 13, the accelerometer of Figure 14, and the RF switch of Figure 15.

St. Josephs College of Engineering 65


EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017

Figure 17: An example of a compliant MEMS device. It is a bistable switch that uses flexible
members to achieve the function of hinges and springs.

The performance of compliant mechanisms is highly dependent on the material properties, which are
not always well known at this scale. In spite of this, tests have demonstrated that micro compliant
components can be very robust at the micro level.
10. Discuss about Lenses and Mirrors.
A lens is a transparent device with two curved surfaces, usually made of glass or plastic, that uses
refraction to form an image of an object. Mirrors, which have curved surfaces designed to reflect rays,
also form images. A system of lenses and/or mirrors forms an image by gathering rays from an object
and then causes them to converge or diverge. The position to which the rays converge to or diverge
from is the image. Areal image is formed when the optical system causes the rays to converge to a
point, a virtual image is formed at the location from which they seem to originate.

Depictions of lenses forming real and virtual images. Positive and negative lenses can both form real
and virtual images.

The effects of lenses and mirrors on a ray can be determined using Fermats Principle, through
trigonometry and the application of Snells Law, to trace the path of a light ray from a point on the
source to the image point. This is done by 1) tracing a ray from the object to the first surface of the lens
using trigonometry, 2) determining how the ray refracts at the first interface using Snells Law, 3)
tracing the ray to the second interface using trigonometry, 4) figuring out how it refracts at this surface
using Snells Law, 5) and then tracing the ray to the image location using trigonometry. At least two
rays from each source point should be traced to determine the position of the image point. A matrix
method based on these rules is frequently used to mathematically determine how rays propagate through
an optical system.

St. Josephs College of Engineering 66


EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017

Sketch showing the steps taken when propagating a ray through an optical system.

In general, the curvature of one side of the lens is different than that of the other side of the lens. The
curvature of a lens surface is the inverse of the radius of curvature of the surface (c = 1/R). The
curvature is positive when the center of curvature is to the right of the surface and negative when the
center of curvature is to the left of the surface. Lenses or mirrors with flat surfaces are said to have an
infinite radius of curvature.

Surfaces having positive and negative curvatures. R is the radius of curvature and c = 1/R is the
curvature of the surface.

Although it is possible to buy lenses that have aspherically curved surfaces, the vast majority of lenses
have spherically curved surfaces. Most optical systems use spherical lenses because they are easier to
make and cheaper to buy than aspherical lenses. (See the following section on aberrations to find out
why a lens designer might want to use aspherical lenses in an optical system.) Lens designers specify
the curvatures, the thicknesses, and the refractive indices of the lenses to control the way that lenses
image objects.

For a lens that has a very small thickness, called a thin lens, it is a good approximation to say that the
lens has NO thickness. In this case, it is not necessary to propagate the ray from the first surface of the
lens to the second (step 3 is omitted). The effect of the lens depends only on the difference in curvature
of the two lens surfaces, so different thin lenses can have the same power. The power of a thin lens in
air, f, is

f = (c1-c2)(n-1).

The focal length of that same thin lens in air is

f = 1/f.

The focal length of a thin lens is the distance between the lens and the point at which the lens causes a
ray, which was initially traveling parallel to optical axis, to intersect the optical axis.

St. Josephs College of Engineering 67


EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017

Focal length of a thin lens

If a source is located very far away from a lens, all of the rays reaching that lens will be nearly parallel
to one another. If the source is an infinite distance away, the rays will be completely parallel to one
another. (The language of the Electromagnetic Wave Optics model says that these rays represent a plane
wave). A bundle of rays of this kind could be used to find the focal length of a thin lens; the lens would
focus them all down into one spot that would be easy to find. Lasers can be made to emit this kind of
light. If you dont have access to a laser, you can still estimate the focal length of a lens using the sun
(which is very far away from the earth) or even ceiling lamps (which are somewhat far away if you hold
the lens at desk level).

A simple expression that describes how a thin lens images an object is called the Thin Lens Equation. It
is a good way to figure out the location of an image if the distance between the object and the lens and
the focal length of the lens are known. This equation assumes that air surrounds the object, image, and
lens.

Thin Lens Equation

The image will not necessarily be the same size as the object. The size of the image (himage) is found
by multiplying the size of the object (hobject) by the magnificationof the system. The magnification of
the thin lens system is

himage = m hobject

If the magnification is negative, then the image is inverted with respect to the object.

Mirrors can also be used to form images. They have a variety of curved surfaces, depending on their
function. Popular shapes of telescope mirrors are paraboloids, hyperboloids, and prolate ellipsoids.
Mirrors are widely used in telescope systems because they do not suffer from chromatic aberrations.
(see the following section on dispersion to learn more about chromatic aberration.) One of the
challenges in designing telescopes is to develop an optical system that images points off of the optical
axis as well as it does objects on the optical axis. This is the same as saying that the designers are
working to increase the field-of-view of the telescopes.

The Hubble space telescope has the form of a Ritchey-Chretien Telescope. This telescope increases its
field-of-view by using a hyperboloids as both primary and secondary mirrors. The combination of the
two mirrors are needed to form a good image, unlike other telescope designs which use a single
paraboloid mirror to form a good image and a secondary mirror to direct the image to an eye or other
detector. Astronauts had to install other optics in the Hubble as a way to correct for a defect in the

St. Josephs College of Engineering 68


EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017

curvature of the primary mirror that occurred during manufacturing.

Ritchey-Chretien telescope

Although many telescopes are made using mirrors, there are some that are made using lenses. The
Keplerian Telesope is one of them. This telescope forms an inverted, internal image. Most binoculars
are based on this design, and they use reflecting prisms to reinvert the image so that the image appears
upright.

Keplerian telescope

Digital Micromirrors
One of the most visible commercially available microelectromechanical systems is Texas
Instruments' Digital Micromirror Device (DMDTM) which is used in applications such as
portable projectors, rear-projection televisions, and cinema projectors. The DMD is a
rectangular array of moving micromirrors that is combined with a light source, optics, and
electronics to project high quality color images [14].
Figure 9 shows the architecture of a single DMD pixel. A 16 micrometer square aluminum
mirror is rigidly attached to a platform (the "yoke"). Flexible torsion hinges are used to
connect the yoke to rigid posts. An applied voltage creates an electrostatic force that
causes the mirror to rotate about the torsion hinges. The electronics and structure are
designed to allow the mirror to be rotated by 10 degrees in either of two directions (the
"on" and "off" positions). When tilted in the on position, the mirror directs light from the
light source to the projection optics and the pixel appears bright. When the mirror is tilted
in the off position, the light is directed away from the projection optics and the pixel
appears dark.

St. Josephs College of Engineering 69


EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017

Figure 9: Architecture of the Texas Instruments Digital Micromirror Device (DMD).


(Illustration courtesy of Texas Instruments.)
The micromirrors can be combined in an array on a chip, and each micromirror is
associated with the pixel of a projected image. Figure 10 is an illustration of two pixels in
the on and off states. The micromirrors can be switched thousands of times per second. A
gray scale image is obtained by varying the amount of time each pixel is on or off, with
lighter gray pixels associated with mirrors being on more than off. Color images are
created by combining this switching method with a color filter, such as a color wheel. The
on and off states of a mirror are coordinated with flashes of red, green, and blue light to
create the combination needed to create the desired color. An illustration of the combined
system is shown in Figure 11. In high-end applications, such as cinema projectors, three
DMD chips are used, with each chip dedicated to either red, green, or blue, and each pixel
is created by combining light from a mirror from each of the three chips.

Figure 10: Two mirrors in the on and off position. A mirror in the on position directs
light toward the projection optics. The mirrors are shown transparent to show
device components. (Illustration courtesy of Texas Instruments.)

St. Josephs College of Engineering 70


EE6007 Micro Electro Mechanical Systems Dept. of EIE 2016-2017

Figure 11: The Texas Instrument DMD shown as part of their Digital Light
Processing (DLP) technology. (Illustration courtesy of Texas Instruments.)
The DMD architecture nicely illustrates several MEMS concepts - a few of these are:

Multi-layer MEMS fabrication was used to make the DMD structure and electronics
in layers below the mirror to create a high fill factor.

The torsion hinges use compliance to obtain motion while avoiding rubbing parts
that cause friction and wear.

The small mass of the micromirrors allows them to move very quickly.

Electrostatic forces were used to actuate mechanical devices, resulting in low


power requirements.

St. Josephs College of Engineering 71

Вам также может понравиться