Академический Документы
Профессиональный Документы
Культура Документы
Resumen En este proyecto sirve para analizar y El GAL bsicamente est formado por una matriz AND
entender el uso que se da a los arreglos lgicos reprogramable y una matriz OR fija con configuracin
programables el cual se lo disea para un circuito programable de salidas y/o entradas.
sincrnico El estudio se hace para la introduccin del
cdigo en la GAL y esta a su vez realice el diseo.
I. INTRODUCCIN
El presente proyecto consta de bsicamente de tres etapas la
primera es el estudio de circuitos secuenciales, la segunda
base en el tratamiento que se da a este tipo de circuitos y
como tema final al anlisis del diseo propuesto.
Figura1.Estructura bsica de un GAL
A. Objetivos
Las estructuras GAL son estructuras PAL construidas con
Disear un circuito secuencial sincrnico (De ms de tecnologa CMOS, y fueron comercializadas por primera vez
5 estados) y entender cada uno de los parmetros que en 1984 por Lattice Semiconductor. Como se ha mencionado,
involucra en su programacin. son programables y borrables elctricamente. Son
reprogramables y ms flexibles, a la salida de la matriz
Realizar el estudio de la Gal a utilizarse para esta AND/OR hay un circuito ms complejo con selectores y flip-
implementacin. flops que permiten implementar ecuaciones ms complejas.
B. Elementos del diseo
. 2
Referencia estndar
GAL20V8
VHDL no es un lenguaje de programacin, por ello conocer su Define externamente al circuito o subcircuito.
sintaxis no implica necesariamente saber disear con l. Nombre y nmero de puertos, tipos de datos de
VHDL es un lenguaje de descripcin de hardware, que permite entrada y salida.
describir circuitos sncronos y asncronos. Tienes toda la informacin necesaria para conectar tu
circuito a otros circuitos.
Para realizar esto debemos:
Los puertos pueden ser de entrada in, salida out, entrada-salida
Pensar en puertas y biestables, no en variables ni inout o buffer. Los puertos de entrada slo se pueden leer y no
funciones. se puede modificar su valor internamente en la descripcin del
Evitar bucles combinacionales y relojes comportamiento del circuito (architecture), sobre los puertos
condicionados. de salida slo library IEEE; use IEEE.std_logic_1164.all; use
ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; La
Saber qu parte del circuito es combinacional y cul
biblioteca ieee y estos tres paquetes asociados (ms adelante
secuencial.
se explicar su significado) aparecen por defecto al generar un
mdulo VHDL en Xilinx ISE Introduccin a la Programacin
En particular VHDL permite tanto una descripcin de la
en VHDL 10 F. Informtica (UCM) se puede escribir pero
estructura del circuito (descripcin a partir de subcircuitos ms
nunca tomar decisiones dependiendo de su valor (esto implica
sencillos), como la especificacin de la funcionalidad de un
una lectura). Si es estrictamente necesario escribir sobre un
circuito utilizando formas familiares a los lenguajes de
puerto a la vez que se tiene que tener en cuenta su valor el tipo
programacin.
sera inout o buffer.
Los circuitos descritos en VHDL pueden ser simulados
utilizando herramientas de simulacin que reproducen el
Adems, en la entity se pueden definir unos valores genricos
funcionamiento del circuito descrito. Para la realizacin de la
(generic) que se utilizarn para declarar propiedades y
simulacin existe un estndar aprobado por el ieee, en el cual
constantes del circuito, independientemente de cul sea la
se explican todas las expresiones propias de VHDL y cmo se
arquitectura.
simulan. Adems, existen herramientas que transforman una
descripcin VHDL en un circuito real (a este proceso se le
Ventajas:
denomina sntesis). La sintaxis para sntesis y su
implementacin final, aunque sigue unas normas generales,
depende en gran medida de la herramienta de sntesis Poder descubrir problemas en el diseo antes de su
seleccionada. implementacin fsica.
La complejidad de los sistemas electrnicos crece
Elementos bsicos de VHDL exponencialmente, es necesaria una herramienta que
trabaje con el ordenador.
Un sistema digital est descrito por sus entradas y sus salidas y Permite que ms de una persona trabaje en el mismo
la relacin que existe entre ellas. proyecto
En el caso de VHDL por un lado se describir el aspecto
exterior del circuito: entradas y salidas; y por otro la forma de Ejemplo:
relacionar las entradas con las salidas. El aspecto exterior,
cuntos puertos de entrada y salida tenemos, es lo que entity nombre is
denominaremos entity. Y la descripcin del comportamiento generic (cte1: tipo := valor1; cte2: tipo:= valor 2; );
del circuito architecture, toda architecture tiene que estar port (entrada1, entrada2, : in tipo;
asociada a una entity. salida1, salida2, : out tipo;
puertoi : modo tipo);
Adems, aunque no es estrictamente necesario, podemos end nombre;
definir tambin las bibliotecas y paquetes que vamos a utilizar,
lo que nos indicar que tipos de puertos y operadores podemos
utilizar. Siempre ha de aparecer la definicin de las bibliotecas
y paquetes antes de la definicin de la entity.
Entity
. 5
begin
En esta sentencia siempre modificamos el valor de una misma
--- cdigo de descripcin seal, pero las condiciones pueden ser independientes (actuar
--- instrucciones concurrentes sobre distintas seales cada una), dnde la colocacin de las
--- ecuaciones booleanes condiciones indica la preferencia de unas sobre otras, es decir,
--- componentes la condicin 1 tiene preferencia sobre el resto, la condicin 2
sobre todas menos la 1 y as sucesivamente.
process (lista de sensibilidad)
begin
end process;
end arch_name;
end sincronicos;
Esta sentencia es menos general que la anterior. En este caso architecture Behavioral of sincronicos is
se modificar el valor de una seal dependiendo de los valores
de una seal condicin, aparecern como mximo tantas lneas type mis_estados is (espera, encender,apagar,abrir,alarma);
como valores posibles pueda tener la seal condicin. signal D_bus,Q_bus: mis_estados;
signal salidas : std_logic_vector(2 downto 0);
begin
-- registro de estado
process (clk) begin
if (clk'event and clk='1')then
if (reset='1') then
q_bus<=espera;
else
q_bus<=d_bus;
end if;
IV. RESULTADOS end if;
end process;
-- transicion de estados
process(q_bus, sm, sf) begin
V. LIBRERAS Y FUNCIONES USADAS
case(q_bus) is
El paquete std_logic_1164 (estndar lgico_1164), que se
encuentra dentro de la librera ieee, contiene todos los tipos de
datos comnmente utilizados en VHDL, como: when espera =>
std_logic_vector, std_logic, std_signed y std_unsigned, entre if((Sm and Sf) = '1') then
otros. d_bus <=encender;
La forma en que se accede a la informacin contenida dentro else
de un paquete es mediante la sentencia use seguida del nombre d_bus <=espera;
de la librera y del paquete, respectivamente; esto es:
use nombre_librera.nombre_paquete.all; end if;
Por ejemplo: use ieee.std_logic_1164.all;
when encender =>
En este caso, ieee es la librera, std_logic_1164 es el paquete y if((Sm and Sf) = '1') then
all es la palabra reservada, la cual indica que todos los d_bus <=encender;
componentes almacenados dentro del paquete pueden ser else if(Sm = '0') then
utilizados. d_bus <=alarma;
else
El paquete std_arith define funciones y operadores d_bus <= apagar;
aritmticos como: ms (+), menos (-), divisin (/), end if;
multiplicacin (*), igual (=), mayor que (>), menor que (<),
entre otros end if;
8
when alarma => ]
d_bus <= alarma; w
w
w
end case; .l
a
tt
end process; i
--logica de salida c
with q_bus select e
s
e
salidas <= "000" when espera, m
"100" when encender, i.
"000" when apagar, c
"010" when abrir, o
"001" when alarma, m
"000" when others; /
~
e<= salidas(2); /.
p <= salidas(1); ..
a <= salidas(0); /
end Behavioral; G
A
L
VII.CONCLUSIONES /
G
A travs de la realizacin de este proyecto, se pudo evidenciar A
el funcionamiento de este tipo de circuitos secuenciales, L
adems se pudo entender acerca de la programacin que se 2
realiza en la plataforma VDHL. 2
V
En la actualidad, el lenguaje de programacin VHDL 1
(Hardware Description Language) constituye una de las 0
herramientas de programacin con mayor uso en el ambiente D
industrial y en el mbito universitario, debido a la versatilidad a
con la cual se pueden describir y sintetizar circuitos y sistemas t
digitales en la bsqueda de soluciones de aplicacin a
inmediata. S
h
e
e
t.
REFERENCIAS P
[1]http://www.uhu.es/rafael.lopezahumada/descargas/tema7_f D
und_0405.pdf F
[2]http://www.editorialpatria.com.mx/pdffiles/9786074386219 ?
.pdf l
[3] http://www.codeso.com/EqPanel01.html a
[4] http://eprints.uanl.mx/5352/1/1020149162.PDF =
[5] Apuntes de clases Sistemas Digitales Ing. Morejn, EPN, e
2017-A n
[6] http://electronicaintegradaunexpo.blogspot.com/2008/02/gal-
y-vhdl.html
[7]
https://cdn.testequity.com/documents/pdf/bk/device_programme
r_manual.pdf
[
. 8