Вы находитесь на странице: 1из 14

Instituto Tecnolgico de Lerma

Electrnica Digital

Investigacin: Circuitos Combinacionales

Poot Lugo Cecilia Jovana

Ing. Alberto Crdova Broca

6 Semestre

Ingeniera Mecatrnica

San Francisco de Campeche, Campeche 30 de mayo de 2017


Introduccin
Los sistemas combinacionales son aquellos en los que las salidas dependen
exclusivamente de las entradas, luego para una misma entrada siempre se tiene la
misma salida. Hasta ahora el diseo de funciones lgicas, o de circuitos lgicos, se
ha realizado mediante el uso exclusivo de puertas bsicas. Los circuitos que
contienen estas puertas bsicas son conocidos como SSI (Small Scale of
Integration) por que contienen un nmero pequeo de transistores. El diseo que
se realiza con estos dispositivos se denomina CUSTOM.
Un paso ms profundo en el diseo HARDWARE es realizar un diseo
SEMICUSTOM, basado en el uso de bloques constructores ms complejos. Esto se
puede hacer mediante el uso de sistemas o circuitos MSI (Medium Scale of
Integration) dnde el nmero de puertas bsicas puede llegar a 100.
Ms avanzados son los sistemas LSI (Large Scale of Integration ~1000), VLSI (Very
Large Scale of Integration >1000), y ULSI (Ultra Large Scale of Integration
>100000).
En un computador se realizan principalmente operaciones de codificacin y
decodificacin de datos usando codificadores y decodificadores; transmisin y
control de datos usando lneas de bus, multiplexores y demultiplexores; y procesado
de datos mediante circuitera aritmtica.
En nuestro computador podemos encontrarnos los siguientes sistemas MSI:
codificadores y decodificadores
multiplexores y demultiplexores
sumadores, comparadores, etc.
Adems, estos dispositivos pueden usarse tambin para la realizacin de funciones
complejas con un considerable ahorro de rea frente al uso de puertas bsicas
(circuitos SSI).

San Francisco de Campeche, Campeche 30 de mayo de 2017


MULTIPLEXORES
Un multiplexor es un circuito combinacional que selecciona informacin binaria de
una de muchas lneas de entrada y la enva a una sola lnea de salida. La seleccin
de una lnea de entrada dada se controla con un conjunto de lneas de seleccin.
Normalmente hay 2n lneas de entrada y n lneas se seleccin cuyas combinaciones
de bits determinan cul entrada se selecciona. La fig. 1 muestra el diagrama
funcional de un multiplexor digital en general. Las entradas y salidas se dibujan
como flechas gruesas en vez de lneas; esto indica que pueden tener ms de una
lnea de seal.
El multiplexor acta como un interruptor de mltiples posiciones controlado en forma
digital, en el que el cdigo digital que se aplica a las entradas de seleccin controla
que entradas de datos se comunicaran hacia la salida. A esto se le conoce como
multiplexaje.

Ilustracin 1 Diagrama de un multiplexor digital (MUX)

MULTIPLEXOR BASICO DE DOS ENTRADAS


La fig.2 muestra el circuito lgico para un multiplexor con dos entradas de datos (I0
e I1) y a entrada de seleccin S. El nivel lgico que se aplica a la entrada S determina
cual compuerta AND est habilitada, de manera que su entrada de datos pase a
travs de la compuerta OR, a la salida Z. si lo vemos de otra forma, la expresin
Booleana es: Z= I0S + I1s
Cuando S=0, esta expresin se vuelve Z= 10 * 1 + I1 * 0
= I0 [Compuerta 2 Habilitada]
Lo cual indica que Z ser idntica a la seal de entrada I0, la que a su vez puede
ser un nivel lgico fijo o una seal analgica que vara con el tiempo. Cuando S=1,
la expresin vuelve Z= I0 * 0 + I1 * 1 [Compuerta 1 Habilitada]

San Francisco de Campeche, Campeche 30 de mayo de 2017


Lo cual nos muestra que la salida Z ser idntica a la seal de entrada I1.

Ilustracin 2 Multiplexor de 2 entradas

MULTIPLEXOR DE CUATRO ENTRADAS


La misma idea bsica puede utilizarse para formar el multiplexor de cuatro entradas
que se muestra en la figura. Aqu, cuatro entradas se transmiten en forma selectiva
a la salida, de acuerdo con las cuatro posibles combinaciones de las entradas de
seleccin S1So. Cada entrada de datos se conecta a una compuerta con una
combinacin distinta de niveles de entrada de seleccin. I0 se conecta en una
compuerta con S1So, de manera que lo pasar a travs de su compuerta AND hacia
la salida Z slo cuando S1 = O y So = O. La tabla en la figura proporciona las salidas
para los otros tres cdigos de seleccin de entrada.
En las familias lgicas TIL y CMOS hay multiplexores de dos, cuatro, ocho y 16
entradas. Estos CIS bsicos pueden combinarse para multiplexar un mayor nmero
de entradas.

Ilustracin 3Multiplexor de 4 entradas, uso de la lgica de suma de productos

San Francisco de Campeche, Campeche 30 de mayo de 2017


Los circuitos multiplexores tienen muchas y variadas aplicaciones en los sistemas
digitales de todo tipo. Entre estas aplicaciones estn la seleccin de datos, el
enrutamiento de datos, la secuencia de operaciones, la conversin de paralelo a
serial, la generacin de formas de onda y la generacin de funciones lgicas.

DEMULTIPLEXORES
Un multiplexor recibe varias entradas y transmite una de ellas a la salida. Un
demultiplexor (DEMUX) realiza la operacin inversa: recibe una sola entrada y la
distribuye a travs de varias salidas. La figura muestra el diagrama funcional para
un demultiplexor digital. Las flechas gruesas para las entradas y las salidas pueden
representar una o ms lneas. El cdigo de entrada de seleccin determina cul de
las salidas transmitir la entrada de DATOS. En otras palabras, el demultiplexor
recibe una fuente de datos de entrada y la distribuye en forma selectiva a 1 de N
canales de salida, justo igual que un interruptor de mltiples posiciones.

Ilustracin 4 Demultiplexor General

DEMULTIPLEXOR DE 1 A 8 LNEAS
La figura muestra el diagrama lgico para un demultiplexor que distribuye una lnea
de entrada a ocho lneas de salida. La nica lnea de entrada de datos I se conecta
a todas las ocho compuertas AND, pero slo una de estas compuertas se habilitar
mediante las lneas de entrada de SELECCIN. Por ejemplo, cuando S 2S1S0 = 000,
slo se habilitar la compuerta AND0 y la entrada de datos I aparecer en la salida
O0. Otros cdigos de SELECCIN hacen que la entrada I llegue a las dems
salidas. La tabla de verdad sintetiza la operacin.
El circuito demultiplexor de la figura 5 es muy similar al circuito decodificador de 3 a
8 lneas, slo que se agreg una cuarta entrada (I) en cada compuerta.
Anteriormente sealamos que muchos decodificadores de CI tienen una entrada de
HABILITACIN, la cual es una entrada extra que se agrega a las compuertas del
decodificador. Por lo tanto, este tipo de circuito integrado decodificador puede
utilizarse como demultiplexor, en donde las entradas de cdigo binario (por ejemplo,

San Francisco de Campeche, Campeche 30 de mayo de 2017


A, B, C) sirven como entradas de SELECCIN y la entrada de HABILITACIN sirve
como la entrada de datos l. Por esta razn, es comn que los fabricantes de Cl s
llamen a este tipo de dispositivo un decodificador/demultiplexor, el cual puede
usarse para cualquier funcin.

Ilustracin 5 Demultiplexor de 1 a 8 lneas

DECODIFICADORES
Un decodificador es un circuito lgico que acepta un conjunto de entradas que
representan un nmero binario y activa slo la salida que corresponde a ese nmero
de entrada. En otras palabras, un circuito decodificador analiza sus entradas,
determina cul nmero binario est presente y activa la nica salida que
corresponde ese nmero; todas las dems salidas permanecen inactivas. La sig.
Figura muestra el diagrama para un decodificador en general, con N entradas y M
salidas. Como cada una de las N entradas puede ser 0 o 1, existen 2n
combinaciones posibles de entrada o cdigos. Para cada una de estas
combinaciones, slo una de las M salidas estar activa (en ALTO); Muchos
decodificadores estn diseados para producir salidas activas en BAJO, en donde
slo la salida seleccionada est en BAJO mientras que las dems estn en ALTO.
Esta situacin se indica mediante la presencia de pequeos crculos en las lneas
de salida, en el diagrama del decodificador.
Algunos decodificadores no utilizan todos los 2 n posibles cdigos de entrada, slo
unos cuantos. Por ejemplo, un decodificador de BCD a decimal tiene un cdigo de
entrada de cuatro bits y diez lneas de salida que corresponden a los diez grupos

San Francisco de Campeche, Campeche 30 de mayo de 2017


de cdigo BCD, del 0000 al 1001. Los decodificadores de este tipo por lo general
se disean de manera que, si se aplica uno de los cdigos no utilizados en la
entrada, ninguna de las salidas se activar.

Ilustracin 6 Diagrama general de un Decodificador.

Algunos decodificadores tienen una o ms entradas de HABILITACIN, las cuales


se utilizan para controlar la operacin del decodificador. Por ejemplo, consulte el
decodificador de la figura 7 y observe cmo tiene una lnea de HABILITACIN
comn conectada a una cuarta entrada de cada compuerta. Si esta lnea de
HABILITACIN se mantiene en ALTO, el decodificador funcionar en forma normal,
y el cdigo de entrada A, B, C determinar cul de las salidas est en ALTO. Pero
si la HABILITACIN se mantiene en BAJO, todas las salidas se forzarn a quedar
en el estado BAJO, sin importar los niveles en las entradas A, B, C. Por lo tanto, el
decodificador se habilita slo si la HABILITACIN est en ALTO.

Ilustracin 7 Decodificador de 3 a 8 Lneas

Los decodificadores se utilizan siempre que debe activarse una salida o un grupo
de salidas slo cuando ocurra una combinacin especfica de niveles de entrada.

San Francisco de Campeche, Campeche 30 de mayo de 2017


A menudo estos niveles de entrada se proporcionan mediante las salidas de un
contador o registro.
Cuando las entradas del decodificador provienen de un contador al que se aplican
pulsos continuos, las salidas del decodificador se activarn en forma secuencial y
podrn usarse como seales de sincronizacin o de secuencia para encender o
apagar dispositivos en tiempos especficos. En la figura 8 se muestra un ejemplo
de esta operacin; aqu se utiliza el contador 74ALS163 y el
decodificador/controlador 7445.

Ilustracin 8 Combinacin de contador/decodificador utilizada para proporcionar operaciones de sincronizacin y


secuencia.

Los decodificadores se utilizan mucho en el sistema de memoria de una


computadora, en donde responden al cdigo de direccin que genera el procesador
central para activar una posicin de memoria especfica. Cada CI de memoria
contiene muchos registros, los cuales pueden almacenar nmeros binarios (datos).
Cada registro necesita tener su propia direccin nica para distinguirlo de los dems
registros. Un decodificador se integra en los circuitos integrados de la memoria y
permite que se active un registro de almacenamiento especfico cuando se aplica
una combinacin nica de entradas (es decir, su direccin). Por lo general, en un
sistema hay varios Cls de memoria que se combinan para conformar toda la
capacidad de almacenamiento completa. Un decodificador se utiliza para
seleccionar un CI de memoria en respuesta a un intervalo de direcciones; para ello
se decodifican los bits ms significativos de la direccin del sistema y se habilita
(selecciona) un circuito especfico.

San Francisco de Campeche, Campeche 30 de mayo de 2017


CODIFICADORES
La mayora de los decodificadores acepta un cdigo de entrada y produce un nivel
ALTO (o BAJO) en una y slo una lnea de salida. En otras palabras, podemos decir
que un decodificador identifica, reconoce o detecta un cdigo especfico. Al opuesto
de este proceso de decodificacin se le conoce como codificacin y se lleva a cabo
mediante un circuito lgico llamado codificador, el cual tiene cierto nmero de lneas
de entrada, de las cuales slo una se activa en un momento dado y produce un
cdigo de salida de N bits, dependiendo de la entrada que se active. La figura
siguiente es el diagrama general para un codificador con M entradas y N salidas.
En este codificador las entradas son activas en ALTO, lo cual significa que por lo
general estn en BAJO.

Ilustracin 9 Diagrama General de un Codificador

Un codificador de octal a binario (codificador de 8 a 3 lneas) realiza la funcin:


acepta ocho lneas de entrada y produce un cdigo de salida de tres bits,
correspondiente a la entrada que se activ. La figura 10 muestra el circuito lgico y
la tabla de verdad para un codificador de octal a binario con entradas activas en
BAJO. Si rastrea la lgica podr verificar que un nivel BAJO en cualquier entrada
individual producir el cdigo binario de salida correspondiente a esa entrada. Por
ejemplo, un nivel BAJO en. A3 (mientras que las dems entradas se encuentran en
ALTO) producir O2 = 0, 01 = 1 y 00 = 1, que es el cdigo binario para el 3. Observe
que A0 no se conecta a las compuertas lgicas, ya que por lo general las salidas del
codificador estarn en 000 cuando ninguna de las entradas de A 1 hasta A9 est en
BAJO.

San Francisco de Campeche, Campeche 30 de mayo de 2017


Ilustracin 10 Circuito Lgico para un Codificador de Octal a Binario (8 a 3 lneas). Para una operacin apropiada, solo
debe haber una entrada activa en un momento dado.

CODIFICADORES CON PRIORIDAD


Este ltimo ejemplo identifica una desventaja del circuito codificador simple de la
figura 10 cuando se activa ms de una entrada a la vez. Una versin modificada de
este circuito, conocida como codificador con prioridad, incluye la lgica necesaria
para asegurar que cuando se activen dos o ms entradas, el cdigo de salida
corresponda a la entrada de mayor numeracin. Por ejemplo, cuando A3 Y A5 estn
en BAJO, el cdigo de salida ser 101 (5). De manera similar, cuando A 6, A2 y A0
estn todas en BAJO, el cdigo de salida ser 110 (6). Los circuitos 74148, 74LS148
y 84HC148 son todos codificadores de octal a binario con prioridad.

INDICADORES NUMRICOS (DISPLAYS)


Se llama visualizador, display en ingls, a un dispositivo de ciertos aparatos
electrnicos que permite mostrar informacin al usuario de manera visual. Un
visualizador de una seal de vdeo se lo llama ms comnmente pantalla; los dos
ejemplos ms comunes son el televisor y el Monitor de computadora. Un
visualizador es un tipo de dispositivo de salida.
A partir de la aparicin de calculadoras, cajas registradoras e instrumentos de
medida electrnicos que muestran distintas informaciones, ya se puede hablar con
propiedad de visualizadores. Otro avance fue la invencin del visualizador de 7
segmentos.

TIPOS DE DISPLAYS
Visualizador de Segmentos
En un visualizador de 7 segmentos se representan los dgitos 0 a 9 iluminando los
segmentos adecuados. Tambin suelen contener el punto o la coma decimal. A

San Francisco de Campeche, Campeche 30 de mayo de 2017


veces se representan tambin algunos caracteres como la "E" (Error), "b" o "L" (Low
Battery), etc., pero para representar los caracteres alfabticos se introdujo el
visualizador de 14 segmentos. El visualizador de 14 segmentos tuvo xito reducido
y slo existe de forma marginal debido a la competencia de la matriz de 5x7 puntos.
Los visualizadores de segmentos se fabrican en diversas tecnologas:
Incandescencia, de ctodo fro, LED, cristal lquido, fluorescente, etc.

Ilustracin 11 Ejemplo de Visualizador de Segmentos

Visualizador de Matriz
La matriz de 5x7 permite representar letras maysculas y minsculas, signos de
puntuacin y caracteres especiales con un grado de legibilidad excelente. No es
nueva y ya en los aos 1940 se poda ver mostrando leyendas publicitarias. Estaban
fabricadas con lmparas de incandescencia. Actualmente se fabrican con LED y
LCD.
A las matrices de 5x7 siguen las lneas de caracteres, principalmente LCD y VFD,
presentndose en mltiples formatos, de una a cuatro lneas de ocho a cuarenta
caracteres.

Matriz grfica: Consiste en una matriz ms grande, que puede representar tanto
caracteres como grficos. Se fabrican en LCD y VFD. Las matrices de LED estn
constituidas por un mosaico de visualizadores ms pequeos (8x8, normalmente).
Pueden ser multicolores (Rojo-Naranja-Verde o Rojo-Verde-Azul), encontrando su
utilidad en vallas publicitarias, campos de ftbol, etc.

San Francisco de Campeche, Campeche 30 de mayo de 2017


Ilustracin 12 Ejemplo de Visualizador de Matriz

San Francisco de Campeche, Campeche 30 de mayo de 2017


CONCLUSIN

En este trabajo se pudo apreciar el significado de los codificadores y


decodificadores y algunas de sus caractersticas:
Los codificadores son circuitos hechos para pasar informacin de un sistema
a otro con clave diferente.
Hay de varias clases, como los codificadores del 2 a 1 o los de 4 a 2.
Tambin hay varios tipos de codificadores, los codificadores sin prioridad y
los codificadores con prioridad; donde los primeros son aquellos que cuando
se les aplican dos o ms seales de entrada presentan una salida que no
corresponde a la codificacin de una seal de entrada, y en el segundo son
aquellos en los que las salidas representan el cdigo binario correspondiente
a la entrada activa que tenga mayor valor decimal.
Los multiplexores son circuitos combinacionales que tienen varias entradas,
una sola salida y varias lneas de seleccin.
Los decodificadores son circuitos combinacionales basados en puertas
lgicas que trasforman un cdigo de tipo binario en cdigo decimal.
Los demultiplexores son circuitos combinacionales que tiene una
entrada de informacin de datos d y n entradas de control que sirven para
seleccionar una de las 2 salidas, por la que ha de salir el dato que presente
en la entrada

San Francisco de Campeche, Campeche 30 de mayo de 2017


BIBLIOGRAFA

Fundacion Wikimedia, Inc. (24 de Abril de 2017). Obtenido de Wikipedia :


https://es.wikipedia.org/wiki/Visualizador

Tocci, R. J., Widmer, N. S., & Moss, G. L. (2007). Sistemas Digitales. Principios y
Aplicaciones. Mxico: Pearson Educacin .

San Francisco de Campeche, Campeche 30 de mayo de 2017

Вам также может понравиться