Вы находитесь на странице: 1из 218

HC VIN CNG NGH BU CHNH VIN THNG

TRN TH THY H

IT
BI GING
PT
IN T S

H NI 10.2013
LI NI U

Cng vi s tin b ca khoa hc v cng ngh, cc thit b in t ang v s tip tc


c ng dng ngy cng rng ri v mang li hiu qu cao trong hu ht cc lnh vc kinh t
k thut cng nh i sng x hi.
Vic x l tn hiu trong cc thit b in t hin i u da trn c s nguyn l s.
Bi vy vic hiu su sc v in t s l iu khng th thiu c i vi k s ngnh in
- in t, in t - Vin thng, cng nh CNTT. Nhu cu hiu bit v in t s khng phi
ch ring i vi cc k s cc ngnh ni trn m cn cn thit i vi nhiu cn b k thut
cc chuyn ngnh khc c ng dng in t.
Bi ging ny gii thiu mt cch h thng cc phn t c bn trong cc mch in t
s kt hp vi cc mch in hnh, gii thch cc khi nim c bn v cng in t s, cc
phng php phn tch v thit k mch logic c bn.
Bi ging bao gm cc kin thc c bn v mch cng logic, c s i s logic, mch
logic t hp, cc trig, mch logic tun t, cc mch pht xung v to dng xung, cc b nh

IT
thng dng. Bi ging gm 4 chng, trc v sau mi chng u c phn gii thiu v
phn tm tt gip ngi hc d nm bt kin thc. Ngoi ra bi ging cn c cc cu hi
n tp ngi hc kim tra mc nm kin thc sau khi hc mi chng. Trn c s cc
kin thc cn bn, bi ging c gng tip cn cc vn hin i, ng thi lin h vi
thc t k thut.
PT
Bi ging gm c 4 chng v 1 ph lc c b cc nh sau:
Chng 1: Hm Boole v cng logic.
Chng 2: Mch logic t hp.
Chng 3: Mch logic tun t.
Chng 4: B nh bn dn.
Ph lc: Gii thiu mt s h m thng dng
Do thi gian c hn nn bi ging ny khng trnh khi thiu st, rt mong bn c gp
. Cc kin xin gi v B mn K thut in t - Khoa K thut in t 1- Hc vin Cng
ngh Bu chnh vin thng.
Xin trn trng cm n!
Tc gi.

i
THUT NG VIT TT

ALU Arthmetic Logic Unit n v tnh logic v s hc

ANSI American National Standards Vin tiu chun Quc gia Hoa k
Institude

BCD Binary Coded Decimal S thp phn m ha theo nh phn

Bit Binary Digit Ct s nh phn (n v thng tin nh nht)

Bus Mt s ng dy dn mc song song dng cho vic truyn cc tn hiu a ch, d liu
v iu khin

Byte Mt nhm gm 8 bit

C, CLK Clock Xung ng h (Xung nhp)

Cache B nh trung gian

CAS

CLR

CMOS
IT
Column Address Select

Clear

Complementary Metal Oxide


Semiconductor
Chn a ch ct

Xa

Vt liu bn dn gm hai linh kin NMOS v


PMOS mc t hp vi nhau
PT
CPU Central Processing Unit n v x l trung tm

Crumb 2 bit

CS Chip Select Chn chp

DDL Diode-Diode Logic Cng logic cha cc diode

Deckle 10 bit

DLL Delay_Locked Loop Vng kho pha tr

DEMUX DeMultiplexer B phn knh

DRAM Dynamic RAM RAM ng

DTL Diode Transistor Logic Cng logic cha cc diode v transistor

Dynner 32 bit

ECL Emitter Couple Logic Cng logic ghp cc Emitter

ii
EEPROM Electrically Erasable ROM ROM lp trnh c v xa c bng in

EPROM Erasable ROM ROM lp trnh c v xa c bng tia cc


tm

FET Field Effect Transistor Transistor hiu ng trng

H High Mc logic cao

IC Integrated Circuit Mch tch hp

IEEE Institude of Electrical and Electronics Vin k thut in v in t


Engineers

ISP In- System Programming Lp trnh trn h thng

L Low Mc logic thp

Latch B cht

LCD Liquid Crystal Display Hin th tinh th lng

LED

LSB

Maxterm
IT
Light Emitting Diode

Least Significant Bit

Tha s ln nht
it pht quang

Bit c ngha b nht


PT
Minterm S hng nh nht

MOSFET Metal Oxide Semiconductor FET FET c cc ca cch ly bng lp ooxxit kim
loi

MROM Mask ROM ROM c ch to bng phng php che mt


n

MSB Most Significant Bit Bit c ngha ln nht

MSI Medium Scale Integrated Mc tch hp trung bnh

MUX Multiplexer B ghp knh

Nibble 4 bit

NMOS N chanel MOS Transistor trng knh dn N

PMOS P chanel MOS Transistor trng knh dn P

PRE Preset Ti lp

iii
RAM Random Access Memory B nh truy cp ngu nhin

RAS Row Address Select Chn a ch hng

RBI Riple Blanking Input u vo xa ni tip

RBO Riple Blanking Output u ra xa ni tip

ROM Read Only Memory B nh ch c

RTL Resistance Transistor Logic Cng logic dng in tr v transistor

SRAM Static RAM RAM tnh

SSI Small Scale Integrated Mc tch hp trung bnh

TTL Transistor Transistor Logic Cng logic dng Transistor

VLSI Very Large Scale Integrated Mc tch hp rt ln

IT
PT

iv
MC LC

LI NI U ................................................................................................................................................. i
THUT NG VIT TT .............................................................................................................................. ii
MC LC ...................................................................................................................................................... v
CHNG 1. HM BOOLE V CNG LOGIC .......................................................................................... 1
GII THIU CHUNG ................................................................................................................................ 1
1.1 I S BOOLE ................................................................................................................................... 1
1.1.1. Cc nh l c bn.......................................................................................................................... 2
1.1.2 Cc nh lut c bn: ....................................................................................................................... 2
1.1.3. Ba quy tc v ng thc :................................................................................................................ 2
1.2 CC PHNG PHP BIU DIN HM BOOLE ............................................................................... 3
1.2.1 Bng trng thi................................................................................................................................ 3

1.2.2 Phng php i s ......................................................................................................................... 4

IT
1.2.3 Phng php bng Cc n (bng Karnaugh hay phng php hnh hc.) ........................................ 6

1.3. CC PHNG PHP TI THIU HA (RT GN HM ) ............................................................... 8


1.3.1. Phng php i s ........................................................................................................................ 8
1.3.2 Phng php bng Cc n .............................................................................................................. 9
1.4 CNG LOGIC V CC THAM S CHNH .......................................................................................12
PT
1.4.1 Cng logic c bn ..........................................................................................................................13

1.4.2. Logic dng v logic m...............................................................................................................16


1.4.3. Mt s cng ghp thng dng ........................................................................................................16
1.4.4 Tnh a chc nng ca cng NAND, NOR.....................................................................................19
1.5. Cc tham s chnh ............................................................................................................................22
1.6. MT S LU KHI S DNG IC S.............................................................................................26
1.6.1. S chn v k hiu trn thn IC.................................................................................................26
1.6.2. Mt s c im ca IC h TTL v CMOS. ...................................................................................27
1.6.3. X l cng tha, li vo tha. ........................................................................................................27
TM TT .................................................................................................................................................27
CU HI N TP ....................................................................................................................................28
CHNG 2: MCH LOGIC T HP .......................................................................................................33
GII THIU CHUNG ...............................................................................................................................33
2.1 KHI NIM CHUNG ..........................................................................................................................33
2.1.1. c im c bn ca mch t hp..................................................................................................33
2.1.2. Phng php biu din chc nng logic .........................................................................................34

v
2.2 PHN TCH MCH LOGIC T HP .................................................................................................34
2.3 THIT K MCH LOGIC T HP ....................................................................................................35
2.4. MCH M HO V GII M .........................................................................................................38
2.4.1 Mt s loi m nh phn thng dng ...............................................................................................38
2.4.2. Cc mch m ho: .........................................................................................................................40
2.4.3. Cc b gii m ............................................................................................................................44
2.4.4. Cc b bin m ............................................................................................................................54
2.5. B HP KNH V PHN KNH ....................................................................................................57
2.5.1 B hp knh (MUX-Multiplexer) ...................................................................................................57
2.5.2. B phn knh (Demultiplexer: DMUX) .........................................................................................60
2.5.3. Mt s ng dng ca b hp knh v phn knh ............................................................................62
2.6. MCH S HC. ................................................................................................................................64
2.6.1. Mch tng. ....................................................................................................................................64
2.6.2. Mch hiu. ....................................................................................................................................67
2.6.3. B cng, tr theo b 1 v b 2. ......................................................................................................69
2.7. MCH SO SNH. ..............................................................................................................................70

IT
2.7.1. B so snh.....................................................................................................................................70
2.8. MCH TO V KIM TRA CHN L. ............................................................................................73
2.8.1. M chn, l. ..................................................................................................................................73
4.8.2. Mch to v kim tra chn/l. ........................................................................................................73
PT
2.9. MCH TO M V GII M HAMMING .....................................................................................76
2.9.1. To m ..........................................................................................................................................76
2.9.2. Gii m .........................................................................................................................................79
2.10. N V S HC V LOGIC (ALU)................................................................................................80
TM TT .................................................................................................................................................83
CU HI N TP ....................................................................................................................................84
CHNG 3. MCH LOGIC TUN T......................................................................................................85
GII THIU. .............................................................................................................................................85
NI DUNG ...............................................................................................................................................85
3.1. KHI NIM CHUNG V M HNH TON HC .............................................................................85
3.1.1. Khi nim chung ...........................................................................................................................85
3.1.2. M hnh ton hc ..........................................................................................................................85
3.2. PHN T NH CA MCH TUN T ...........................................................................................86
3.2.1. Cc loi Trig ...............................................................................................................................86
3.2.2. u vo khng ng b ca trig. .................................................................................................95
3.2.3. Chuyn i gia cc loi trig. ......................................................................................................96
3.3. NG DNG CA TRIG TRONG MCH NH THI. ................................................................ 104

vi
3.3.1. Mch in ca IC 555.................................................................................................................. 104
3.3.2. Mt vi ng dng ca IC nh thi 555 ........................................................................................ 105
3.4. PHNG PHP M T MCH TUN T. ................................................................................... 108
3.4.1. Bng ........................................................................................................................................... 109
3.4.2. hnh trng thi........................................................................................................................ 110
3.5. PHN TCH MCH TUN T ....................................................................................................... 112
3.5.1. Cc bc phn tch mch tun t ................................................................................................. 112
3.5.2. Phn tch mch tun t ng b ................................................................................................... 113
3.7.3. Phn tch mch tun t khng ng b. ........................................................................................ 115
3.6. THIT K MCH TUN T........................................................................................................... 117
3.6.1. Cc bc thit k mch tun t ng b....................................................................................... 117
3.6.2. Cc bc thit k mch tun t khng ng b ............................................................................ 118
3.6.3. Thit k mch tun t t hnh trng thi. ................................................................................. 121
3.6.4. V d.......................................................................................................................................... 123
3.6.3. Thit k mch tun t t bng. ..................................................................................................... 128

IT
3.7. MT S V D KHC. ................................................................................................................... 131
3.7.1. Mch tun t ng b. ................................................................................................................. 131
3.7.2. Mch tun t khng ng b ....................................................................................................... 135
3.8. MT S MCH TUN T THNG DNG ................................................................................... 140
3.8.1. B m. ...................................................................................................................................... 140
PT
3.8.2. Thit k b m .......................................................................................................................... 159
3.8.3. Thit k b m t IC m .......................................................................................................... 166
3.8.4. B ghi dch (Shift Register) ......................................................................................................... 170
TM TT ............................................................................................................................................... 179
CU HI N TP CHNG 3 .............................................................................................................. 180
CHNG 4: B NH BN DN .............................................................................................................. 183
GII THIU ............................................................................................................................................ 183
4.1. KHI NIM CHUNG ....................................................................................................................... 183
4.1.1. Khi nim ................................................................................................................................... 183
4.1.2. Nhng c trng chnh ca b nh .............................................................................................. 183
4.1.3. Phn loi ..................................................................................................................................... 184
4.1.4. T chc ca b nh ..................................................................................................................... 185
4.2. B NH C NH - ROM............................................................................................................... 186
4.2.1. Cu trc chung ca ROM ............................................................................................................ 186
4.2.2. MROM ....................................................................................................................................... 192
4.2.3. PROM ........................................................................................................................................ 193

vii
4.3. B NH BN C NH ................................................................................................................. 194
4.3.1. EPROM (Erasable PROM) .......................................................................................................... 194
4.3.2. EEPROM (Electrically Erasable PROM) ..................................................................................... 195
4.4. RAM ................................................................................................................................................. 196
4.4.1. Cu trc khi ca RAM ............................................................................................................... 196
4.4.2. Cu to ca DRAM ..................................................................................................................... 198
4.4.3. SRAM ........................................................................................................................................ 199
4.5. A CNG SILICON- B NH FLASH ......................................................................................... 199
4.6. B NH CACHE ............................................................................................................................. 201
TM TT ............................................................................................................................................... 201
CU HI N TP .................................................................................................................................. 202
TI LIU THAM KHO ........................................................................................................................... 203
PH LC .................................................................................................................................................... 204
GII THIU V H M ...................................................................................................................... 204
A1. H thp phn .................................................................................................................................. 204
A2. H nh phn.................................................................................................................................... 204

IT
A3. Cc php tnh trong h nh phn..................................................................................................... 205
B. H 8 (bt phn) v h 16 (thp lc phn) ........................................................................................... 206
C. Chuyn i c s gia cc h m .................................................................................................... 207
C1. Chuyn i t h c s 10 sang cc h khc .................................................................................... 207
PT
C.2. i mt biu din trong h bt k sang h thp phn ....................................................................... 208
C.3. i cc s t h nh phn sang h c s 8 v 16 ............................................................................. 209

viii
CHNG 1. HM BOOLE V CNG LOGIC

GII THIU CHUNG


i s Boole (i s logic) l mt tp hp cc i tng c hai trng thi: c hoc
khng c, mnh ng hoc sai; cc i tng ny c biu din bng bin logic. Khi
trng thi i tng l tn ti th bin logic biu din c gi tr l 1 v k hiu l A, nu khng
th bin logic ca n c gi tr l 0 v k hiu l A .
Gia cc bin logic, ngi ta nh ngha 3 php ton c s:
Php ph nh logic i vi mt bin A hay cn gi l php o. Khi nhn tc ng ca
php ton ny, A s nhn gi tr o vi gi tr ban u v k hiu l A
Php cng logic (php hoc) c k hiu bng du +. V d, (A + B), mi bin c
gi l mt s hng v kt qu gi l tng.
Php nhn logic (php v) c k hiu bng du .. V d, (A . B), mi bin c gi
l mt tha s v kt qu gi l tch.

IT
C th dng gin Venn trong l thuyt tp hp biu din 3 php ton logic trn.
Mt trng thi ca i tng no lun c th bin logic biu din n lun c gi tr 1
ngc l th nhn gi tr 0. Ta nhn c trong tp hp ny hai hng s 0 v 1.
PT
A

Hnh 1-1. th Venn m t ba php tnh c bn

th hin cc hm logic bng mch in ngi ta s dng cc cng logic. Cc cng


logic c xy dng da trn cu hnh mch chuyn bit c gi l h mch logic in hnh
l : Mch logic in tr - Transistor (RTL), Mch logic it Transistor (DTL), Mch logic
Transistor Transistor (TTL), CMOS
Trong chng ny s trnh by cc k hiu cng logic ch yu v c dng ph bin
hin nay.
1.1 I S BOOLE
C ba loi quan h logic c bn nht l: O, HOC, V. Mch in thc hin ba
php ton c bn l cng NOT, OR v AND. Ngoi ba php ton c bn trn cn c cc php
ton logic khc nh: NAND, NOR, XOR, XNOR
Cc tn hiu vo cn c gi l cc bin logic vo, tn hiu ra c gi l hm ra.
Trong i s logic, bin s v hm s u ch ly hai gi tr l 0 v 1. Mi bin s biu th

1
mt iu kin s kin c th pht sinh. iu kin ch c th c hoc khng. Hm s
biu th bn thn s kin c pht sinh hay khng pht sinh.
1.1.1. Cc nh l c bn.
V trong i s logic ch c th c hai hng s 0 v 1 nn cc bin logic cng ch ly
mt trong hai gi tr . Do , xut hin cc nh l c bn sau:

STT Tn gi Dng tch Dng tng

1 ng nht A.1 = A A+0=A

2 Phn t 0, 1 A.0 = 0 A+1=1

3 B A.A 0 A A 1

4 Bt bin A.A = A A+A=A

5 Hp th A + A.B = A A.(A + B) = A

6 Hon nguyn
AA

7 nh l
DeMorgan
IT
A.B.C... A B C... A B C ... A.B.C...

Bng 1.1. Mt s nh l c bn trong i s Boole


PT
1.1.2 Cc nh lut c bn:
+ Hon v: A.B = B.A, A+B = B+A
+ Kt hp: A.(B.C)=(A.B).C, A+(B+C)=(A+B)+C
+ Phn phi: A.(B+C)=A.B+A.C; (A+B).(A+C)=A+B.C
+ Nht qun: nu A + B = B th A.B = A
1.1.3. Ba quy tc v ng thc :
1.1.3.1. Quy tc thay th:
Trong bt k ng thc logic no nu mun thay mt bin no bng mt hm s th
ng thc vn c thit lp.
Quy tc ny c ng dng rt ln trong vic bin i cng thc bit to ra cng
thc mi, m rng phm vi ng dng ca cng thc bit.

V d: Ta c cng thc A B A .B . Dng F = A+C thay vo bin A, ta c:

(A C) B A C.B A.C.B hay A B C A .B. C

2
1.1.3.2. Quy tc tm o ca hm s:
Php o ca hm s c thc hin bng cch i du nhn thnh du cng v ngc
li; i 0 thnh 1 v ngc li; i bin nguyn thnh bin o v ngc li. Ngoi ra, nhng
du o no ca hm nhiu bin vn phi gi nguyn, v tun th theo quy tc i nhn
trc, cng sau .

V d: F A.B.C D.E hm o tng ng l F A B C D E

1.1.3.3. Quy tc i ngu:


Hm F v F l i ngu vi nhau khi cc du cng v du nhn; s 0 v s 1 i ch
cho nhau mt cch tng ng.
V d: F = A . (B + C) th F = A + B . C
Do quy tc i ngu nn cc nh l c bn c th vit di 2 dng i ngu nhau l:
dng tch v dng tng.
1.2 CC PHNG PHP BIU DIN HM BOOLE
Nh ni trn, hm logic c th hin bng nhng biu thc i s nh cc mn
ton hc khc. y l phng php tng qut nht biu din hm logic. Ngoi ra, mt s

1.2.1 Bng trng thi


IT
phng php khc cng c dng biu din loi hm ny. Mi phng php u c u
im v ng dng ring ca n. Di y l ni dung ca mt s phng php thng dng.

Bng trng thi lit k gi tr (trng thi) mi bin theo tng ct v gi tr hm theo
mt ct ring (thng l bn phi bng). Bng trng thi cn c gi l bng s tht hay
PT
bng chn l.
i vi hm n bin s c 2n t hp c lp. Cc t hp ny c k hiu bng ch mi,
vi i = 0 n 2n -1 (xem bng 1-2) v c tn gi l cc hng tch hay cn gi l minterm.

m A B C f
m0 0 0 0 0
m1 0 0 1 1
m2 0 1 0 1
m3 0 1 1 0
m4 1 0 0 1
m5 1 0 1 0
m6 1 1 0 0
m7 1 1 1 1
Bng 1-2. Bng trng thi hm 3 bin

c im ca bng trng thi:

3
+ R rng, trc quan. Sau khi xc nh cc gi tr bin vo c th tm c gi tr u ra
nh bng trng thi. Do vy, trong cc s tay tra cu u gii thiu bng trng thi c gi
bit c chc nng logic ca mch.
+ gii quyt bi ton dng logic th s dng bng trng thi l hu ch nht. Do
vy, trong qu trnh thit k mch s vic u tin nn lm l lp bng trng thi.
Nhc im ch yu ca bng trng thi l s phc tp nu s bin qu nhiu, khng
th dng cc cng thc v nh l tnh ton.
1.2.2 Phng php i s
C 2 dng biu din l dng tuyn (tng cc tch) v dng hi (tch cc tng).
+ Dng tuyn: Mi s hng ca tng c gi l mt hng tch hay minterm ( bin),
v thng k hiu bng ch "mi" (ch s i c tnh trong h thp phn).
+ Dng hi: Mi tha s l hng tng hay maxterm ( bin), thng c k hiu bng
ch "Mi". Nu trong tt c mi hng tch hay hng tng c mt cc bin, th dng tng cc
tch hay tch cc tng tng ng c gi l dng chun. Dng chun l duy nht.
Bng 1-3 l cc mi v Mi ca hm 2 bin v 3 bin.

0
Bin

0
Minterm
(mi)

A B m0
IT
Maxterm
(Mi)

A B M1
A

0
Bin

B C

0 0
Minterm
(mi)

A B C m0
Maxterm
(Mi)

A B C M0
PT
0 1 0 0 1
A B m1 A B M1 A B C m1 A B C M1

1 0 A B m2 A B M2 0 1 0 A B C m2 A B C M2

1 1 A B = m3 A B M3 0 1 1 A B C M3
A B C m3

a) 1 0 0 A B C m4 A B C M4

1 0 1
A B C m5 A B C M5

1 1 0
A B C m6 A B C M6

b) 1 1 1 A B C m7 A B C M7

Bng 1-3. Cu trc ca minterm v Maxterm 3 bin

Tng qut, hm logic n bin c th biu din ch bng mt dng tng cc tch:

4
2 n 1
f X n 1,..., X0 a i mi (1.1)
i0

hoc bng ch mt dng tch cc tng:


2n 1
f X n 1,..., X0 a i Mi (1.2)
i 0

y, ai ch ly hai gi tr 0 hoc 1. i vi mt hm th minterm v maxterm l b ca


nhau.V d:
a) Biu din hm sau theo dng minterm:
F(A, B, C) A BC y l dng minterm khng y . Mun a v dng chun
tc ( bin) ta s dng mt s nh l nu bin i.

F(A, B,C) A BC A (B B)(C C) (A A)BC


ABC ABC A BC A BC A BC A BC
ABC ABC A BC A BC A BC
y l dng chun minterm.
IT
Tuy nhin, biu din ny kh di nn mi mt hng tch c thay th bng k hiu mi
tng ng (xem bng 1-3). Lu , nguyn bin (bin khng o) c thay bng s 12 v
o bin c thay bng s 02. Nh vy, biu thc c dng:
PT
F(A, B,C) ABC ABC A BC A BC A BC

1112 1102 1 0 12 1 0 0 2 0 112
710 610 510 410 310
F(A, B,C) m 7 m 6 m 5 m 4 m 3 (3, 4,5,6, 7)

b) Biu din hm sau theo dng Maxterm:


F(A, B, C) A BC (A B)(A C) y l dng Maxterm khng y . Mun
a v dng chun ( bin) ta s dng mt s nh l nu bin i.

F(A, B,C) A BC (A B)(A C) (A B CC)(A C BB)


(A B C) (A B C)(A C B)(A C B)
(A B C) (A B C)(A B C)
Ging nh minterm, ngi ta cng biu din hm logic theo k hiu Mi. Trong
nguyn bin c thay th bng s 02 v o bin thay bng s 1 2. Do , ta vit biu
thc thnh dng sau:

5
F(A, B,C) (A B C)(A B C)(A B C)

(0 0 0) 2 (0 0 1) 2 (0 1 0) 2
010 110 210
F(A, B,C) M 0 .M1.M 2 (0,1, 2)

Nhn xt:
i vi dng minterm: mi c gi l s hng nh nht. S hng nh nht c cc tnh
cht sau:
+ u bao gm tt c cc bin ca hm trong mt tha s; mi bin s ch xut hin mt
ln di dng tha s hoc l nguyn bin hoc l o bin.
+ tch ca hai s hng nh nht bt k lun bng 0
+ tng ca tt c cc s hng nh nht lun bng 1
i vi dng Maxterm: Mi c gi l tha s ln nht. Tha s ln nht c cc tnh
cht sau:

IT
+ u bao gm tt c cc bin ca hm;
+ mi bin s ch xut hin mt ln di dng tng ca tha s hoc l nguyn bin
hoc l o bin.
+ tng ca hai tha s ln nht bt k lun bng 1
PT
+ tch ca tt c cc tha s lun bng 0
u im ca phng php i s:
+ Dng cc k hiu logic biu din mi quan h logic gia cc bin lm cho cch vit
gn, cch vit ny c tnh khi qut v tru tng cao.
+ Rt tin s dng cc cng thc v nh l ca i s Boole bin i.
+ Tin cho vic s dng s logic thc hin hm s. Ch dng cc k hiu logic
ca mch in cng tng ng thay th php ton xt trong biu thc hm s th ta c mt
s logic.
Nhc im chnh ca phng php ny l kh xc nh gi tr hm ng vi t hp bin
mt cch trc tip i vi cc hm phc tp (khng trc quan nh bng trng thi).
1.2.3 Phng php bng Cc n (bng Karnaugh hay phng php hnh hc.)
T chc ca bng Cc n:
Mt hm logic c n bin s c 2n (mi tng ng vi mt minterm mi ca hm). Cc
t hp bin phi xp theo th t m Gray ngha l cc hng tch trong hai k cn ch khc
nhau mt bin. Cc t hp bin c vit theo mt dng (thng l pha trn) v mt ct
(thng l bn tri).

6
Tnh tun hon ca bng Cc n:
Khng nhng cc k cn khc nhau mt bin m cc u dng v cui dng, u ct
v cui ct cng ch khc nhau mt bin (k c 4 gc vung ca bng) nn cc ny cng gi
l k cn.
Cch ghi gi tr hm trn bng Ccn: Mun thit lp bng Cc n ca mt hm cho
di dng chun tng cc tch (minterm), ta ch vic ghi gi tr 1 vo cc ng vi hng tch
c mt trong biu din (ng vi a i = 1), cc cn li s ly gi tr 0 hoc c b trng. Nu
hm cho di dng tch cc tng (Maxterm), cch lm cng tng t, cc ng vi hng tng
c trong biu din li ly gi tr 0 (ng vi a i = 0), v cc khc ly gi tr 1.
Cu to bng Cc n cho hm 3 bin, 4 bin v 5 bin c cho ti bng 1-4.
CD
AB 00 01 11 10
m0 m1 m3 m2
00
A B C D A B C D A B C D A B CD

m4 m5 m7 m6
01
A B C D A B C D A BCD A B C D

m0 m1 m3
A BC A BC A BC A BC
m4 m5 m7 m6
A BC A BC A BC A BC
IT m2 11

10
m12
A BCD
m8
A B
m13
C
m9
D A B C D
m15m14
A BCD
m11
A BCD A BCD A BCD A BCD
m10
PT
CDE
AB 000 001 011 010 110 111 101 100
m0 m1 m3 m2 m6 m7 m5 m4
00
A B C D E A B C D E A B C D E A B C D E A B C D E A B CDE A B C D E A B C D E

m8 m9 m11 m10 m14 m15 m13 m12


01
A B C D E A B C D E A B C DE A B C D E A B C D E A B C D E A B C D E A B C D E

m 24 m 25 m 27 m 26 m30 m31 m 29 m 28
11
A B C D E A B C D E A B C D E A B C D E A B C D E A B C DE A B C D E A B C D E
m16 m17 m19 m18 m 22 m 23 m 21 m 20
10
A BCD E A BCD E A BCD E A BCD E A BCD E A BCD E A BCD E A BCD E

Bng 1-4. Bng Cc n cho hm 3, 4, 5 bin

V d: Xy dng bng Cc n cho hm logic sau:


F(A, B, C, D) = (0, 1, 5, 7, 10, 14, 15)

7
CD
AB 00 01 11 10
00
1 1 0 0

01 0 1 1 0

11 0 0 1 1

0 0 0 1
10

Bng 1-5. Bng Cc n

u im ni bt nht ca bng Cc n l tnh k nhau v logic ca cc s hng nh nht


(minterm), n biu th r rng thnh s lin k hnh hc ca cc trong bng. Do vy, rt d
dng ti thiu ha hm.
Nhc im l do c qu nhiu nn trong trng hp nhiu bit vic t chc bng rt
phc tp. Do , ch nn dng bng Cc n cho trng hp hm logic c s bin nh hn 6.

IT
1.3. CC PHNG PHP TI THIU HA (RT GN HM )
Trong thc t, khi vit mt hm logic di dng no , th dng c c khng phi l
dng duy nht. Thng thng nu biu thc cng n gin th mch in cng n gin. Khi
thit k mch phi m bo sao cho cc phn t trong mch phi ti thiu nht tit kim
chi ph, do vy, ngi thit k phi s dng cc phng php ti thiu ha hm logic.
PT
C ba phng php ti thiu ho. Nu s bin s tng i t (n 6) khi dng
phng php hnh v, phng php ny dng bng Ccn. Nu s bin tng i nhiu dng
phng php i s hoc dng phng php Mc. Quine Cluskey.
1.3.1. Phng php i s
Da vo cc nh l hc a biu thc v dng ti gin.
V d: Hy a hm logic v dng ti gin:

f AB AC BC
Li gii:

p dng nh l, A A 1 , A A.B A ta c:

f AB AC BC A A
AB ABC AC ABC
AB AC
Nhn xt:

8
T v d trn ta thy: nu trong tng cc tch, xut hin mt bin v o ca bin
trong hai s hng khc nhau, cc tha s cn li trong hai s hng to thnh tha s ca
mt s hng th ba th s hng th ba l tha v c th b i.
1.3.2 Phng php bng Cc n
Phng php ny thng c dng rt gn cc hm c s bin khng vt qu 5.
Cc bc ti thiu ha:
i vi minterm:
1. Gp cc k cn c gi tr 1 (hoc 0) li thnh tng nhm 2, 4, ...., 2i . S
trong mi nhm cng ln kt qu thu c cng ti gin tc l nu gp c 2n th ta ti
gin c n bin. Mt c th c gp nhiu ln trong cc nhm khc nhau. Nu gp theo
cc c gi tr 0 ta s thu c biu thc b ca hm.
2. Thay mi nhm bng mt hng tch mi, trong gi li cc bin ging nhau theo
dng v ct.
3. Cng cc hng tch mi li, ta c hm ti gin.
i vi Maxterm:

IT
1. Gp cc k cn c gi tr 0 (hoc 1) li thnh tng nhm 2, 4, ...., 2i . S
trong mi nhm cng ln kt qu thu c cng ti gin. Mt c th c gp nhiu ln
trong cc nhm khc nhau. Nu gp theo cc c gi tr 1 ta s thu c biu thc b ca
hm.
2. Thay mi nhm bng mt hng tng mi, trong gi li cc bin ging nhau theo
PT
dng v ct.
3. Nhn cc hng tng mi li, ta c hm ti gin.

V d: Hy dng bng Cc n ti gin hm : f A, B,C 0, 1, 3, 4, 5


Li gii:

BC
A 00 01 11 10

0 1 1 1 0 AC

1 1 1 0 0
B

Bng 1-6. Bng Cc n

+ Xy dng bng Cc n tng ng vi hm cho.


Rt gn theo minterm

9
+ Gp cc c gi tr 1 k cn li vi nhau thnh hai nhm (bng 1-6)

Li gii phi tm : f (A, B, C) B AC

Nu gp cc c gi tr 0 li theo hai nhm, ta thu c biu thc hm b f :

f (A,B,C) AB BC
Rt gn theo Maxterm

B C

A B

Bng 1-7. Bng Cc n


IT

f (A, B, C) A B B C B A C

Nu gp cc c gi tr 1 li theo hai nhm, ta thu c biu thc hm b f :

f (A,B, C) B( A C)
PT
Bng 1-8 trnh by mt s cch gp v gi tr ca hm theo minterm.

a) B.D b) A.B

c) B.D d) B

10
f) A.B A.B
e) C
Bng 1-8. Bng Ccn c 2n c gp.

Mt s vn cn lu khi tin hnh rt gn bng bng Cc n:


- Vng gp cng to cng tt v s bin c rt gn cng nhiu.
- Mi vng gp bao gp t nht mt s hng nh nht minterm (hoc mt tha s ln
nht - Maxterm) khng c trong vng khc. Vng no bao gm cc s hng c trong cc
vng khc th vng l vng tha. Tuy nhin, mt s hng c th c mt trong nhiu vng
khc nhau.
- Phi khoanh vng sao cho ton b s hng nh nht - minterm (hoc mt tha s ln

IT
nht - Maxterm) ca hm s u nm trong cc vng, khng c st.
V d: Hy dng bng Cc n ti gin hm :

f A,B,C 1, 4, 5,6,8,12,13,15

Li gii:
PT
Lp bng Cc n bng 1-9. Ta thy vng (m4 + m5 + m12 + m13) l ln nht nhng cc
vng khc u cha m4, m5 , m12 , m13 nn vng ny l vng tha.
CD
AB 00 01 11 10

00 0 1 0 0

01 1 1 0 1

11
1 1 1 0

10 1 0 0 0

Sau khi rt gn ta c biu thc hm nh sau:

f (A,B,C,D) A C D A BD A C D ABD

11
Khi nim hm ty chn
Trn thc t, tn ti mt s t hp bin c gi tr khng nh hng n kt qu ca hm.
V d: s BCD l s m ha 10 k hiu thp phn thnh nh phn 4 bit. Vi 4 bit nh phn ta
c th biu din c m Hexa, nhng cc k hiu A16(1010), B16(1011), C16(1100),
D16(1101), E16(1110), E16(1111) li khng ph hp vi m BCD.
Do vy, khi lp bng Cc n khng quan tm n cc gi tr ny. Su gi tr ny c
gi l cc trng thi ty chn (dont care). Cc trng thi ny c th c gi tr 1 hoc 0, ty
thuc vo mc ch ngi s dng v thng thng chng c k hiu bng ch x .
Khi tin hnh ti thiu bng bng Cc n: ty theo yu cu, c th ty khoanh vng
qua iu kin ty chn hm ti gin hn.
T , c th vit dng tng qut ca hm logic nh sau:
Dng chun minterm:

f A, B, C m i d
mj ; (1.3)

d l k hiu ca iu kin ty chn;


Dng chun Maxterm:

d
IT
F(A,B,C...) M i d M j

l k hiu ca iu kin ty chn;

V d: Ti thiu ha hm F(A,B,C,D) = (0,1,2,3,6,8) + d(10,11,12,13,14,15)


(1.4)
PT
Lp bng Cc n 1-10.
T , tm c hm ti gin sau:

F(A,B,C,D) A.B A.D C.D

Bng 1-10. Bng Cc n tm hm F

1.4 CNG LOGIC V CC THAM S CHNH


Cng logic c s l mch in thc hin ba php tnh c bn trong i s logic, vy s
c ba loi cng logic c s l AND, OR v NOT.

12
1.4.1 Cng logic c bn
1.4.1.1 Cng AND
Cng AND thc hin hm logic

f f A, B A.B AB (1.5)

hoc nhiu bin:

f A, B,C, D,... A.B.C.D... ABCD... (1.6)

Hnh 1-2. K hiu cng AND - theo tiu chun ANSI (American National Standards
Institude), Vin tiu chun Quc gia Hoa k

0
0
1
B
0
1
0
F = AB
0
0
0
IT
Bng trng thi 1-11a, b l nguyn l hot ng ca cng AND (2 u vo).

A A
L
L
H
B
L
H
L
F= AB
L
L
L
PT
1 1 1 H H H
a) Ghi theo gi tr logic b) Ghi theo mc logic

Bng 1-11a,b. Bng trng thi m t hot ng ca cng AND 2 u vo.

Cc cng logic c thc hin bng cc cu kin bn dn nh: diode, transistor,


FET,.... cc phn t ny ng m c, tn hiu tc ng ti u vo ca chng phi c
mt mc in p tha mn trong mt di gi tr no y. Trong trng hp ny, chnh xc
hn ta thay cc gi tr logic bng cc mc in p tng ng hay cn gi l mc logic.
Theo qui c, logic 1 c thay bng mc in th cao, vit tt l H (High) cn logic
0 c thay bng mc in th thp, vit tt l L (Low) (bng 1-11b). Cng AND c n u
vo s c 2n hng tch (dng) trong bng trng thi.
Thng qua nh l DeMorgan ta c th bin i hm ra ca cng NAND tm s
tng ng gia cng NAND v cng OR

f A.B A B (1.7)
hoc i vi cng nhiu u vo

f A.B.C.D... A B C D ... (1.8)


13
Ni cch khc, nu tc ng ti cc u vo mt cng OR logic m th hm ra ca n
trng vi hm ra ca cng NAND. Hnh 1-3 trnh by s tng ng trn.

AB
A B

Hnh 1-3. S tng ng gia cng NAND v cng OR logic m

1.4.1.2. Cng OR
Cng OR thc hin hm logic:

f A, B A B (1.9)

hoc vi hm nhiu bin:

f A, B, C, D... A B C D ... (1.10)

K hiu ca cng OR c biu din hnh 1-4.

IT
PT
Hnh 1-4. K hiu ca cng OR theo tiu chun ANSI

Tng t nh cng AND, nguyn l hot ng ca cng OR c th c gii thch


thng qua bng trng thi (Bng 1-12a, b).
Mt cng OR c n u vo s c 2n hng tch trong bng trng thi ca n.

A B f A B f

0 0 0 L L L
0 1 1 L H H
1 0 1 H L H
1 1 1 H H H

a) Theo gi tr logic b) Theo mc in th

Bng 1-12 a, b. Bng trng thi ca cng OR.

14
Cng trn s , nh l DeMorgan c th tm c mi quan h gia cng NOR v
cng AND.

f A B A.B (1.11)
Khi tc ng ti u vo cng AND logic m, th hm ra ca n tng ng vi hm
ra ca cng NOR vi logic dng.
Hnh 1-5 m t s tng ng trnh by trn y:

A
AB AB

Hnh 1-5. S tng ng gia cng NOR v cng AND

1.4.1.3. Cng NOT


Cng NOT thc hin hm logic:

f A (1.12)
K hiu ca cng NOT c ch ra trn hnh 1-6.

IT A
A A

A
PT
Hnh 1-6. K hiu ca cng NOT theo tiu chun ANSI.

Hnh 1-7. Nguyn l hot ng ca cng NOT

Hot ng ca cng NOT kh n gin, nu u vo: A 0 th A 1 , nu A 1 th


A0
Hot ng ca cng NOT c tm tt bng 1-13a, b.

A f A f
0 1 L H
1 0 H L
a) Theo gi tr logic b) Theo mc logic
Bng 1-13a, b. Bng trng thi ca cng NOT.

15
1.4.2. Logic dng v logic m
i vi cng NOT kho st phn trn, vic o tn hiu trc hay sau l nh nhau:
Du trn u vo hoc u ra ca cng ch ra l gi tr tc ng c tch cc thp. Khi
khng c du trn ny mc logic tng ng s l tch cc cao hay cn gi l logic dng.
Logic dng l logic c in th mc 1 lun ln hn in th mc 0.
Logic m l o ca logic dng. Trong logic dng mc 1 c in th cao hn mc 0.
i vi logic m, ngc li mc 0 c in th cao hn mc 1.
1.4.3. Mt s cng ghp thng dng
Khi ghp ba loi cng logic c bn nht s thu c cc mch logic t n gin n
phc tp. y, ch xt mt vi mch ghp n gin nhng rt thng dng.
1.4.3.1. Cng NAND
Ghp ni tip mt cng AND vi mt cng NOT ta c cng NAND (Hnh 1-8).

A.B

IT
Hnh 1-8. S cu to cng NAND

Hm ra ca cng NAND 2 v nhiu bin vo nh sau:

f A.B AB
f A.B.C.D... ABCD...
(1.13)
PT
K hiu cng NAND (hnh 1-9) v bng trng thi (bng 3-4).

Hnh 1-9. K hiu ca cng NAND theo tiu chun ANSI

A B F A B F
0 0 1 L L H
0 1 1 L H H
1 0 1 H L H
1 1 0 H H L
Bng 1-14a,b. Bng trng thi ca cng NAND

1.4.3.2 Cng NOR


Cng NOR c thit lp bng cch ni tip mt cng OR vi mt cng NOT.

16
T hnh 1-10, c th vit c hm ra ca cng NOR 2 v nhiu u vo nh sau:

f A B hay f A B C ... (1.14)

AB

Hnh 1-10. S cu to cng NOR

K hiu ca cng NOR 2 u vo nh ch hnh 1-11.

AB

Hnh 1-11. S cu to cng NOR theo tiu chun ANSI.

Hot ng ca cng NOR c gii thch bng bng trng thi nh ch bng 1-15a,b.

A B f A B f
0 0 1 L L H

1.4.3.3 Cng XOR


0
1
1
1
0
1
IT 0
0
0
L
H
H
H
L
H
L
L
L
Bng 1-15a, b. Bng trng thi ca cng NOR 2 u vo.
PT
Cng hoc tuyt i (Exelusive OR) cn c mt s tn gi khc, cng khc du, cng
cng modun 2. y l mt loi cng ghp phc tp hn NAND v NOR. Biu thc logic u
ra ca cng l:

f A.B A.B A B (1.15)

T biu thc u ca (1.15) c mch logic ca cng XOR nh hnh 1-12.

AB
F AB ABAB

AB

Hnh 1-12 S ca cng XOR 2 u vo

Hnh 1-13. K hiu ca cng XOR 2 u vo theo tiu chun ANSI

17
Phn t hp thnh ca cng XOR gm c ba loi cng lgic c s AND, OR, NOT. K
hiu ca cng XOR 2 u vo c trnh by trn hnh 1-13.
Bng 1-16 l bng trng thi v bng chc nng ca cng XOR 2 u vo.

A B f A B f
0 0 0 L L L
0 1 1 L H H
1 0 1 H L H
1 1 0 H H L
a) Bng trng thi b) Bng chc nng

Bng 1-16. Bng trng thi v chc nng ca cng XOR

Hot ng ca cng XOR nhiu u vo cng tng t nh cng 2 u vo, ngha l s


bit 1 trn tt c cc u vo l mt s l, th hm ra c logic 1, ngc li nu cng c s bit 1
trn tt c cc u vo l mt s chn, th hm ra c logic 0. C th s dng cng XOR 2 u
vo thc hin hm XOR nhiu u vo nh hnh 1-14.

IT
Hnh 1-14. S thc hin hm XOR 3 u vo
PT
T biu thc v bng trng thi ca cng XOR c th suy ra mt s tnh cht ca hm
XOR nh sau:
1. Lut giao hon:
A B B A (1.16)
2. Lut kt hp:

(A B) C A (B C) (1.17)

3. Lut phn phi:


A(B C) A.B A.C (1.18)

4. Cc php ton ca bin v hng s:


A 1 A (1.19)
A0 A (1.20)
AA 0 (1.21)

A A 1 (1.22)

18
5. Lut i ch nhn qu
Nu A B C th
A C B v B C A (1.23)

1.4.3.4 Cng XNOR


Cng XNOR cn gi l cng khng hoc tuyt i hay cng ng du.
Cng XNOR c to thnh khi mc ni tip cng XOR v cng NOT.
Biu thc logic u ra:

f AB AB hay f A B A ~ B (1.24)

Bng 1-17. Bng trng thi v trng thi ca cng XNOR 2 u vo

A B f A B f

0 0 1 L L H

0 1 0 L H L

1
0

a) Bng trng thi


IT
0

1
H

H
L

b) Bng chc nng

K hiu ca cng XNOR 2 u vo c trnh by trn hnh 1-15.


L

H
PT
Hnh 1-15. K hiu ca cng XNOR 2 u vo theo tiu chun ANSI

Hot ng ca cng XNOR 2 u vo c m t bng trng thi 1-17.


C th xy dng XNOR nhiu u vo bng cch tng t nh xy dng XOR nhiu
u vo:
XOR v XNOR l hai loi cng c rt nhiu ng dng trong k thut s. Chng l phn
t chnh hp thnh b cng, tr, so snh hai s nh phn v.v...
1.4.4 Tnh a chc nng ca cng NAND, NOR.
Theo tnh cht ca cc cng logic c bn, mi hm logic u c th thc hin c nh
cch kt hp 3 hm c s AND, OR v NOT tc l mi cu trc mch phc tp u c th
tng hp c t cc h hm ny.
Tuy nhin, do cng ngh ch to cc cng AND, OR v NOT c nhiu im khc nhau
nn kh c th thc hin c trong cc mch tch hp s. Do vy, khc phc c nhc

19
im ny phi tm ra cng c th to ra h hm y . Cng NAND v cng NOR c th
tha mn iu kin ny.
iu ny c ngha l t cng NAND hoc cng NOR c th to ra cc cng logic c
bn khc.
Tnh a chc nng ca cng NAND:
T cng NAND c th to ra cc cng NOT, AND, OR v NOR.
to c cc cng logic ny, dng cc nh l Boole bin i.

A.A A A

A.B A.B A.B

A.B A B

B
IT
A.B A B
AB A B
PT
Hnh 1-16. Tnh a chc nng ca cng NAND

Tnh a chc nng ca cng NOR:

A A A A

A B A B A B A B

A
A B A.B

A A B A.B
A .B A. B

Hnh 1-17. Tnh a chc nng ca cng NOR

20
T hnh 1-16 v 1-17, ta c th kt lun l mi mch logic t hp c th xy dng ch t
mt loi cng c bn l cng NAND hoc cng NOR. y l mt c im quan trng trn
quan im tnh ng nht ca cng ngh ch to, do vy, gi thnh chi ph gim, tin cy
cao.

V d 1 : Cho hm logic F A B A B , hy xy dng mch v dng ton NAND.

Gii:

F A B A B A B A A A B BB A(A B) B(A B)

A AB BAB A AB BAB A AB . B AB
T biu thc bin i trn v c s logic hnh 1-18.

A A.B

A A.B B A.B F
A.B F A B

IT B A.B

Hnh 1-18. Mch logic th hin hm XOR ton NAND

V d 2 : Cho hm logic F A B A B , hy xy dng mch v dng ton NOR.

Gii:
PT
F A B A B A B A B A B BB A B A A A(A B) B(A B)

A(A B) B(A B) A (A B) B (A B)

A (A B) B (A B)

T biu thc bin i trn, v c s logic trn hnh 1-19:

AA B

A A B B A B F
A+B F AB

B A B

Hnh 1-19. Mch logic th hin hm XNOR ton NOR

21
1.5. Cc tham s chnh
1.5.1 Mc logic (Logic Levels)
Mc logic l mc in th trn u vo v u ra ca cng tng ng vi logic "1" v
logic "0", n ph thuc in th ngun nui ca cng (VCC i vi h TTL (Transistor
Transistor Logic) v VDD i vi h MOS (Metal Oxide Semiconductor)). Lu rng, nu
mc logic vo vt qu in th ngun nui c th gy h hng cho cng.

Hnh 1-20. Mc in p vo/ra ca cng TTL

Thng thng gi tr ca hai mc logic l gi tr danh nh. Thc t, gi tr ny c th

IT
bin i nh do cc thng s ca cc phn t trong mch hoc do ngun cp bin i hoc do
nhit
Mc logic l tham s quan trng ca cng, c th xc nh c trng thi logic vo/ra
bng cch dng vn k.
Thng thng cc cng TTL hot ng mc ngun nui l 5V 0,25V. L tng,
PT
TTL c mc tn hiu cao l 5V; thp l 0V. Tuy nhin, trn thc t cc cng TTL khng t
c in p l tng v n c thit k chp nhn mt di in p tng ng vi
mc H (high) v L (low). Mc L ng vi in p t 0V 0,8V v mc H ng vi in p t
2V 5V
Nu in p ca tn hiu nm trong di t 0,8V n 2V th tn hiu ra s khng chc
chn ng (c th mc H c th mc L). Do vy khi lm vic vi mch phi chc chn
rng khng khong in p ny a n u vo. (Hnh 1-20).
Hnh 1-21 m t mc in p vo/ra ca h cng CMOS.

Hnh 1-21. Mc in p vo/ra ca cng CMOS

22
Hnh 1-22. Mc in p vo/ra ca cng CMOS trong trng hp 10V v 15V

Khng ging nh TTL, mc ngun nui c t c nh l 5V, CMOS c ngun cung


cp cao hn: 15V (mt s mch c ngun l 18V). Do vy, hnh v 1-22 gii thiu mc in
p vo/ra ca CMOS ng vi trng hp ngun 10V v 15V.

IT
1.5.2. chng nhiu (Noise Immunity)
chng nhiu (hay phng v nhiu) l mc nhiu ln nht tc ng ti u vo
hoc u ra ca cng m cha lm thay i trng thi vn c ca n.
chng nhiu l tiu chun nh gi nhy ca mch logic i vi tp m xung trn
PT
u vo vi mch. chng nhiu khi u ra mc logic 0 v 1 l khc nhau.
Nu gi chng nhiu khi u ra mc logic 1 l VN_H (Noise_High) ; chng nhiu khi
u ra mc logic 0 l VN_L(Noise_Low). in p vo/ ra tng ng l VI (In); VO (Out) th c th
phn ra hai trng hp :

a) Tc ng nhiu khi mc ra trng thi thp b) Tc ng nhiu khi mc ra trng thi cao

Hnh 1-23a, b. M t tc ng nhiu n cc cng logic

+ Nhiu mc cao: trong trng hp cc cng vn hot ng bnh thng: nu u ra


cng 1 ly logic H (hnh 1-23a) th u ra cng 2 phi c logic L. Khi tnh ti tc ng ca
nhiu, ta c:

23
VO _ H min VN _ H VI _ H min
VN _ H VI _ H min VO _ H min (1.25)
VN _ H VI _ H min VON

Vi cng TTL: VN _ H 2V 2,7V 0, 7V

Vi cng CMOS: VN _ H 3,5V 4,95V 1, 45V

+ Nhiu mc thp: u ra cng 1 ly logic L (hnh 1-23b), tng t c:


VO _ Lmax VN _ L VI _ Lmax
VN _ L VI _ Lmax VO _ Lmax (1.26)
VN _ L VI _ Lmax VOFF

Vi cng TTL: VNL 0,8V 0,5V 0,3V

Vi cng CMOS: VNL 1,5V 0,05V 1, 45V

Qua s tnh ton trn c th biu din chng nhiu bng hnh v 1-24. Thy rng s

IT
khc bit gia di in p vo v ra chnh l di nhiu ca cng. V d, i vi cng TTL th
mc nhiu thp l s sai khc gia 0.8V v 0.5V bng 0.3V, trong khi mc nhiu cao l s sai
khc gia 2.7V v 2V bng 0.7V. Tng t i vi cng CMOS.
PT

Hnh 1-24. chng nhiu ca h cng TTL

1.5.3. Kh nng mc ti vo, ra (Fan in, Fan out)


H s mc ti cho bit kh nng ni c bao nhiu u vo ti u ra ca mt cng
cho m vn m bo s hot ng tin cy, m bo tc , gii hn v nhit v cc tham
s khc.
H s mc ti ph thuc dng ra (hay dng phun) ca cng chu ti v dng vo (hay
dng ht) ca cc cng ti c hai trng thi H, L.
Do hn ch bi cng sut ra ti a ca mch, s lng ti c th ni vi mch logic l
c hn.
24
C 2 cch c bn ni ti vo u ra:
t chung: Cc ti c ni vi u ra ca mch v t.
Ngun chung: Cc ti c ni vi u ra ca mch v ngun cung cp.
Hnh 1-25 m t v cch ni ti.

a) Mc ra ca cng chu ti l H b) Mc ra ca cng chu ti l L


Hnh 1-25a,b. M t v h s mc ti.

1.5.4. Cng sut (Power)


i vi cc cng logic c hai loi cng sut chnh: cng sut tiu tn v cng sut iu
khin.
Cng sut tiu tn. IT
y l tiu chun nh gi lng cng sut tiu th (tn hao) trn cc phn t trong
vi mch. Cng sut tiu hao thng c vi mW i vi mt vi mch s v l gi tr trung bnh
gia cng sut tiu tn.khi u ra mc 0, 1 (Cc cng sut ny thng khc nhau).
PT
Cng sut tiu tn cng nh cng tt v c ngha c bit quan trng trong cc thit
b xch tay hay cc thit b dng pin.
Cng sut iu khin.
Cng sut iu khin l cng sut ca tn hiu iu khin u vo sao cho mch vn
hot ng tt. Cng sut iu khin cng nh cng tt.
1.5.5. Tr truyn t (Propagation Delay)
Tr truyn t l khong thi gian u ra ca mch c p ng khi c s thay i
mc logic ca u vo.
Tr truyn t l tiu chun nh gi tc lm vic ca mch. Tc lm vic
tng ng vi tn s ln nht m mch vn hot ng ng. Do , tr truyn t cng nh
th cng tt tng ng vi tc lm vic cng ln cng tt.
Tr truyn t thng c tnh ton im 50% bin trn cc sn trc v sn
sau tng ng gia xung vao v xung ra.
C 2 loi tr truyn t: Tr xy ra khi u ra thay i t mc cao (High) xung mc
thp (Low) v ngc li.

25
Do cu to ca mch logic, tr gia hai loi chuyn bin thng khc nhau. Chng
ging nhau v mc v gn nhau v gi tr nhng khng tng ng.
rng sn trc tPHL v rng sn sau tPLH l khong thi gian bin xung
thay i trong khong t 10% n 90% gi tr bin cc i.
i vi hu ht cc loi vi mch s ngy nay tr truyn t l rt nh. Tr truyn t c
th nh c 1ns. Mt vi loi mch logic c thi gian tr ln c vi trm nano giy. rng
sn trc v sn sau thng nh hn thi gian tr.
Tr truyn t trung bnh c tnh theo cng thc:

t PHL t PLH
t pd (1.27)
2
Khi mc ni tip nhiu cng logic th tr truyn t ca ton mch s bng tng tr
truyn t ca mi cng.
1.6. MT S LU KHI S DNG IC S
1.6.1. S chn v k hiu trn thn IC.
Vi h TTL:

IT
LS: tc cao dng diode Schottky.
AS: tc siu cao dng diode Schottky.
ALS: tc cao, cng sut thp.
F: tc siu cao, thi gian tr cc nh.
PT
Vi h CMOS:
HC: tc cao (gp 10 ln h LS).
ACL kh nng chng nhiu tt, tc nhp cao, tr nh.
AHC: tc cc cao (gp 3 ln HC), cng sut, dng tiu th nh.

26
1.6.2. Mt s c im ca IC h TTL v CMOS.
i vi IC h TTL
+ Tt c cc u vo ca cng TTL h s hot ng nh mc logic 1 (do diode base-
emitter ca transistor ca mch vo khng c phn cc thun). Trng hp ny gi l th
ni u vo.
+ Khi khng s dng mt u vo no ca cng th phi ni n vi t hoc dng
ngun sao cho chc nng ca cng khng b thay i.
+ Khng c ni trc tip hai u ra ca hai cng TTL vi nhau. Trong trng hp
ny phi s dng cng collector h -OC (Open Collector).
i vi IC h CMOS.
+ Khng c php th ni cc u vo khng c s dng n m phi ni chng vi
t hoc dng ngun hoc u khc sao cho chc nng ca cng khng b thay i.
+ in tr u vo cao gy nn hin tng tch t ht tnh in, dn n pht sinh in
th c th ln nh thng lp in mi mng gia cc G v knh dn. Do vy ngi ta
ch to li diode in tr u vo nhm bo v transistor.

X l cng tha:
IT
+ in tr u ra thng nh nn tc chuyn mch tng i nhanh.
1.6.3. X l cng tha, li vo tha.

Ni cc li vo ca cng tha vi t hoc dng ngun sao cho li ra c mc logic


bng 1. V lc ny cng sut tiu th ca cng t gi tr nh nht.
PT
X l li vo tha.
Ni cc li vo tha vi t hoc dng ngun sao cho tnh cht ca cng khng b thay
i hoc c th ni chn tha vi mt trong cc chn ang s dng.
TM TT
Php ton logic ca Leibniz c George Boole b sung v thc hin trong th k 19 v
c gi l i s Boole. Hin nay i s Boole c gi tr k thut trong nhiu lnh vc nh:
+ Cc mch iu khin in t;
+ Cc mch s in t;
+ iu khin c kh;
+ Thit b thy lc...
Shanon chng minh rng i s Boole ph hp gii quyt cc vn k thut
mch. T , c th xy dng c cc hm logic c bn, lm tin cho vic thit k cc vi
mch c ln.
i vi bt k mch thit k logic no iu cn bn l phi thit k mt sn phm p
ng cc yu cu: gi thp nht, yu cu khng gian thp nht, tc hot ng ti a, cc linh

27
kin c sn, d dng kt ni cc linh kin, d dng thit k. t c cc yu cu cn
phi c mt vi cng c ti thiu ha cc biu thc logic. Mt s phng php c s
dng ph bin l phng php i s Boole, bng Cc n.
ng thi chng ny trnh by cu trc, nguyn l v c im ca cng thng
dng. Xut pht t thc t mch in vi mch ho, nn trng tm ch nghin cu ca
chng ta l cc cng c vi mch ho.
C 2 loi vi mch s ph bin nht : TTL v MOS. TTL l cng ngh in hnh trong
nhm cng ngh transistor bao gm TTL, HTL, ECL, MOS l cng ngh vi mch s dng
MOSFET, trong in hnh l MOS
CU HI N TP
1. Chuyn cc hm logic sau sang dng chun ca minterm?

a) A.B.(CD A.B)

b) A.(B A.C).(A B.C)

c) A.B.A.C.A.D B.C.B.C C.D

d) (A B).(B C).(C D)IT


2. Chuyn cc hm logic sau sang dng chun ca maxterm?

a) A.B.(CD A.B)
PT
b) A.(B A.C).(A B.C)

c) A.B.A.C.A.D B.C.B.C C.D

d) (A B).(B C).(C D)

3. Rt gn hm sau theo phng php dng bng Ccn?


a) F (A, B, C, D) = (1, 4, 6, 9, 10, 11, 14, 15).
b) F (A, B, C, D) = (3, 7, 8, 9, 10, 12).
4. Rt gn hm sau theo phng php dng bng Ccn?
a) F (A, B, C, D) = (3, 6, 8, 9, 11, 12)+ d (0, 1, 2, 13, 14, 15).
b) F (A, B, C, D) = (0, 1, 4, 9, 12, 13) + d (2, 3, 6, 10, 11, 14).
5. Rt gn biu thc sau bng phng php i s:

a) A B BD CDE D A

b) ( A B C)(A B C)(A B C)(A B C)

28
6. Rt gn hm sau theo phng php i s?

a) C D C D . A C D

b) A BC . A B BC C A

7. Phn tch ngha ca vic ti u ho mch in ca cc h cng logic? Cho v d


minh ho?
8. Chng minh cc ng thc:

a. A B A B AB

b. AB (A B C) = ABC
c. A B C = A B C
9. Rt gn:

a) ABAB

b) A B A B

c) A B A B

d) A + AB
10. Chng minh ng thc
IT
PT
a) A (B C) = A.B.C A.B.C

b) A B A B

c) A B A B

d) A B A B
11. Chng minh ng thc

a) A BC A BC ABC ABC = AB + AC + BC

b) AB A C BC = AB A C

12. Rt gn

a) AB BCD A C BC

b) C D C D . A C D

c) A BC . A B BC C A

29
d) A C AB BC BCD E

13. Rt gn biu thc sau bng phng php i s:


a) AB(A B C)

b) A B AB A B AB

c) A B AC BC B C D B C E B C F

14. Th hin hm sau bng mch cng NAND 2 li vo?

F A, B, C, D, E A.B C D.E

15. Th hin hm sau bng mch cng NOR 2 li vo?

F A, B, C, D, E (A B).C D E

16. Xy dng bng trng thi cho hm sau?

F A, B, C, D, E A.B C.D.E

17. Cho hm 3 bin :


IT
F1 A, B, C A.B.C A.B.C A.B.C A.B.C
F2 A, B, C A.B.C A.B.C A.B.C A.B.C
PT
a) Lp bng trng thi v bng Ccn cho tng hm?
b) Tm mi quan h nu c gia hai hm?

c) Xc nh hm F(A, B,C) F1.F2 F1.F2

18. Cho hm logic sau:


F (A, B, C, D) = (0, 3, 5, 6, 9, 10, 12, 15)
a) Lp bng Ccn v rt gn hm F?

b) Lp bng Ccn v rt gn hm F ?
19. Cho hm logic sau:

F A, B, C, D = (A B).(C D)

a) a hm F v dng chun tc
b) Lp bng Ccn v rt gn hm F?

c) Lp bng Ccn v rt gn hm F ?
20. Cho mch in nh hnh v:

30
a) Thit lp bng trng thi m t hot ng ca mch?
b) V th dng xung ti u ra khi dng xung vo cho tu chn?
21. Cho hm logic:
f (A, B, C, D) = (0, 2, 5, 6, 7, 8, 10, 13, 15)
a) Vit biu thc ti gin ca hm
b) Thc hin hm bng 1 mch ti u ton NOR 2 li vo
22. Hy chng minh tnh cht sau ca hm XOR:
a) A B B A
b) (A B) C A (B C)
c) A(B C) A.B A.C

23. + Hy chng minh:


IT
d) Nu A B C th A C B v B C A

F (A B)(C D) A.B A.B C.D C.D


PT
+ Hy v s logic ca hm F A B C D

24. Cho hnh v sau

a) Vit biu thc hm ra F.


b) Xy dng bng trng thi.
c) Ti u ha mch.
25. Cho hm logic F = A.B + B.C + A.C
a) Vit li biu thc F theo cu trc ton NAND.
b) Vit li biu thc F theo cu trc ton NOR.
c) V mch logic hm F theo cu trc ton NAND v ton NOR.

31
26. Vit biu thc hm ra F ca mch in sau v lp bng trng thi tng ng:
A
B

E F
C

27. Cho hnh v sau:

a) Vit biu thc hm F.


b) Lp bng trng thi. IT
c) Ti u mch v dng ton NAND.
PT

32
CHNG 2: MCH LOGIC T HP

GII THIU CHUNG


Cc hm logic c thc hin nh cc h vt l gi l cc h logic hay l cc mch
logic. Chng 2 cp n cc mch logic t hp, tc l cc mch m tn hiu u ra ch
ph thuc vo tn hiu u vo ca mch ti thi im ang xt. Ni cch khc, cc tn hiu
ra khng ph thuc vo "lch s " ca tn hiu vo trc , ngha l cc h ny lm vic theo
nguyn tc khng c nh. Hot ng ca cc mch t hp c m t bng cc bng trng
thi hoc bng cc hm chuyn mch logic c trng cho quan h gia cc i lng vo v
ra ca h thng. V mt cu trc, cc mch t hp khng cha mt thit b hoc mt phn t
nh thng tin no c.
Trong chng ny cp n cc mch in c th thc hin cc chc nng khc nhau
ca h thng s. Cc mch in ny c thit k da trn cc cng logic t hp. Cc cng
logic ny c tch hp trong mt IC c va (MSI) c cha khong vi chc ti vi trm cc
cc cng logic c s c xt n chng 1. Nhng linh kin ny c ch to nhm
thc hin mt s cc hot ng thu nhn, truyn ti, bin i cc d liu thng qua tn hiu

gin.
IT
nh phn, x l chng theo mt phng thc no .
Phn u ca chng gii thiu cch phn tch v thit k cc mch logic t hp n

Phn tip theo gii thiu mt s mch t hp thng dng trong cc h thng s:
PT
- M ho v gii m cc lung d liu nh phn.
- Hp knh v phn knh chn hoc chia tch cc lung s nh phn theo nhng yu
cu nht nh nh tuyn cho chng trong vic truyn dn thng tin,
- Cc mch cng, tr.
- Cc php so snh s nh gi nh tnh v nh lng trng s ca cc s nh phn.
- Mch to v kim tra tnh chn l, mch to v gii m Hamming.
2.1 KHI NIM CHUNG
Cn c vo c im v chc nng logic, cc mch s c chia thnh 2 loi chnh:
mch t hp v mch tun t (mch tun t c trnh by chng sau).
2.1.1. c im c bn ca mch t hp
Trong mch s, mch t hp l mch m tr s n nh ca tn hiu u ra thi im
ang xt ch ph thuc vo t hp cc gi tr tn hiu u vo. c im cu trc mch t hp
l c cu trc nn t cc cng logic.

33
2.1.2. Phng php biu din chc nng logic
Cc phng php thng dng biu din chc nng logic ca mch t hp l hm s
logic, bng trng thi, s dng logic, bng Cac n (Karnaugh), cng c khi biu th bng
th thi gian dng xung.
i vi vi mch c nh (SSI) thng biu din bng hm logic. i vi vi mch c va
(MSI) thng biu din bng bng trng thi.
2.2 PHN TCH MCH LOGIC T HP
Phn tch mch logic t hp l nh gi, ph phn mt mch . Trn c s , c th
rt gn, chuyn i dng thc hin ca mch in c c li gii ti u theo mt ngha
no y.
Mch t hp c th bao gm hai hay nhiu tng, mc phc tp ca ca mch cng
rt khc nhau.
Nu mch n gin th tin hnh lp bng trng thi, vit biu thc, rt gn, ti u (nu
cn) v cui cng v li mch in.
Nu mch phc tp th tin hnh phn on mch vit biu thc, sau rt gn, ti
u (nu cn) v cui cng v li mch in.
IT
V d: Phn tch mch logic sau v ti u mch:
PT

Hnh 2. 1. S mch logic

Vit biu thc hm v thc hin rt gn:

F ABC ABC ABC ABC

F ABC ABC ABC ABC ABC ABC


BC(A A) AC(B B) AB(C C)
BC AC AB

T v c mch sau:

34
Hnh 2. 2. S mch logic sau khi rt gn

Thc hin ti u v dng ton NAND:

F AB AC BC AB.AC.BC

T v c mch sau:

IT
Hnh 2. 3. S mch logic sau khi ti u.

S hnh 3.3 cha thc s ti u v vn s dng hai loi cng NAND (NAND 2 li
PT
vo v NAND 3 li vo), do vy phi ti u v dng NAND 2 li vo:
F AB AC BC A(B C) BC

A (B C) BC A.B.C.BC

T v c mch sau:

Hnh 2. 4. S mch logic s dng cng NAND 2 li vo.

2.3 THIT K MCH LOGIC T HP


Qu trnh thit k ni chung ca mch t hp gm cc bc c m t trn hnh 2-5.

35
Phng php thit k logic cc mch t hp l cc bc c bn tm ra s mch in
logic t cc yu cu nhim v cho.
Cc bc ca qu trnh thit k c th rt gn trong bn bc chnh:
+ Phn tch yu cu
Yu cu nhim v thit k ca vn logic thc c th l nhng yu cu trnh by di
dng vn bn, cng c th l mt bi ton logic c th. Nhim v phn tch l xc nh ci no
l bin s u vo, ci no l hm s u ra v mi quan h logic gia hm v bin.

Hnh 2. 5. Cc bc thit k mch logic t hp

+ Bng trng thi:

IT
u tin, t cc yu cu c th lit k thnh bng biu din quan h tng ng gia
trng thi tn hiu u vo v trng thi hm s u ra. l bng k chc nng logic gi tt
l bng chc nng (hay l bng trng thi).
Tip theo thay cc gi tr logic cho trng thi, tc l dng cc k hiu 0 v 1 thay cho
cc trng thi tng ng ca u vo v u ra. Kt qu c bng trng thi.
PT
T mt bng chc nng c th c cc bng trng thi khc nhau, nu thay gi tr logic
khc nhau.
V d: S nguyn l mch in hnh 2-6.

Hnh 2. 6. Mch in 2 chuyn mch mc ni tip vi bng in

Chuyn mch A Chuyn mch B Bng n F


Ngt Ngt Tt
Ngt ng Tt
ng Ngt Tt
ng ng Sng
Bng 2-1. Bng chc nng (trng thi)

36
+ Biu thc logic: T bng chc nng 2-1 nu thay i gi tr logic theo 4 cch khc
nhau s c cc biu thc logic khc nhau.
Trng hp a: 0 biu th ngt v tt ; 1 biu th ng v sng

T , lp c bng trng thi 2-2. Bng 2-2. Bng trng thi.

T bng trng thi 2-2 suy ra biu thc logic: A B F


F = A.B 0 0 0
0 1 0
Trng hp b: 0 biu th ng v sng
1 0 0
1 biu th ngt v tt 1 1 1
Biu thc logic: F = A + B
Trng hp c: 0 biu th ngt v sng

1 biu th ng v tt

Biu thc logic: F A.B

Trng hp d: 0 biu th ng v sng

Biu thc logic: F A B


IT
1 biu th ngt v tt

Khi lit k bng chc nng hoc bng trng thi, c th khng lit k cc t hp trng
thi tn hiu u vo khng th c hay b cm. Nhng t hp ny cng c th c lit k,
PT
nhng ti u ra, trng thi tng ng ghi du cho X, thng s dng cc trng thi
nh du cho ti thiu ho hm logic.
+ Ti thiu hm logic:
Thit k s mch logic trc tip t hm s c c t bng trng thi thng l rt
phc tp. Cn sau khi thc hin ti thiu ho hm logic, ni chung vic thit k thun li
hn, khng nhng ch dng s linh kin t hn, m cn nng cao tin cy ca mch logic.
+ V s logic:
Kt qu vic ti thiu ho l biu thc logic OR AND. Cn c vo vic chn la loi
cng logic c th, cn bin i biu thc logic thnh dng ph hp. V d, nu chn dng
cng NAND phi c biu thc dng NAND hoc dng cng NOR, NORAND phi c biu
thc tng ng.
V d : Mt ngi nh hai tng. Ngi ta lp hai chuyn mch hai chiu ti hai tng, sao
cho tng no cng c th bt hoc tt n. Hy thit k mt mch logic m phng h thng
?
Li gii:

37
+ Nu k hiu hai cng tc l hai bin A, B. Khi tng 1 bt n v ln tng 2 th tt
n i v ngc li. Nh vy n ch c th sng ng vi hai t hp chuyn mch v tr
ngc nhau. Cn n tt khi v tr ging nhau. H thng chiu sng trong c s nh hnh
2-7.

Hnh 2. 7. Mch in ca h chiu sng

A B F=AB
0 0 0
0 1 1
1 0 1
1 1 0
Bng 2-3. Bng trng thi m t hot ng ca h chiu sng

IT
PT
Hnh 2. 8. S logic th hin hm F

Bng trng thi m t hot ng ca h nh ch bng 2-3.

Biu thc ca hm l: F A B A B = A B

hoc F AB A AB B
y l hm XOR. Hm ny c th c th hin bng nhiu kiu mch khc nhau.
Hnh 2.8 l mt dng s th hin hm F.
2.4. MCH M HO V GII M
2.4.1 Mt s loi m nh phn thng dng
2.4.1.1. Cc dng m nh thp phn (BCD-Binary Coded Decimal)
S BCD c vai tr rt quan trng trong my tnh. Khi a s thp phn vo my tnh th
phi chuyn s thp phn thnh s nh phn v khi hin th phi chuyn s nh phn thnh
thp phn. S BCD thc hin nhim v chuyn 10 k hiu thp phn thnh cm s nh phn 4
bit (1 cat). T s 10 tr ln th mi k hiu s c biu th t nht bng 2 cat nh phn.
38
V d: 9 = 1001; 10 = 0001 0000.
C rt nhiu cch m ha 10 k hiu thp phn thnh 4 bit nh phn, nhng trong phn
ny ch gii thiu mt s m BCD thng dng.
M BCD t nhin (N-BCD: Nature BCD) hay gi l m BCD 8421.
Trong m N-BCD, cc ch s thp phn c nh phn ho theo trng s nh nhau 23,
22, 21, 20 nn c 6 t hp d, ng vi cc s thp phn 10, 11, 12, 13, 14 v 15. S xut hin
cc t hp ny trong bn tin c gi l li d.

S thp Trng s ca m BCD


phn
8421 7421 5121 2421 4221
0 0000 0000 0000 0000 0000
1 0001 0001 0001 0001 0001
2 0010 0010 0010 0010 0010
3 0011 0011 0011 0011 0011
4 0100 0100 0111 0100 1000
5 0101 0101 1000 1011 0111
6
7
8
9
0110
0111
1000
1001
IT0110
1000
1001
1010
1001
1010
1011
1111
1100
1101
1110
1111
1100
1101
1110
1111
Bng 2-4. Cu to m BCD vi cc trng s khc nhau.
PT
Ngoi m NBCD cn c rt nhiu loi m BCD vi cc trng s khc nhau. Bng 2-4
gii thiu mt s loi m BCD thng gp vi cc ch s i theo m l cc trng s BCD
cc v tr tng ng.
M BCD 7421.
M BCD 5121.
M BCD 2421 (m Aiken). M Aiken c sp xp i xng, tc l s ph nh ca tt
c cc v tr ca mt t m nh phn mt v tr no s c mt t m nm i xng c
phn b tng ng.
u im: M BCD c trng s khng thay i; c sp xp theo quy lut; D nh.
Nhc im: Xut hin t m 0000 v 1111 v t hp ny d dng c to thnh do
cc nhiu gy ra nn d xut hin li k thut.
2.4.1.2. Cc dng m nh phn khc
S S nh M d 3 M M Gray M M vng
thp phn phn Gray D 3 Johnson
0 0000 0011 0000 0010 00000 0000000001
1 0001 0100 0001 0110 10000 0000000010

39
S S nh M d 3 M M Gray M M vng
thp phn phn Gray D 3 Johnson
2 0010 0101 0011 0111 11000 0000000100
3 0011 0110 0010 0101 11100 0000001000
4 0100 0111 0110 0100 11110 0000010000
5 0101 1000 0111 1100 11111 0000100000
6 0110 1001 0101 1101 01111 0001000000
7 0111 1010 0100 1111 00111 0010000000
8 1000 1011 1100 1110 00011 0100000000
9 1001 1100 1101 1010 00001 1000000000
Bng 2-5. Cu to ca mt s m nh phn thng dng.

M d 3
Do trng s nh phn ca mi v tr biu din thp phn l t nhin nn my tnh c th
thc hin trc tip cc php tnh cng, tr, nhn, chia theo m NBCD. Tuy nhin nhc im
chnh ca m l tn ti t hp ton Zero (0), gy kh khn trong vic ng b khi truyn dn
tn hiu.

IT
V vy, ngi ta s dng m D-3 c hnh thnh t m NBCD bng cch cng thm
310 vo mi t hp m. Nh vy, m khng bao gm t hp ton Zero. M D-3 ch yu
c dng truyn dn tn hiu m khng dng cho vic tnh ton trc tip.
M Gray.
M Gray cn c gi l m cch 1, l loi m m cc t hp m k nhau ch khc nhau
PT
duy nht 1 bit. Loi m ny khng c tnh trng s. Do , gi tr thp phn c m ha
ch c gii m thng qua bng m m khng th tnh theo tng trng s nh i vi m
BCD.
M Gray c th c t chc theo nhiu bit. Bi vy, c th m theo m Gray.
Cng tng t nh m BCD, ngoi m Gray chnh cn c m Gray d-3.
M Johnson (vng xon).
M Johnson s dng 5 bit nh phn biu din 10 k hiu thp phn. M ny c s bit
1 tng dn t tri qua phi cho n khi y, sau gim dn bit 1.
M vng
M vng s dng 10 bit nh phn biu din 10 k hiu thp phn vi cc trng s
9876543210. Mi t hp m ch bao gm mt bit 1 chy vng t phi qua tri.
2.4.2. Cc mch m ho:
Ni mt cch tng qut, m ho l dng vn t, k hiu hay m biu th mt i
tng xc nh. Cc h thng in t s (nh my tnh) ch tip nhn thng tin di dng nh
phn, m nh phn c hai ch s 0 v 1, v vy cc s liu, cc lnh cho my tnh thc hin
u phi c biu din di dng nh phn. Sau khi c my tnh x l cn thit phi

40
gii m a thng tin cho con ngi (h thp phn). M nh phn n bit c 2n trng thi, c
th biu th 2n tn hiu. Vy tin hnh m ho N tn hiu, cn s dng n bit sao cho 2 n N.
B m ho l mch in thao tc m ho, c nhiu b m ho khc nhau, b m ho nh
phn, b m ho nh - thp phn, b m ho u tin v.v.
2.4.2.1. B m ho thp phn sang BCD8421:
B m ho nh - thp phn l mch in chuyn m h thp phn bao gm 10 ch s,
u ra l nhm m s nh phn gi l m nh phn BCD (Binary Coded Decimal). Cn c vo
cng thc 2n N = 10 nn chn n = 4. M nh phn 4 bit c 16 t hp (t m). Ch cn chn
10 t m tu trong s l biu th 10 tn hiu u vo. Vy c rt nhiu phng n.

Hnh 2. 9. S khi mch m ha

S thp phn
0 (Y0)
1 (Y1)
IT
Di y l bng m ho BCD 8421 rt thng dng:

D
0
0
C
0
0
B
0
0
A
0
1
PT
2 (Y2) 0 0 1 0
3 (Y3) 0 0 1 1
4 (Y4) 0 1 0 0
5 (Y5) 0 1 0 1
6 (Y6) 0 1 1 0
7 (Y7) 0 1 1 1
8 (Y8) 1 0 0 0
9 (Y9) 1 0 0 1

Bng 2-6. Bng m ho BCD 8421:

T bng trng thi 2-6, tm c biu thc u ra sau

D Y8 Y9 Y8 .Y9

C Y4 Y5 Y6 Y7 Y4 .Y5 .Y6 .Y7
(2.1)
B Y2 Y3 Y6 Y7 Y2 .Y3 .Y6 .Y7

A Y1 Y3 Y5 Y7 Y8 Y1.Y3 .Y5 .Y7 .Y8

41
S logic ca b m ho BCD 8421 c trnh by trn hnh 2-6.

Hnh 2. 10. S logic ca b m ho nh - thp phn.

T h phng trnh trn c th vit li nh sau (dng nh l DeMorgan) v dng ma


trn diode (cng AND) xy dng mch:

D Y8 Y9 Y8 .Y9

IT
C Y4 Y5 Y6 Y7 Y4 .Y5 .Y6 . Y7
B Y2 Y3 Y6 Y7 Y2 .Y3 . Y6 . Y7
A Y1 Y3 Y5 Y7 Y9 Y1 .Y3 .Y5 . Y7 . Y9
PT

Hnh 2. 11. Mch m ha dng diode

42
2.4.2.2. B m ho u tin
Trong cc b m ho thng thng, tn hiu u vo tn ti c lp (khng c tnh
hung c 2 tn hiu tr ln ng thi tc ng). B m ho u tin th khc, c th c nhiu
tn hiu ng thi a n, nhng mch in ch tin hnh m ho tn hiu in u vo no
c mc u tin cao nht thi im .
Xem xt nguyn l hot ng v qu trnh thit k b m ho u tin qua v d sau:
Thit k mt mch logic m ho nh phn i vi 10 tn hiu vo Y0, Y1, , Y9 sao cho
mc u tin cao nht gim dn t Y9 n Y0. Nu c nhiu tn hiu ng thi xut hin
u vo th tn hiu no c mc u tin cao nht trong s mi c m ho, gi thit c tn
hiu u vo v tn hiu u ra u tch cc mc thp.
Theo yu cu trn, cn c cng thc 2 n N = 10, vy dng m nh phn n = 4 bit.
Bng 2-7 bng trng thi b m ho u tin.

Y9 Y8 Y7 Y6 Y5 Y4 Y3 Y2 Y1 Y0 D C B A
1 1 1 1 1 1 1 1 1 0 1 1 1 1
1 1 1 1 1 1 1 1 0 x 1 1 1 0
1 1 1 1 1 1 1 0 x x 1 1 0 1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
0
1
1
1
0
x
IT
1
1
0
x
x
1
0
x
x
x
0
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
x
1
1
1
1
1
1
0
0
0
0
0
1
1
0
0
0
1
0
1
0
PT
1 0 x x x x x x x x 0 1 1 1
0 x x x x x x x x x 0 1 1 0
Bng 2-7. Bng trng thi b m ho u tin.

D Y9 Y9 Y8 Y9 Y8 D Y9 Y8

C Y9 Y8 Y7 Y9 Y8Y6 Y9 Y8Y5 Y9 Y8Y4 C Y9 Y8 Y7 Y9 Y8 Y6 Y9 Y8 Y5 Y9 Y8 Y4

B Y9 Y8 Y7 Y9 Y8Y6 Y9 Y8Y5 Y4 Y3 Y9 Y8Y5 Y4 Y2 (2.2)

B Y9 Y8Y7 Y9 Y8 Y6 Y9 Y8 Y5 Y4 Y3 Y9 Y8 Y5 Y4 Y2

A Y9 Y9 Y8 Y7 Y9 Y8 Y7 Y6 Y5 Y9 Y8 Y7 Y6 Y5 Y4 Y3 Y9 Y8 Y7 Y6 Y5 Y4 Y3 Y2 Y1

A Y9 Y9 Y8Y7 Y9 Y8 Y7 Y6 Y5 Y9 Y8 Y7 Y6 Y5 Y4 Y3 Y9 Y8Y7 Y6 Y5 Y4 Y3Y2 Y1
Theo bng 2-7, khi c nhiu tn hiu cng tc ng, th tn hiu c mc u tin cao nht
c m ho, cc tn hiu khc tng ng x (d l 1 hay 0) cng khng c tc dng. Kt qu
c cc hm logic u ra di dng NORAND cng thc (2.2).
S logic hnh 2.12 tho mn yu cu trn

43
2.4.3. Cc b gii m IT
Hnh 2. 12. B m ho u tin

Gii m l mt qu trnh phin dch hm c gn bng mt t m. Mch in thc


hin gii m gi l b gii m. B gii m bin i t m thnh tn hiu u ra. C nhiu b
gii m, nhng chng u c nguyn tc hot ng v phng php thit k tng t nhau.
PT
Sau y ch xt cc b gii m thng dng.
2.4.3.1. B gii m nh phn
B gii m nh phn cn c tn l b gii m "1 t n", b gii m a ch hoc b chn
a ch nh phn.

Hnh 2. 13. S khi b gii m nh phn

Chc nng ca b gii m nh phn l la chn duy nht mt u ra (ly gi tr 1 hoc


0), khi tc ng ti u vo mt s nh phn. Nh vy, nu s nh phn l n bit (n u vo) s
nhn din c 2n a ch khc nhau (trn 2n u ra). Ni khc i, mch chn a ch nh phn
l mt mch logic t hp c n u vo v 2n u ra, nu tc ng ti u vo mt s nh phn
th ch duy nht mt u ra c la chn, ly gi tr 1 (tch cc cao) hoc 0 (tch cc thp),

44
cc u ra cn li u khng c la chn, ly gi tr 0 hoc 1. S khi tng qut ca b
chn a ch nh phn nh ch hnh 2-13.
V d: Xy dng mch gii m nh phn 2 vo 4 ra v mt u vo iu khin E.
Li gii: Lp bng trng thi 2-8.
E A1 A0 D0 D1 D2 D3
0 x x 0 0 0 0
1 0 0 1 0 0 0
1 0 1 0 1 0 0
1 1 0 0 0 1 0
1 1 1 0 0 0 1
Bng 2-8. Bng trng thi

T bng trng thi vit c biu thc hm ra nh sau:

D0 E.A1.A 0

D1 E.A1.A 0
(2.3)

IT D 2 E.A1.A 0
D3 E.A1.A 0

T biu thc (2.3) v c s logic nh hnh 2.14.


E



PT
D0

D1

D2

D3

A0

A1

Hnh 2. 14. S logic mch gii m a ch 2 vo 4 ra.

IC 74154 l mt b chn a ch nh phn 4 vo 16 ra. K hiu logic ca n c ch ra


hnh 2-10. Cc u vo E1, E2, hot ng theo tch cc thp thng c s dng m
rng dung lng hoc thay i chc nng logic ca b chn a ch.
C th m rng dung lng b chn a ch nh phn bng cch ghp cc IC c dung
lng nh li vi nhau.

45
Hnh 2. 15. S chn ca IC 74154

2.4.3.2. Mch gii m 7 on


M nh phn BCD c chuyn sang thp phn v hin th cc s thp phn bng 7
on sng. 7 on sng c th l LED hoc tinh th lng (LCD), ng vi mi t hp xc nh
cc thanh sng s hin th mt ch s h m thp phn.
IT
i vi LED, mi on l mt diode pht quang, khi c dng in i qua ln (t
5mA n 30mA) th on tng ng s sng.
Ngoi 7 on sng chnh, mi LED c thm mt diode biu th du phn s khi cn
thit. LED c 2 loi chnh: LED ant chung v LED catt chung.
PT

Hnh 2. 16 a) Cu trc ca ch th s 7 on, b) LED catt chung, c) LED ant chung

Hnh 2.16 m t cu trc ca n hin th s 7 on sng dng diode pht quang, cch
k hiu cc on bng cc ch ci a, b, c, d, e, f, g. By on l 7 diode pht quang.

46
i vi LED catt chung, catt c ni vi nhau v ni t. Cn LED ant chung th
ant c ni vi nhau v ni vi + 5V.
Cc b gii m c cc u ra tch cc thp, s dng LED ant chung, u ra ca b gii
m c ni vi cc catt qua cc in tr hn ch dng.
Dng c hin th bng tinh th lng, gi tt l LCD (Liquid Crystal Display).
LCD ch hot ng khi c nh sng phn cc chiu vo. t c mc ch ny, pha
trc cc on cn c mt knh phn cc nh sng. Cc on khng hot ng s phn x i
vi lung nh sng phn cc ny v do , khng nhn thy chng. Ngc li cc on hot
ng khng phn x nn b en li. u im ni bt ca LCD l cng sut tiu th rt nh.
y l u im quan trng c bit vi cc thit b bng pin nh (nh my tnh bm, my tnh
xch tay). Tuy nhin, nhc im l sng yu v LCD tn dng nh sng c sn (ngun
t nhin ca mi trng hay ngun sng nh chiu hu) v iu khin phn x ca nh sng
ny.

IT
PT
Hnh 2. 17. Mn hnh LCD v phng php kch thch sng s 3 thp phn

Hnh 2. 18. Phng php kch thch 1 thanh LCD

47
Hnh 2. 19. Mch in gii m BCD sang 7 on

LCD c b tr kiu 7 on nh LED lm vic vi in th xoay chiu (25Hz n


60Hz) vi dng tiu th rt nh. Hnh 2-12 m t mt mn hnh LCD gm 7 on ging LED
vi mt in cc chung vi cc tn hiu vo t a n g tng ng; khi cc thanh a, b, c, d, g

IT
c kch thch vi ngun xung c bin t 0 n 5V, tn s 40Hz th chng s phn x
sng, cc thanh cn li khng c in th xoay chiu tc ng nn vn ti. Do vy, s 3
c hin th trn mn hnh LCD.

LE
PT
BI

LT BI LE LT

a) S chn IC 4511 b) S khi IC 4511

Hnh 2. 20 . S chn v s khi ca IC gii m 4511.

Bng chc nng ca IC 4511 c ch ra nh bng 2-9.


Bng cch tng t, ngi ta c th to ra mn LCD l cc ma trn c ln, v d
640(ct) x 480 (hng) vi 2400 mi ni vi LCD (gi l cc im nh) i qua cc b lc mu
to ba mu c bn (, xanh lc v xanh lam) to mu cho tng im nh vi mt vi in t
c ln VLSI iu khin c th qut mn hnh LCD vi tc cao, thit lp cc mu k s, k
t v cc nh video cht lng cao.

48
Li vo Li ra Hin th
LE BI LT D4 D3 D2 D1 Qa Qb Qc Qd Qe Qf Qg
X X L X X X X H H H H H H H 8
X L H X X X X L L L L L L L trng
L H H L L L L H H H H H H L 0
L H H L L L H L H H L L L L 1
L H H L L H L H H L H H L H 2
L H H L L H H H H H H L L H 3
L H H L H L L L H H L L H H 4
L H H L H L H H L H H L H H 5
L H H L H H L L L H H H H H 6
L H H L H H H H H H L L L L 7
L H H H L L L H H H H H H H 8
L H H H L L H H H H L L H H 9
L H H H L H L L L L L L L L trng
L H H H L H H L L L L L L L trng
L H H H H L L L L L L L L L trng
L
L
L
H
H
H
H
H
H
H
H
H
H
H
H
X
H
H
H
X
L
H
H
X
IT
H
L
H
X
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
L
trng
trng
trng
Ph thuc vo m BCD khi LE chuyn trng thi t L
n H
PT
Bng 2-9. Bng chc nng ca IC 4511

2.4.3.3. Thit k b gii m BCD sang 7 on


A B C D a b c d e f g S c hin th
0 0 0 0 0 0 0 0 0 0 1 0
0 0 0 1 1 0 0 1 1 1 1 1
0 0 1 0 0 0 1 0 0 1 0 2
0 0 1 1 0 0 0 0 1 1 0 3
0 1 0 0 1 0 0 1 1 0 0 4
0 1 0 1 0 1 0 0 1 0 0 5
0 1 1 0 0 1 0 0 0 0 0 6
0 1 1 1 0 0 0 1 1 1 1 7
1 0 0 0 0 0 0 0 0 0 0 8
1 0 0 1 0 0 0 0 1 0 0 9
Bng 2-10. Bng trng thi b gii m

49
Cc u vo D,C,B, A l m BCD 8421. Trong 6 trng thi t 1010 1111 khng
c s dng, nh du cho X x l ti thiu ho. Tn hiu u ra a g dng kch
sng LED tng ng ca hin th 7 thanh.
y xt mch gii m c cc u ra tch cc mc thp, dng LED ant chung.
Bng 2-10 l bng trng thi b gii m BCD sang by on.Ti thiu ho dng phng
php bng Cc n. Hnh 2.21 trnh by cc bng Karnaugh.
Cc x c th tu chn, trong khi ti thiu ho. Ti thiu ho dng minterm, i vi
cc trong bng Karnaugh c gi tr 0 xc nh hm o:

IT
PT
Hnh 2. 21. Bng Karnaugh hm logic u ra ca cc LED hin th a, b, c, d, e, f, g

(a) a A C BD B.D (dng OR-AND)

a A C BD B.D (dng NOR-AND)

(b) b B C.D C.D

b B C.D C.D

(c) c B C D

c B C D

(d) d A B.D B.C B.C.D C.D

d A B.D B.C B.C.D C.D

(e) e B.D C.D

50
e B.D C.D

(f) f A B.D B.C C.D

f A B.D B.C C.D

(g) g A C.D B.C B.C

g A C.D B.C B.C


Xut pht t cc hm logic ti thiu ho s logic c trnh by trn hnh 2.22.
B gii m BCD sang 7 on (loi 7447).

IT
PT
Hnh 2. 22. a) B gii m BCD sang 7 on; b) Mt dng k hiu ca cng NORAND

Vi mch 7447 l mch gii m nh phn sang 7 on c u ra tc ng thp nn n ch


th 7 on l LED c ant chung.

Hnh 2. 23. S ni 7447 v LED ant chung

51
S ni mch gia 7447 v ch th 7 on c trnh by trn hnh 2.23.
Trong cc in tr R lm nhim v bo v cho cc LED, R = 300 .
Xo cc s 0 khng c ngha v xo cc s khng cn thit ca LED hin th.
Vic hin th c th cn nhiu ch s, dn n trng hp phn ln s ch s khng c
tn hiu trn u vo, lc ny chng hin th gi tr 0. iu ny gy kh khn cho ngi c
v tiu tn nng lng ca ngun nui. Hoc i khi khng cn hin th cc s 0 cn thit.
thc hin cc mc ch trn, trong thit k ngi ta a vo cc chn iu khin LED trng
thi tt.
V d i vi IC 7447 ngi ta thit k c 2 chn RBO (Riple Blanking Output u ra
xo ni tip) v RBI (Riple Blanking Intput u vo xa ni tip) (Hnh 2.24).

IT
PT
Hnh 2. 24. B gii m BCD sang 7 on.

Khi RBO = 0 th LED tt


Khi DCBA = 0000 tng ng hin th s 0. Nu RBI = 0 th LED tt, cn cc u vo
gi tr khc, u ra hin th cc ch s khc 0 vn sng bnh thng.
B gii m nh phn sang 7 on (loi MC 14495).
Vi mch MC 14495 l b gii m nh phn sang 7 on hay cn gi l b gii m hexa
sang 7 on. B gii m ny c cc u ra tc ng cao nn dng n ch th 7 on c catt
chung.
bo v cho cc LED, ngi ta ch to cc in tr cng loi 290 ni vi cc
u ra trong vi mch. V vy khi dng ngun nui 5V khng cn lp thm cc in tr R nh
khi dng vi mch 7447.
S c trnh by trn hnh 2.25.

52
Hnh 2. 25 B gii m nh phn sang 7 on (loi MC 14495)

D C B A
0 0 0
IT
Bng 2-11 trnh by bng trng thi MC14495 v cc hin th ca LED.

u vo

0
a b c d
1 1 1 1
e
1
f
1
u ra
g h+i
0 0
j
Z cao
Hin th
0
PT
0 0 0 1 0 1 1 0 0 0 0 0 Z cao 1
0 0 1 0 1 1 0 1 1 0 1 0 Z cao 2
0 0 1 1 1 1 1 1 0 0 1 0 Z cao 3
0 1 0 0 0 1 1 0 0 1 1 0 Z cao 4
0 1 0 1 1 0 1 1 0 1 1 0 Z cao 5
0 1 1 0 1 0 1 1 1 1 1 0 Z cao 6
0 1 1 1 1 1 1 0 0 0 0 0 Z cao 7
1 0 0 0 1 1 1 1 1 1 1 0 Z cao 8
1 0 0 1 1 1 1 1 0 1 1 0 Z cao 9
1 0 1 0 1 1 1 0 1 1 1 1 Z cao A
1 0 1 1 0 0 1 1 1 1 1 1 Z cao B
1 1 0 0 1 0 0 1 1 1 0 1 Z cao C
1 1 0 1 0 1 1 1 1 0 1 1 Z cao D
1 1 1 0 1 0 0 1 1 1 1 1 Z cao E
1 1 1 1 1 0 0 0 1 1 1 1 0 F
Bng 2-11. Bng trng thi MC14495 (Gii m hexa sang by on)

53
2.4.4. Cc b bin m
C nhiu loi m nh phn c dng trong cc h thng k thut s. Mt vi m ny l
nh phn c to m sang BCD, BCD d 3, Gray, Gray d 3, bt phn hoc thp lc
phnThng thng ngi ta yu cu i t m ny sang m khc. V d u vo ca h
thng k thut s c th l m NBCD, u ra c th l LED 7 on, h thng ny x l d
liu theo dng nh phn nn d liu phi chuyn t m NBCD sang m nh phn.
Cc b bin m c th c thit k bng cch s dng cc cng logic, cc b hp knh
v phn knh. Tuy nhin trn thc t c mt s loi IC c sn thc hin chc nng ny.
2.4.4.1. B bin m t nh phn sang Gray
Bng 2-12 l bng trng thi m t mi quan h gia m nh phn v m Gray 3 bit.

Thp phn Nh phn Gray


B2 B1 B0 G2 G1 G0
0 0 0 0 0 0 0
1 0 0 1 0 0 1
2 0 1 0 0 1 1
3 0 1 1 0 1 0
4
5
6
7
1
1
1
1
0
0
1
1
IT 0
1
0
1
1
1
1
1
1
1
0
0
Bng 2-12. Bng trng thi mch bin m
0
1
1
0
PT
T bng trng thi 2-12, vit c biu thc ca hm ra nh sau:

G 2 B2

G1 B2 B1 (2.4)
G 0 B1 B0

T , v c s logic nh trn hnh 2.26.

Hnh 2. 26. Mch in thc hin b bin m t nh phn sang Gray

54
2.4.4.2. B bin m t Gray sang nh phn
Bng 2-13 l bng trng thi m t mi quan h gia m nh phn v m Gray 3 bit.

Thp phn Gray Nh phn


G2 G1 G0 B2 B1 B0
0 0 0 0 0 0 0
1 0 0 1 0 0 1
2 0 1 1 0 1 0
3 0 1 0 0 1 1
4 1 1 0 1 0 0
5 1 1 1 1 0 1
6 1 0 1 1 1 0
7 1 0 0 1 1 1
Bng 2-13. Bng trng thi mch bin m

T bng trng thi 2-13, vit c biu thc ca hm ra nh sau:

B2 G 2

IT B1 B2 G1
B0 B1 G 0

T , v c s logic nh trn hnh 2.27.


(2.5)
PT

Hnh 2. 27. Mch in thc hin b bin m t Gray sang nh phn

2.4.4.3. B bin m t BCD sang nh phn dng IC 74184

Hnh 2. 28. S khi ca IC 74184.

55
Hnh 2.28 l s khi ca IC 74184.
Bng chc nng ca IC 74184 c cho trn bng 2-14.

S BCD Cc u vo Cc u ra
E D C B A G Y5 Y4 Y3 Y2 Y1
0-1 0 0 0 0 0 0 0 0 0 0 0
2-3 0 0 0 0 1 0 0 0 0 0 1
2-5 0 0 0 1 0 0 0 0 0 1 0
6-7 0 0 0 1 1 0 0 0 0 1 1
8-9 0 0 1 0 0 0 0 0 1 0 0
10-11 0 1 0 0 0 0 0 0 1 0 1
12-13 0 1 0 0 1 0 0 0 1 1 0
12-15 0 1 0 1 0 0 0 0 1 1 1
16-17 0 1 0 1 1 0 0 1 0 0 0
18-19 0 1 1 0 0 0 0 1 0 0 1
20-21 1 0 0 0 0 0 0 1 0 1 0
22-23 1 0 0 0 1 0 0 1 0 1 1
22-25 1 0 0 1 0 0 0 1 1 0 0
26-27
28-29
30-31
32-33
32-35
1
1
1
1
1
0
0
1
1
1
0
1
0
0
0
IT 1
0
0
0
1
1
0
0
1
0
0
0
0
0
0
0
0
0
1
1
1
1
1
0
0
1
1
1
0
0
0
1
1
0
0
1
0
1
0
1
PT
36-37 1 1 0 1 1 0 1 0 0 1 0
38-39 1 1 1 0 0 0 1 0 0 1 1
Bt k x x x x x 1 1 1 1 1 1
Bng 2-14. Bng chc nng ca IC 74184

T bng 2-14, xy dng c b bin m t BCD sang nh phn nh hnh 2.29.

Hnh 2. 29. B bin m t BCD sang nh phn

Cc u vo BCD c a vo cc chn t A n E. Bit c trng s nh nht ca s


BCD c a thng ti u ra ca nh phn. Mch ny chp nhn hai s BCD vi mt ch
s y 4 bit: D1 C1 B1 A1 v hai bit c trng s b nht ca ch s th hai BCD: B2 A2.

56
iu ny c ngha l cc u vo BCD nm trong phm vi t 00 n 39 u c bin thnh
s nh phn tng ng bi mch ny. Cc chn Y6 Y7 v Y8 khng c dng cho mch ny.
Nhng chn ny thng c dng tm b 9 v b 10 cho s BCD.
i vi mch bin m t nh phn sang BCD thng s dng IC 74185.
2.5. B HP KNH V PHN KNH
2.5.1 B hp knh (MUX-Multiplexer)
B hp knh cn gi l b dn knh (hay b ghp knh), n cng c gi l b chn
d liu (Data Selector). Chc nng logic c bn ca b hp knh l di s iu khin ca tn
hiu chn (n u vo iu khin) thc hin chn ra knh no (trong s 2 n knh u vo)
ni thng tn hiu u vo c chn n u ra.
ngi dng khng b nhm ln trong vic xc nh a ch knh, cc nh sn xut vi
mch dng cc ch s knh 0, 1, 2, trng vi gi tr thp phn ca t hp nh phn tng
ng ca cc u vo iu khin.
Hnh 2.30 trnh by s khi ca b hp knh 4 u vo v 1 u ra d liu.

IT
PT
Hnh 2. 30. B hp knh 4 vo 1 ra

T s khi, xy dng bng trng thi ca MUX 4:1.

A1 A0 Y

0 0 D0

0 1 D1

1 0 D2

1 1 D3

Bng 2-15. Bng chc nng ca b hp knh 4:1.

T bng trng thi, vit c biu thc hm ra:

Y D0 .A1.A 0 D1 . A1.A 0 D 2 . A1.A 0 D3 .A1.A 0

57
Mch in 2.31 th hin hm Y.

Hnh 2. 31. S logic mch hp knh 4:1.

Trong thc t ngi ta ch to cc b hp knh c 4, 8 hoc 16 u vo d liu. Hnh

IT
2.32 trnh by s logic ca vi mch 74LS153. Trong vi mch gm 2 b hp knh c 4
ng vo d liu, k hiu l C0, C1, C2, C3 v mt ng ra Y. C hai b hp knh u c
chung 2 u vo iu khin A, B, mi b hp knh u c u vo cho php G ring. Mch
thuc h logic TTL, chn 16 l ngun nui VCC: + 5V, chn 8 l t (GND): 0V.
PT

Hnh 2. 32. S logic ca b hp knh (4:1)

58
Vi mch 74150 c k hiu logic trn hnh 2.33 l b hp knh c 16 ng vo d liu
v 4 ng vo iu khin chn knh A, B, C, D v mt u vo cho php G . u ra d liu
k hiu l W.
IC 74151 l b hp knh 8 ng c hai u ra Y v W l o ca Y (hnh 2.34).

Hnh 2. 33. K hiu logic ca b hp knh 74150

IT 74LS151
12 I7
13 I6
14 I5
15 I4
1 I3
2 I2
3 I1
E 97
S2 10
S1
S0 11

Y5
PT
4 I0 YN 6

Hnh 2. 34. K hiu logic ca b hp knh 74151

u vo iu khin Cho php u ra u ra


C B A G Y WY
L L L L D0 D0
L L H L D1 D1
L H L L D2 D2
L H H L D3 D3
H L L L D4 D4
H L H L D5 D5
H H L L D6 D6
H H H L D7 D7
x x x H L H
Bng 2-13. Bng chc nng ca b hp knh 74151.

59
T bng chc nng 2-13, suy ra bng trng thi vi cch chn mc thp L l 0, mc cao
H l 1 v c th suy ra biu thc logic sau:

A.B.C.D 0 A.B.C.D1 A.B.C.D 2 A.B.C.D3


Y G .
A.B.C.D A.B.C.D A.B.C.D A.B.C.D (2.6)
4 5 6 7

W Y
T biu thc logic 2.6 c s logic ca b hp knh trn hnh 2.35.
G
D0

D1

D2

D3 Y

W
D4

D5

D6

C
D7
IT
PT
Hnh 2. 35. S logic ca b hp knh 8 ng 74151

2.5.2. B phn knh (Demultiplexer: DMUX)


B phn knh l mt mch logic t hp c mt ng vo v nhiu ng ra d liu.
Khc vi b hp knh, b phn knh lm chc nng chn, truyn d liu t mt ng vo
d liu n cc ng ra ring bit. Cng ging b hp knh, b phn knh cng c cc
ng vo iu khin (n ng) chn u ra (2 n u ra).
u vo c ni vi u ra no l tu theo t hp gi tr ca cc u vo iu khin.

Hnh 2. 36. S khi ca b phn knh 1:4.

60
S khi m t chc nng ca b phn knh mt u vo, 4 u ra c trnh by trn
hnh 2.36.T s khi hnh 2.36 lp c bng trng thi.
B A Y0 Y1 Y2 Y3
0 0 D 0 0 0
0 1 0 D 0 0
1 0 0 0 D 0
1 1 0 0 0 D
Bng 2-14. Bng trng thi ca b phn knh 1 vo 4 ra.

C th lp bng trng thi biu din s hot ng ca b phn knh trn nh bng 2-
14.
T bng trng thi 2-14, vit c biu thc logic ca b phn knh nh sau:

Y0 A.B.D
Y1 A.B.D
(2.7)
Y2 A.B.D
Y3 A.B.D
IT
T biu thc logic, c th xy dng s logic ca b phn knh:
PT

Hnh 2. 37. S logic mch phn knh 1:4.

Hnh 2.37 l cc k hiu ca cc vi mch phn knh thng gp trong thc t.


IC 74LS155 gm 2 b phn knh mt u vo, 4 u ra d liu. Hai u vo iu khin
chn knh A, B c dng chung cho c hai b phn knh.
IC 74LS154 l b gii m a ch 4 bit nh phn.
IC 74LS138 l b gii m a ch, ng thi c th dng lm b phn knh mt ng
vo, 8 ng ra d liu (hnh 2.38).

61
74LS155 4
1 Ea 3a
2 Ea 2a 5
1a 6 74LS154 17
3 A1 0a 7 15 16
13 A0 3b 12 14
11 13 15
2b 14
14 Eb 1b 10 12
15 Eb 9 11 13
0b 19 E1 10 11
18 E0 9 10
8 9
7 8
74LS138 20 A3 6 7
21 A2 5 6
3 A2 Q7 7 22 A1 4 5
2 A1 Q6 9 23 A0 3 4
1 A0 Q5 10 2 3
Q4 11 1 2
Q3 12 0 1
6 E3 Q2 13
5 E2 Q1 14
4 E1 Q0 15

Hnh 2. 38. K hiu logic ca cc b phn knh

Hnh 2-39 trnh by s logic ca b phn knh 74LS138.


Mch c th dng nh b phn knh mt ng vo, 8 ng ra d liu Y0, Y1, , Y7.
Khi dng IC 74LS138 lm b phn knh th cc u vo cho php G1, G2A, G2B dng lm u
vo d liu.

G1
IT Y0

Y1

Y2
PT
Y3
G2A
G2B Y4

Y5

Y6

Y7

Hnh 2. 39. S logic ca mch gii m, phn knh 74LS138

2.5.3. Mt s ng dng ca b hp knh v phn knh


2.5.3.1. nh tuyn d liu
C nhiu d liu c nh hng ti mt ch duy nht, khi s dng b hp knh
s cho php chn d liu no (nh tuyn u vo) hng ti ch, cc d liu khng c
chn s b cm khng ti c ch.

62
2.5.3.2. Chuyn i lung d liu t song song sang ni tip v ngc li
Mt lung d liu s song song c tnh cht mi bit ca n xut hin ng thi, lun c
u th v tc x l nhanh nhng khi truyn trn khong cch xa s tn nhiu ng truyn
nn thng thng n c chuyn i thnh d liu kiu ni tip (vi tnh cht cc bit xut
hin tun t) trc khi i n ng truyn nh b hp knh-MUX.
V d: Hnh 2.40 cho php thc hin bin i 8 bit d liu 8 bit song song thnh mt
dy ni tip theo trt t xc nh nh cc tn hiu chn A2A1A0 lun chuyn tun t t trng
thi 000 n trng thi theo mt chu k xc nh nh khi to xung nhp (clock) to ra xung
c chu k l TB.

IT
Hnh 2. 40. Chuyn d liu song song 8 bit sang dy ni tip 8 bit sau 8 xung nhp

2.5.3.3. To hm logic
PT
S dng MUX to hm logic trc tip t bng trng thi khng cn rt gn, vi mc
ch ny cc u vo chn (u vo a ch) l cc bin logic, mi u vo d liu c ni
thng xuyn vi mc cao (logic 1) hay mc thp (logic 0) ty theo bng trng thi.
V d: F (A, B, C) = (1, 2, 6, 7).
T biu thc trn, lp c bng trng thi 2-15.
u vo chn u ra
A (A2) B(A1) C(A0) F
0 0 0 0 D0
0 0 1 1 D1
0 1 0 1 D2
0 1 1 0 D3
1 0 0 0 D4
1 0 1 0 D5
1 1 0 1 D6
1 1 1 1 D7
Bng 2-15. Bng trng thi

63
Hnh 2. 41. S logic thc hin hm F

C nhiu cch chn u vo a ch v u vo d liu: Nu chn A, B l u vo a


ch th C s l u vo d liu, hoc chn B, C l u vo a ch th A s l u vo d liu,
hoc chn A, C l u vo a ch th B s l u vo d liu ( ty theo ngi s dng). V d
y chn A, B l u vo a ch v C l u vo d liu th c:
+ AB = 00 th F = C;

+ AB = 01 th F C ;

+ AB = 10 th F = 0;
+ AB = 11 th F = 1;
IT
T cc biu thc trn, v c s mch thc hin nh hnh 2.41.
PT
2.6. MCH S HC.
2.6.1. Mch tng.
Gi s c hai s nh phn n bit A v B, trong :

A A n 1 A n 2 ...A 0 v B Bn 1 Bn 2 ...B0
xy dng mch thc hin php cng hai s cn nm vng nguyn tc cng y 1
bit, trn c s xy dng b cng song song n bit. C th trong phn ny s gii thiu
phng php xy dng mch cng song song 4 bit t mch cng ton phn (y ) 1 bit.
2.6.1.1. Mch bn tng (Half Adder HA)
Mch bn tng c 2 u vo:
a0 l bit c trng s nh nht ca s A.
b0 l bit c trng s nh nht ca s B.
Mch c 2 u ra: S0 l kt qu php cng ct c trng s nh nht.
C0 l gi tr nh sang ct c trng s cao hn k tip.
Nguyn tc hot ng ca mch bn tng c din t bi bng trng thi 2-16.

64
a0 b0 S0 C0
0 0 0 0
0 1 1 0
1 0 1 0
1 1 0 1
Bng 2-16. Bng trng thi ca mch HA

Hnh 2. 42. a) S khi ca HA, b) S logic

T bng trng thi, suy ra biu thc logic ca HA:

ITS0 a 0 b0
C0 a 0 .b 0
S khi v s logic ca HA c trnh by trn hnh 2.42.
2.6.1.2. Mch tng ton phn (Full Adder - FA)
(2.8)
PT
Mch c 3 u vo: ai l ch s ct th i ca s A.
bi l ch s ct th i ca s B.
Ci l bit nh ca trng s nh hn lin k chuyn n
Mch c 2 u ra: S0 l kt qu php cng ct th i.
C0 l bit nh sang trng s ln hn k tip.
Bng trng thi ca FA c trnh by bng 2-17.
ai bi Ci S0 C0
0 0 0 0 0
0 0 1 1 0
0 1 0 1 0
0 1 1 0 1
1 0 0 1 0
1 0 1 0 1
1 1 0 0 1
1 1 1 1 1
Bng 2-17. Bng trng thi ca b FA

65
T bng trng thi suy ra hm logic ca FA:
S0 a i b i Ci
(2.9)
C0 a i .b i a i Ci bi Ci
S khi v mch logic trnh by trn hnh 2.43.
Ci
S0
a) ai FA

bi C0

Ci
ai

bi S0

b) C0

Hnh 2. 43. a) S khi ca FA, b) Mch logic.

T bng trng thi ca FA d dng suy ra biu thc logic bng cch ly tng chun ca

IT
cc tch, tc l ly tng cc Mintex m hm bng 1.

S0 a i .b i Ci a i .b i .Ci a i .bi .Ci a i .bi .Ci



a i b i Ci b i .Ci a i b i .Ci .b i .Ci
a i b i Ci
(2.10)
PT
C0 a i .bi .Ci a i .bi .Ci a i .bi Ci a i .bi .Ci
C th tnh C0 theo cch khc:


C0 a i .b i .Ci a i .b i .Ci a i .bi .Ci a i .b i .Ci

Ci a i .b i a i .b i a i .bi Ci (a i bi ) a i .b i (2.11)
C0 Ci (a i b i ) a i .bi
T cng thc (2.11) v (2.12) c th xy dng mch FA t hai mch HA. S logic
ca FA t hai HA c trnh by trn hnh 2.44.

Hnh 2. 44. S mch FA.

66
Nu Ci = 0 th FA tr thnh HA.
2.6.1.3. B cng song song 4 bit.
Gi s, c hai s nh phn 4 bit A v B. Trong :
A A 3 .A 2 .A1.A 0

B B3 .B2 .B1.B0

Hnh 2. 45. B cng song song 4 bit.

Vi A0, B0 l ct c trng s b nht ca 2 s A v B (20). A3, B3 l ct c trng s ln


nht ca 2 s A v B (2 3). T b cng nh phn 1 bit c th a ra mch ca b cng song

nh sau:
A = 1 1 1
IT
song 4 bit thc hin php cng hai s A v B nh hnh 2.45.
V d: C hai s A v B vi A = 1111, B = 1001. Php cng hai s c thc hin

1
PT
B = 1 0 0 1
C = 1 1 1 1
= C3 C2 C1 C0 C-1
= 1 1 0 0 0
Nhc im ca b cng loi ny: Tn hiu nh u ra (Carry Out) c to thnh
sau khi i qua bn b cng FA0 , , FA3. Nu mi b cng FA l hai mch cng bn phn
th Carry Out s xut hin u ra sau mt thi gian T = 8 ln thi gian qu vi thi gian
qu l thi gian tr chuyn mch ca mt tng logic. Do vy thi gian tr rt ln, c bit
khi s bit ca mi s hng tng ln ln. khc phc nhc im ngi ta dng b
cng nh nhanh hay b cng nh nhn trc (Fast carry hay Carry look Ahead).
2.6.2. Mch hiu.
2.6.2.1. Mch bn hiu (HS-Half Subtract)
Mch bn hiu c 2 u vo:
a0 l bit c trng s nh nht ca s A.
b0 l bit c trng s nh nht ca s B.
Mch c 2 u ra:

67
S0 (Subtract Output) l kt qu php tr ct c trng s nh nht.
B0 (Borrow Out) l gi tr mn ca ct c trng s cao hn k tip.
Nguyn tc hot ng ca mch bn hiu c din t bi bng trng thi 2-18.

a0 b0 S0 B0
0 0 0 0
0 1 1 1
1 0 1 0
1 1 0 0
Bng 2-18. Bng trng thi ca mch HS

T bng trng thi, suy ra biu thc logic ca HS:

S0 a 0 b 0
(2.12)
B0 a 0 .b 0
S khi v s logic ca HS c trnh by trn hnh 2.46.

IT
PT

Hnh 2. 46. S mch FA.

2.6.2.2. Mch hiu ton phn


Mch hiu ton phn c 3 u vo:
ai l ch s ct th i ca s A.
bi l ch s ct th i ca s B.
Bi l bit mnnh t ct trc a ti
Mch c 2 u ra:
S0 (Subtract Output) kt qu php tr ct th i.
B0 (Borrow Out) l u ra mn.
Bng 2-19 l bng trng thi ca b tr y .
68
ai bi Bi S0 B0
0 0 0 0 0
0 0 1 1 1
0 1 0 1 1
0 1 1 0 1
1 0 0 1 0
1 0 1 0 0
1 1 0 0 0
1 1 1 1 1
Bng 2-19. Bng trng thi ca b tr

Hm logic:

S0 a i b i Bi
(2.13)
B0 a i .bi a i .Bi bi .Bi
S khi v s logic c trnh by trn hnh 2.47.
Nu Bi = 0 c mch tr bn phn.

IT
PT

Hnh 2. 47. S ca FS: a) S khi; b) S logic.

2.6.3. B cng, tr theo b 1 v b 2.

Hnh 2. 48. Mch cng/tr theo b 1

69
Cng/ tr theo b 1 c ngha l s du tr bin thnh du cng thng qua php b 1.
Khi thc hin cng, nu xut hin bit trn th phi cng vo kt qu c p s chnh xc.
Cng/ tr theo b 2 c ngha l s du tr bin thnh du cng thng qua php b 2.
Khi thc hin cng, nu xut hin bit trn th phi b bit i.
Hnh 2.48 v 2.49 gii thiu mch cng/tr theo b 1 v 2 s dng IC 7483 (cng 2 s
nh phn 4 bit) v IC 7486 (XOR).

2.7. MCH SO SNH.


IT
Hnh 2. 49. Mch cng/tr theo b 2.

Trong cc h thng s, c bit l trong my tnh, thng thc hin vic so snh hai s.
Hai s cn so snh c th l cc s nh phn, c th l cc k t m ho nh phn. Mch so
snh c th hot ng theo kiu ni tip hoc theo kiu song song. Trong phn ny s nghin
PT
cu b so snh theo kiu song song.
2.7.1. B so snh.
2.7.2.1. B so snh 1 bit.
T bng trng thi 2-20 c biu thc ra:

f a i .b i
f a i bi (2.14)

f a i .bi

ai bi f< f= f>
0 0 0 1 0
0 1 1 0 0
1 0 0 0 1
1 1 0 1 0
Bng 2-20. Bng trng thi ca mch so snh

70
Hnh 2. 50. Mch in b so snh 1 bit

2.7.2.2. B so snh 4 bit (So snh ln hn).


So snh hai s nh phn 4 bit A = a3a2a1a0 vi B = b 3b2b 1b0. S A ln hn s B khi:
a3 > b3 hoc a3 = b3 v a2 > b2 hoc a3 = b 3 v a2 = b 2 v a1 > b1 hoc a3 = b3 v a2 = b2 v
a1 = b1 v a0 >b0.
T , c biu thc hm ra l:

IT
f a 3 . b 3 a 3 b 3 . a 2 . b 2 a 3 b3 . a 2 b 2 . a1 . b1 a 3 b 3 . a 2 b 2 . a1 b1 . a 0 . b 0

T biu thc trn, v c s logic 2.51.


Mt trong nhng b so snh thng dng hin nay l 7485, 74LS85, 74HC85 - IC ny so
snh 2 s nh phn 4 bit. Bng 2-21 l bng chc nng ca IC 74HC85.
PT
Hnh 2.52 l k hiu logic ca IC 74HC85.
IC 74HC85 c 8 ng vo d liu l 2 s nh phn 4 bit A3A2A1A0 v B3B2B1B0 v 3
u ra tch cc mc cao th hin 3 kt qu ca php so snh (hnh 2-48). Ngoi ra IC ny cn
c 3 u vo ni tng m rng php so snh.

a3
b3

a2
b2

f>
a1
b1

a0
b0

Hnh 2. 51. Mch in b so snh ln hn 4 bit

71
u vo so snh u vo ni tip tng u ra
A3B3 A2B2 A1B1 A0B0 IA>B IA<B IA=B QA>B QA<B QA=B
A3>B3 x x x x x x 1 0 0
A3<B3 x x x x x x 0 1 0
A3=B3 A2>B2 x x x x x 1 0 0
A3=B3 A2<B2 x x x x x 0 1 0
A3=B3 A2=B2 A1>B1 x x x x 1 0 0
A3=B3 A2=B2 A1<B1 x x x x 0 1 0
A3=B3 A2=B2 A1=B1 A0>B0 x x x 1 0 0
A3=B3 A2=B2 A1=B1 A0<B0 x x x 0 1 0
A3=B3 A2=B2 A1=B1 A0=B0 1 0 0 1 0 0
A3=B3 A2=B2 A1=B1 A0=B0 0 1 0 0 1 0
A3=B3 A2=B2 A1=B1 A0=B0 0 0 1 0 0 1
A3=B3 A2=B2 A1=B1 A0=B0 0 0 0 1 1 0
A3=B3 A2=B2 A1=B1 A0=B0 1 1 0 0 0 0
Bng 2-21. Bng trng thi ca IC so snh 4 bit 74HC85

IT
V d mun thc hin so snh 2 s nh phn 8 bit phi ni 2 IC 7485. Hnh 2.52 v 2.53
gii thiu k hiu v cch u ni IC.

+5V

A3 A2 A1 A0
IA>B
B3 B2 B1 B0
PT
IA<B 74HC85

IA=B

QA>B QA<B QA=B

Hnh 2. 52. K hiu logic ca IC 74HC85 v cch ni IC 74HC85 khi so snh 2 s nh phn 4 bit

Hnh 2. 53. Cch mc IC 74HC85 khi so snh 2 s nh phn 8 bit

72
2.8. MCH TO V KIM TRA CHN L.
C nhiu phng php m ho d liu pht hin li v sa li khi truyn d liu.
Phng php n gin nht l thm mt bit vo d liu c truyn i sao cho s ch s 1
trong d liu lun l chn hoc l. Bit thm vo c gi l bit chn/l.
thc hin c vic truyn d liu theo kiu a thm bit chn, l vo d liu chng
ta phi:
Xy dng s to c bit chn, l thm vo n bit d liu.
Xy dng s kim tra h xem l h chn hay l vi (n + 1) bit u vo (n bit d
liu, 1 bit chn/l).
2.8.1. M chn, l.
M chn v m l l hai loi m c kh nng pht hin li hay dng nht. thit lp
loi m ny ch cn thm mt bit chn/ l (bit parity) vo t hp m cho, nu tng s bit 1
trong t m (bit tin tc + bit chn/l) l chn th c m chn v ngc li c m l.
Bng 2-22 l mt v d v m chn/l.

BCD 8421 BCD 8421 chn BCD 8421 l

IT
0000
0001
0010
0011
PC
0000
0001
0010
0011
0
1
1
0
PL
0000
0001
0010
0011
1
0
0
1
PT
0100 0100 1 0100 0
0101 0101 0 0101 1
0110 0110 0 0110 1
0111 0111 1 0111 0
1000 1000 1 1000 0
1001 1001 0 1001 1
Bng 2-22. M BCD 8421 chn / l

4.8.2. Mch to v kim tra chn/l.


Hnh 2.54 l s khi ca mch to bit chn/l.

Hnh 2. 54. S khi ca mch to bit chn/l

73
Biu thc kim tra tnh chn:
PC d1 d 2 ... d n 0
Biu thc kim tra tnh l:
PL d1 d 2 ... d n 1
T , xy dng c mch kim tra tnh chn/l:

Hnh 2. 55. S khi ca mch kim tra chn/l

Do cng dng ph bin ca mch to v kim tra chn/l nn ngi ta thit k sn


trn mt chip MSI (74180).
IT
PT

Hnh 2. 56. S chn ca IC 54/74180 v sS k hiu ca IC 54/74180

Sau y l bng m t chn ca IC


Chn Chc nng
I0 I7 D liu vo
OI (Odd Input) u vo l
EI (Even Input) u vo chn
O (Odd Parity Output) u ra l
E (Even Parity Output) u ra chn

Bng 2-26. Bng m t chn IC

Bng chc nng ca IC:

74
Tnh chn/l ca cc u vo u vo u ra
t 0 7 u vo chn u vo l u ra chn u ra l
Chn H L H L
L H L L H
Chn L H L H
L L H H L
x H H L L
x L L H H
Bng 2-27. Bng chc nng ca IC

S logic ca IC

IT
Hnh 2. 57. S logic ca IC 74180

V d 1: To b kim tra tnh l 9 bit dng 74180.


PT

Hnh 2. 58. Mch kim tra parity l 9 bit

V d 2: To b kim tra tnh chn 16 bit dng 74180

Hnh 2. 59. Mch kim tra parity chn 16 bit

75
V d 3: S khi ca mch to v kim tra tnh chn 8 bit:

Hnh 2. 60. S khi ca mch to v kim tra tnh chn

2.9. MCH TO M V GII M HAMMING

to m v gii m n gin.
IT
M Hamming l loi m tuyn tnh, m ny c R.W. Hamming a ra v s dng
trong mt s h thng thng tin. M ny c kh nng sa sai mt li. M Hamming c s

S bit kim tra P v s bit tin tc D phi tha mn biu thc

D P 1 2P
PT
(2.15)
Khi s bit tin tc tng th s bit kim tra cng tng, tuy nhin tc ca s bit tin tc
nhanh hn nhiu so vi s tng ca s bit kim tra. Do vy, khi s bit tin tc cng ln th hiu
qu s dng bit chn/l cng cao, iu ny dn n vic s dng m Hamming tr nn ph
bin.
2.9.1. To m
to m Hamming, cn xc nh gi tr cc bit kim tra tnh chn hoc l ca t m.
V tr cc bit kim tra chn/l l cc bit c th t 2i tnh t bit c trng s nh nht.
V d: vi s bit tin tc l 5, p dng cng thc (2.15) th s bit kim tra chn/l l 4.
Cch sp xp v tr cc bit nh sau:
9 8 7 6 5 4 3 2 1
D5 P8 D4 D3 D2 P4 D1 P2 P1 (*)
Cc bit chn/l c nhim v kim tra tnh chn hoc l (ty theo yu cu) ca mt s bit
k c bn thn chng trong t hp m.
Bit chn/l P c nhim v kim tra tnh chn l ti cc v tr n chim gi c gi tr 1
(theo bng nh phn).

76
H thp phn H nh phn
P8P4P2P1
0 0000
1 0001
2 0010
3 0011
4 0100
5 0101
6 0110
7 0111
8 1000
9 1001
10 1010
11 1011
12 1100
13 1101

IT 14
15
1110
1111
Bng 2-28. Bng trng thi

Bit P1 kim tra tnh chn/l ti cc v tr : 1, 3, 5, 7, 9, 11


PT
Bit P2 kim tra tnh chn/l ti cc v tr : 2, 3, 6, 7, 10, 11
Bit P4 kim tra tnh chn/l ti cc v tr : 4, 5, 6, 7, 12,13
Bit P8 kim tra tnh chn/l ti cc v tr : 8, 9, 10, 11
Nu xy dng m Hamming chn th phi tha mn biu thc kim tra tnh chn nh
sau:
d1 d 2 d 3 d n = 0
Nu xy dng m Hamming l th phi tha mn biu thc kim tra tnh l nh sau:
d1 d 2 d 3 d n = 1.
Tm P0:
Do bit P0 kim tra tnh chn ti cc v tr : 1, 3, 5, 7, 9, 11nn vit c biu thc:
P0 v tr 3 v tr 5 v tr 7 v tr 9 = 0.
P0 D1 D2 D4 D5 = 0.
Thay gi tr cc v tr trong cng thc (*) tm c P0.
Tng t i vi cc P khc. Cui cng thay vo biu thc (*) tm c t m
Hamming tng ng.
77
V d: Cho t m thng tin l 101101, hy xy dng t m Hamming chn.
Li gii:
101101 => D = 6 => P = 4 tho mn cng thc (2.15).

10 9 8 7 6 5 4 3 2 1

1 0 P 8 1 1 0 P4 1 P2 P1

Tm P1:
P1 v tr 3 v tr 5 v tr 7 v tr 9 = 0.
P1 D1 D2 D4 D5= 0.
P1 1 0 1 0 = 0 P1 = 0
Tm P2:
P2 v tr 3 v tr 6 v tr 7 v tr 10 = 0.
P2 D1 D3 D4 D6= 0.
P2 1 1 1 1 = 0 P2 = 1
Tm P4: IT
P4 v tr 5 v tr 6 v tr 7 = 0.
P4 D2 D3 D4 = 0.
PT
P4 0 1 1 = 0 P4 = 0
Tm P8:
P8 v tr 9 v tr 10 = 0.
P8 D5 D6 = 0.
P8 0 1 = 0 P3 = 1
T m Hamming chn pht i l:

1 0 1 1 1 0 0 1 1 0

T , v c mch to m nh hnh 2.61.

78
Hnh 2. 61. Mch to m Hamming

2.9.2. Gii m
Khi nhn c t m, tin hnh tnh Si. Nu m Hamming chn th Si = 0, nu l m
Hamming l th Si = 1. Nu kt qu nhn c khng ng th t m nhn c b li (i vi
m chn) hoc t hp cc gi tr o ca Si chnh l v tr li (i vi m l).
Biu thc ca cc Si (i vi v d trn) l:
S3 = P8 D5 D6
S2 = P4 D2 D3 D4
S1 = P2 D1 D3 D4 D6
S0 = P1 D1 D2 D4 D5

D6 D5 P8 D4 D3 D2 P4 D1 P2 P1
IT
T , v c mch gii m nh hnh 2.62.

S0 S1 S2 S3
PT
+
+
+
+
Hnh 2. 62. Mch gii m Hamming

Sau y gii thiu mch to m v gii m Hamming 4 bit (Hnh 2.63).


Mch ny m t cch s dng m Hamming 4 bit pht hin li v sa li. N s
dng 4 chuyn mch u vo thit lp gi tr ca 4 bit cho u vo b m ha, ng thi
mch cng cho ra gi tr ca 3 bit chn/l, sau cc bit chn/l ny c truyn cng 4 bit
gi tr.
By chuyn mch v by cng XOR cho php chn li vo cc d liu c truyn
i. Nu chuyn mch ngt th bit d liu tng ng c truyn i mt cch bnh thng. Nu

79
chuyn mch ng tng ng vi mt bit li c truyn (cng XOR tin hnh o bit
tng ng).

IT
Hnh 2. 63. Mch to m v gii m Hamming 4 bit
PT
u tin, mch t tt c 7 chuyn mch li v v tr ngt. Sau la chn vi gi tr
ca mch m ha vi chuyn mch ng v quan st cc bit chn/l cch hot ng ca b
gii m. Tip theo, thay i cc chuyn mch nh li chn cc bit li vo d liu v quan
st li cch hot ng ca b gii m. iu g s xy ra nu bn kch hot nhiu hn mt
chuyn mch nh li?
Khi gii m nhn c 7 bit m v khi phc li thng tin ban u (4 bit d liu).
2.10. N V S HC V LOGIC (ALU).
n v s hc v logic (Arithmetic Logic Unit) l mt thnh phn c bn khng th
thiu c trong cc my tnh. N bao gm 2 khi chnh l khi logic v khi s hc v mt
khi hp knh.
Khi logic: Thc hin cc php tnh logic nh l AND, OR, NOT, XOR.
Khi s hc: Thc hin cc php tnh s hc nh l: cng, tr, tng 1, gim 1.
S khi ca 1 n v s hc logic ALU 4 bit c m t hnh 2.64.

80
Hnh 2. 64. S khi ca b ALU 4 bit

M l u vo chn php tnh s hc hay logic.


F0, F1 l hai u vo chn chc nng.
Sau khi mt php tnh s hc hay logic c thc hin th kt qu s c ghi ln 1
thanh ghi, v d thanh ghi A. Kt qu ny c th c s dng thc hin php tnh sau.
B ALU cn to ra cc bit trng thi chuyn i thanh ghi.
Hnh 2.65 gii thiu s chn v s k hiu ca 74181ALU
B0

A0 A1

B1

A2

B2
IT 7
8
6
5
Cn
M
S0
S1
2 1 23 22 21 20 19 18

A0 B0 A1 B1 A2 B2 A3 B3

54/74180
Cn+4

A=B
16

14
PT
A3 G 17
4 S2
B3 3 S3 P 15
F0 F1 F2 F3
G

F0
9 10 11 13
F1 B

F2
VCC = 24
F3 GND = 14

Hnh 2. 65. S chn v k hiu ca IC 74181

Bng chc nng ca IC 74181.


Khi u vo iu khin chn Mod (M) mc cao th tt c cc u vo nh b ngn
cm v thit b ch hot ng trong ch logic. Khi M mc thp th cc u vo nh c
kch hot v thit b hot ng ch s hc vi hai s 4 bit. Thit b ghp ni cc u vo
nh ca tng tng bng cch s dng u ra Cn+4 hoc s dng tn hiu P (Carry Propagate)
v G (Carry Generate). Cc li P v G khng nh hng n u vo nh. Khi khng quan
tm n tn s, c th s dng ch nh ni tip bng cch ni u ra nh (C n+4) vi u
vo nh (Cn) ca tng tip theo.

81
u vo la chn ch hot ng Cc u vo v u ra hot ng mc tch cc cao
S3 S2 S1 S0 Logic (M=H) S hc (M=L)
(Cn =H)
L L L L A A
L L L H AB A+B
L L H L A.B AB
L L H H 0 Tr 1 (ca b 2)
L H L L A.B A cng A.B
L H L H B (A + B) cng A.B
L H H L AB A tr B tr 1
L H H H A.B (A . B) tr1
H L L L AB A cng A . B
H L L H AB A cng B
H L H L B (A B) cng A . B
H L H H A.B A . B tr 1
H
H
H
H H
H
H
H
H
L
L
H
H
L
H
L
IT A
1
AB
A+B
A cng A*
(A +B) cng A

(A B) cng A
A tr 1
PT
Bng 2-29. Bng chc nng ca IC 74181 khi M mc logic thp
A*: tng bit c dch sang v tr c trng s cao hn

u ra A = B mc cao khi c 4 u ra F mc cao v n cn c dng bo hiu


logic tng ng khi thit b hot ng ch tr. u ra A = B l cng collector h v
c th ni vi cc u ra A = B khc bng cng AND thc hin so snh khi nhiu hn 4
bit. Tn hiu A=B c th c s dng cng vi tn hiu Cn+4 ch th A>B hoc A<B.
Bng chc nng m t hot ng ca khi s hc m khng c u vo nh. u vo
nh c nh km theo tng ch hot ng. V d, khi la chn m LHHL pht ra
trng thi A tr B tr 1 (b 2) m khng c u vo nh v pht ra tn hiu A tr B khi c u
vo nh.
Do php tr c biu din bi php b (b 1), u ra nh chnh l li mn, do vy tn
hiu nh c pht ra khi khng b di mc v khng c pht ra khi di mc.
Thit b c s dng c hai trng hp khi u vo tch cc thp v tch cc cao tng
ng vi u ra tch cc thp v tch cc cao.

82
u vo la chn ch hot ng Cc u vo v u ra hot ng mc tch cc thp
S3 S2 S1 S0 Khi logic (M=H) Khi s hc (M=L)
(Cn =L )
L L L L A A tr 1
L L L H A.B AB tr 1
L L H L AB A.B tr 1
L L H H 1 tr 1 (ca b 2)
L H L L AB A cng (A B)
L H L H B A. B cng (A B)
L H H L AB A tr B tr 1
L H H H AB AB
H L L L A.B A cng (A + B)
H L L H AB A cng B
H L H L B A B cng (A + B)
H L H H A+B A+B
H
H
H
H
H
H
H
H
L
L
H
H
L
H
L
H
IT 0
A.B
A.B
A
A + A*
A . B cng A

A.B cng A
A
PT
Bng 2-30. Bng chc nng ca IC 74181 khi M mc logic cao

TM TT
Trong chng ny, gii thiu mch logic t hp. Mch t hp do cc phn t logic
c bn cu trc nn. c im ca mch t hp l tn hiu u ra thi im bt k no cng
ch ph thuc vo tn hiu u vo thi im m khng lin quan n trng thi vn c
ca mch.
Mch t hp rt phong ph, khng th xem xt ht trong chng 2. Trng tm ca
chng l nm vng c im mch t hp v phng php chung khi thit k, phn tch
mch t hp. V vy, chng gii thiu mt cch chn lc b m ho, b gii m, b hp
knh, phn knh, mch cng, tr, mch so snhtrong qu trnh , xem xt phng php
phn tch v thit k mch t hp.
Khi phn tch mch t hp cho, c th vit ra hm logic u ra cho tng cp ca s
, ri tin hnh ti thiu ho hm logic biu th r mi quan h gia u ra vi u
vo.

83
Vic ti thiu ho hm logic rt quan trng. V vic ny lm cho mch logic n gin,
kinh t. Chng ta mong mun mch in cng t linh kin cng tt, s u vo ca mch cng
cng khng th qu nhiu.

CU HI N TP
1. Mch logic t hp l mch thc hin chc nng g?
2. B m ho u tin khc vi b m ho thng thng im no?
3. Dng c hin th 7-on c th hin th nhng k t g?
4. Dng c hin th 7-on Ant chung khc vi loi Catt chung im g? V hnh minh
ha.
5. Thit k b hp knh 5 ng vo d liu?
6. Dng IC 74138 thit k b phn knh 1 vo 32 ra.
7. Thut ng parity (tnh chn l) c ngha l g? Nu b to bit chn l nhn mt bit kim
tra parity chn, n yu cu nhn bit parity dng g?
8. Xy dng mch to v kim tra chn/ l 7 bit?

IT
9. Thit k mch logic ton NAND 2 li vo thc hin vic ly biu quyt a s: gm 3
li vo v 1 li ra. Trng thi li ra lun c mc theo a s mc ca tn hiu vo.
10. Xy dng mch bin m BCD 8421 thnh nh phn p dng cho s (1001 0110 01012 =
965 10).
PT
11. Xy dng mch bin m nh phn 4 bit thnh m Gray.
12. Xy dng mch t hp c 4 li vo v 1 li ra. Hm ra c mc logic 1 khi tn hiu li vo
chia ht cho 3.
13. Khi cn so snh 2 s nh phn 16 bit cn dng my IC 7485? Hy v s thc hin vic
ghp ni .
14. Khi cn cng 2 s nh phn 32 bit cn dng my IC 74283? Hy v s thc hin vic
ghp ni .

15. Dng b hp knh thc hin hm logic f A..B.C A.B

16. Xy dng mch bin m BCD 8421 thnh m d 3.


17. Xy dng mch bin m m d 3 thnh BCD 8421.
18. Xy dng mch logic kim tra tnh l c 3 u vo v 1 u ra. Chc nng ca mch l
khi c l s bit 1 u vo th u ra c trng thi 1.

84
CHNG 3. MCH LOGIC TUN T

GII THIU.
Chng 2 nghin cu v php phn tch v thit k cc mch logic t hp. Mc d
rt qua trng nhng n ch l mt phn ca cc h thng k thut s. Mt phn qua trng ca
cc h thng k thut s khc l phn tch v thit k mch tun t. Tuy nhin vic thit k
cc mch tun t li ph thuc vo vic thit k mch t hp c cp chng 2.
C nhiu ng dng m u ra s phi c to ph hp vi tun t nhn c cc tn
hiu vo. Yu cu ny khng th c tho mn bng vic s dng h thng logic t hp.
Nhng ng dng ny yu cu u ra khng ch ph thuc vo cc iu kin u vo
hin c m cn ph thuc vo lch s ca cc u vo. Lch s c cung cp bng cch phn
hi t u ra v li u vo.
Mch logic tun t khng nhng ph thuc vo trng thi cc u vo v cn ph thuc
vo trng thi trong ca n. Mch tun t c chia lm hai loi chnh l mch tun t khng
ng b v mch tun t ng b.

IT
Trong phn ny s gii thiu v cc phn t nh ca mch tun t. Cch phn tch v
thit k mch tun t n gin v phc tp.
NI DUNG
3.1. KHI NIM CHUNG V M HNH TON HC
PT
3.1.1. Khi nim chung
Trong chng ny, s ni n h thng s c gi l mch logic tun t (hay cn gi
l mch dy - Sequential Circuit). Hot ng ca h ny c tnh cht k tip nhau, tc l trng
thi hot ng ca mch in khng nhng ph thuc trc tip u vo m cn ph thuc vo
trng thi bn trong trc ca chnh n. Ni cch khc cc h thng ny lm vic theo
nguyn tc c nh.
3.1.2. M hnh ton hc
Mch tun t l mch bao gm mch logic t hp v mch nh. Mch nh l cc trig.
i vi mch tun t, p ng ra ca h thng mch in khng ch ph thuc trc tip vo
tn hiu vo (X) m cn ph thuc vo trng thi ni (Q) ca n. C th m t s khi tng
qut ca mch tun t.
y: X - tp tn hiu vo.
Q - tp trng thi trong trc ca mch.
W - hm kch.
Z - cc hm ra
Hot ng ca mch tun t c m t bng mi quan h ton hc sau:

85
Z = f(Q, X)

Hnh 3-1. S khi mch tun t

Trong phng trnh ton hc ca mch tun t c hai thng tin. l thng tin v trng
thi tip theo ca mch tun t v thng tin v tn hiu ra ca mch. Hai thng tin ny cng
ph thuc ng thi vo trng thi bn trong trc ca mch (Q) v tn hiu tc ng vo
(X) ca n. C th vit li biu thc trn nh sau:
Z = f [Q(n), X] ; Q (n +1) = f [Q(n), X]
Trong : Q(n +1): l trng thi k tip ca mch.
Q(n): l trng thi bn trong trc .

IT
hiu r hn v mch tun t, xt cc phn t c trong mch. Mch logic t hp
c xt chng 2 cn phn t nh chnh l cc trig.
3.2. PHN T NH CA MCH TUN T
3.2.1. Cc loi Trig
PT
nh ngha: Trig l phn t c kh nng lu tr (nh) mt trong hai trng thi bng
n nh tng ng vi hai mc logic 1 v 0. Trig trong ting Anh cn gi l Flip Flop vit
tt l FF.

Hnh 3-2. S tng qut ca mt trig

Khi tc dng mt tn hiu ti u vo c cc tnh v bin thch hp, trig c th


chuyn v mt trong hai trng thi cn bng, v gi nguyn trng thi chng no cha c
tn hiu tc dng lm thay i trng thi ca n. Trng thi tip theo ca trig khng nhng
ph thuc vo tn hiu u vo m cn ph thuc vo trng thi hin ti ca n. Nh vy n
c tnh cht nh v n c s dng lm cc phn t nh. Trig c to thnh t cc phn
t logic c bn.
86
Trig c t 1 n mt vi li iu khin, c hai u ra lun lun ngc nhau l Q v Q .
Tu tng loi trig c th c thm cc u vo lp (PRESET) v u vo xo (CLEAR).
Ngoi ra, trig cn c u vo ng b (CLOCK). Hnh 3-2 l s khi tng qut ca trig.
Phn loi:
Theo chc nng lm vic ca ca cc u vo iu khin: hin nay thng s dng loi
trig 1 u vo nh trig D, T; loi hai u vo nh trig RS, trig JK.
Theo phng thc hot ng c hai loi: trig ng b v trig khng ng b. Trong
loi trig ng b li c chia lm hai loi: trig thng v trig ch - t (Master- Slave).
S khi ca s phn loi trig c cho hnh 3-3.

3.2.1.1. Trig RS
IT
Hnh 3-3. S phn loi trig
PT
Trig RS khng ng b

Trig RS l loi c hai u vo iu


khin S, R. Chn S gi l u vo "lp"
(SET) v R c gi l u vo "xo"
(RESET).

Hnh 3-4 l k hiu ca trig RS trong Hnh 3-4. S k hiu trig RS khng ng b
cc s logic.

Hnh 3-5 l s nguyn l ca trig RS v RS ng b.

Hnh 3-5. S nguyn l ca trig RS khng ng b cng NOR v cng NAND

87
Nguyn l hot ng ca trig RS khng ng b cng NAND
Nguyn l hot ng ca trig RS khng ng b cng thng qua bng trng thi 3-1.

S R Q n 1 Mod hot ng

0 0 x Cm
0 1 1 Lp
1 0 0 Xa
1 1 Qn Nh
Bng 3-1. Bng trng thi ca trig RS cng NAND

V tn hiu u vo S c th v ch c th thit lp trig trng thi Q = 1, tn hiu

u vo R c th v ch c th xa trig v trng thi Q = 0, nn thng gi S l u vo t

(Set) v u ra R l u vo xa (Reset).

Mch khng cho php ng thi a tn hiu vo c R v S , tc l trng thi

R S 0 b cm. V nu R S 0 th Q v Q ng thi bng 1, khng phi l trng thi

IT
n nh ca trig RS, v khng th xc nh trng thi trc ca n.
Cn c vo bng Cc n hnh 3-2, tm c phng trnh c trng:

Q n 1 S R .Q n

S.R 1
(3.1)
PT
SR
Hnh 3-6

Bng Cc n ca Q n 1

Bng trng thi ca trig RS dng cng NOR c cho bng 3-2.

S R Qn+1 Mod hot ng C S R Qn+1 Mod hot ng


0 0 Qn Nh 0 x x Qn Nh
0 1 0 Xo 1 0 0 Qn Nh
1 0 1 Lp 1 0 1 0 Xo
1 1 x Cm 1 1 0 1 Lp
Bng 3-2. Bng trng thi ca trig RS 1 1 1 x Cm

Bng 3-3. Bng trng thi ca trig RS


ng b cng NAND

88
c im ca Trig c bn:
- u im: Mch n gin, c th nh 1 bit, l c s cu trc cc Trig hon ho
hn.
- Nhc im: Tn hiu trc tip iu khin trng thi u ra, ng dng b hn ch, tn
hiu vo rng buc ln nhau, khng trng thi cm.
Trig RS ng b

Hnh 3-7a). S k hiu trig RS ng b

S k hiu ca trig RS ng b c ch ra trn hnh 3-7a).


khc phc nhc im ca loi trig RS c bn l trc tip iu khin, ngi ta
thm vo 2 cng iu khin v mt tn hiu iu khin, chnh l trig RS ng b c
trnh by hnh 3-7b).

IT
Nguyn l hot ng ca trig RS ng b cng NAND:
Khi C = 0 cc cng vo b ngt, trig RS c bn khng tip thu tn hiu vo, mch c
duy tr trng thi c. Khi C = 1 cc cng vo thng, mch sn sng tip thu tn hiu vo R, S.
Nguyn l hot ng trig RS ng b cng ging nh trig RS c bn, chng ch khc
l ch tip nhn tn hiu u vo R, S khi C = 1. V l do nn gi l trig RS ng b. Bng
PT
trng thi c ch ra trn bng 3-3.

Hnh 3-7b. S nguyn l ca trig RS ng b cng NOR v cng NAND

th thi gian dng xung c trnh by trn hnh 3-8.

Hnh 3-8. th thi gian dng xung ca trig RS

89
u im: iu khin chn m mch, trig ch tip thu tn hiu vo khi C = 1.
Nhc im: Trong thi gian C = 1, tn hiu vo vn trc tip iu khin trng thi u
ra ca trig.
3.2.1.2. Trig JK
Trig JK l loi trig c hai u vo iu khin J, K. Trig ny c u im hn trig
RS l khng cn tn ti t hp cm bng cc ng hi tip t Q v chn R v t Q v S.
Tuy nhin, im c bit l trig JK cn c thm u vo ng b C. Trig c th lp hay xo
trong khong thi gian ng vi sn m hoc sn dng ca xung ng b C. Trig JK
thuc loi ng b.
S hot ng ca trig JK c trnh by bng bng trng thi 3-4
C J K Qn+1 Mod hot ng
0 x x Qn Nh (i vi loi trig JK dng cng NAND)
1 x x Qn Nh (i vi loi trig JK dng cng NOR)
Ck 0 0 Qn Nh
Ck 0 1 0 Xo
Ck 1 0 1 Lp
Ck 1 1
QnIT Thay i trng thi theo mi xung nhp

Bng 3-4. Bng trng thi ca trig JK ng b


PT

Hnh 3-9. a) S nguyn l ca trig JK cng NAND; b) S k hiu ca trig JK


cng NAND; c) S k hiu ca trig JK cng NOR.

T bng trng thi, lp bng Cc n tm phng trnh c trng: Coi J, K v Qn l


bin (b qua clock) v Qn+1 l hm:

J .Qn

K.Q n

Hnh 3-10. Bng Cc n tm phng trnh c trng ca trig JK

90
T hnh 3-10, c phng trnh:

Q n 1 J.Q n K .Q n (3.2)

S nguyn l v s k hiu ca trig JK c trnh by hnh 3-9.


3.2.1.3. Trig D

Hnh 3-11. S nguyn l v s k hiu ca trig D ng b

Trig D c s nguyn l v s k hiu trn hnh 3-11 c 1 u vo D v 2 u ra


Q v Q .

Bng 3-11. Bng trng thi ca trig D

Qn
0
0
1
1
IT D

0
1
0
1
Q n 1
0
1
0
1
PT
Bng 3-11. Bng trng thi trig D

T bng trng thi 3-11, tm c phng trnh c trng ca trig D:

Qn 1 D (3.3)

vi iu kin xut hin sn dng C, nu iu kin ny khng tha mn, trig gi


nguyn trng thi c. th thi gian ca dng xung ca trig D c trnh by trn hnh 3-
11.

Hnh 3-11. Dng xung ca Trig D

3.2.1.4. Trig T (Toggle lt)


Trig T l mch in c chc nng duy tr v chuyn i trng thi ty thuc vo tn
hiu u vo T trong iu kin nh thi ca C, k hiu logic c trnh by trn hnh 3-12.

91
Q Q

Hnh 3-12. K hiu logic ca Trig T

Nu cho J = K= T , trig JK chuyn thnh trig T. Phng trnh c trng

Q n 1 JQ n KQ n TQ n TQ n

Qn 1 T Qn (3.4)

vi iu kin xut hin sn m C.


n 1
T = 0 d c sn m ca C th Q Qn vo duy tr trng thi c.

T = 1 c sn m ca C th Q n 1 Q n

Bng 3-12: Bng trng thi ca Trig T

Qn
0
0
1
1
IT T

0
1
0
1
Q n 1
0
1
1
0
PT
Bng 3-12: Bng trng thi ca Trig T

th thi gian dng sng ca Trig T c ch ra trn hnh 3-13.

Hnh 3-13: th thi gian dng sng ca Trig T

3. 2.1.3. Trig T
Trig T l mch in ch c chc nng chuyn i trng thi trong iu kin nh thi
ca C. Trig T l Trig T m T =1. Phng trnh c trng:

Q n 1 T Q n 1 Q n Q n (3.5)

vi iu kin xut hin sn m ca C.

92
th thi gian dng sng ca Trig T c trnh by trn hnh 3-14.

Hnh 3-14. th thi gian dng sng ca Trig T

Nhn xt: T cc bng trng thi ca cc trig trn thy rng: Cc trig D v RS c th
lm vic c ch khng ng b v mi tp tn hiu vo iu khin D, RS lun lun tn
ti t nht 1 trong 2 trng thi n nh. Trng thi n nh l trng thi tho mn iu kin Qk
= Q. Cn trig T v trig JK khng th lm vic c ch khng ng b v mch s ri
vo trng thi dao ng nu nh tp tn hiu vo l 11 i vi trig JK hoc l 1 i vi
trig T. Nh vy, trig D, trig RS c th lm vic c hai ch : ng b v khng ng
b cn trig T v trig JK ch c th lm vic ch ng b.
3.2.1.3. Cc loi trig Ch- t (MS-Master- Slave).

IT
Hnh 3-15. Cu trc ca trig MS
PT
Do cc loi trig ng b trn u hot ng ti sn dng hay sn m ca xung
nhp nn khi lm vic tn s cao th u ra Q khng p ng kp vi s thay i ca xung
nhp, dn n mch hot ng tnh trng khng c tin cy. Loi trig MS khc phc c
nhc im ny. u ra ca trig MS thay i ti sn dng v sn m ca xung nhp, nn
cu trc ca n gm 2 trig ging nhau nhng cc tnh iu khin ca xung Clock th ngc
nhau m bo sao cho ti mi sn ca xung s c mt trig hot ng. V nguyn tc
hot ng ca loi trig MS (RS-MS, JK-MS, D-MS, T-MS) hon ton ging nh cc loi
trig thng thng (RS, JK, D, T).
Cu trc chung ca mt trig MS c minh ho hnh 3-15.
a) Trig RS Master- Slave
Mch ny gii quyt trit vn trc tip iu khin, l nhc im ca cc loi
trig trn.
Cu trc mch v nguyn l hot ng.
Hnh 3-16 l s ca trig RS Master Slave gm 2 trig RS c bn ghp lin tip vi
nhau, mt l trig RS Master (trig ch), mt l trig RS Slave (trig t), xung ng h cung
cp cho chng l o nhau (qua mch o).

93
S G Qm
E C
A Q S Q
C C
F
B
R
H
D Q
Qm R Q
Master Slave

C
a) b)

Hnh 3-16: Trig RS Master- Slave. S logic (a) ; k hiu logic (b)

Nguyn l hot ng:

+ Khi C = 0 cng G, H ngt nn trig Master ngt, C 1 cng C, D thng, n tip thu
m
tn hiu u ra Master do Q Q , Q Qm

+ Sau t bin sn dng C.


C = 1, trig Master thng qua cc cng G, H tip nhn tn hiu u vo, do :

IT
Qnm1 S R.Qnm
Vi iu kin S, R khng ng thi bng khng.

Khi C = 1 th C 0 , trig Slave ngt u ra Q v Q vn duy tr trng thi c.

+ Khi c sn m ca C.
PT
Xung nhp C t bin xung 0, Trig Master ngt, khi C t bin ln 1, Trig
Slave tip nhn tn hiu c trig Master ghi nh t thi gian C = 1, ngha l trig Slave
chuyn i trng thi theo biu thc logic:

Qn 1 S R.Qn (3.6)

Vi iu kin xut hin sn m ca xung ng h C.


Nh vy trig Master Slave gii quyt trit vn trc tip iu khin. Trng
thi u ra khng chu nh hng trc tip ca cc u vo R, S.
c im c bn:
- u im: Cu trc iu khin Master Slave gii quyt vn trc tip iu khin,
trong khi C = 1 tip thu tn hiu, sn m ca C kch thch chuyn trng thi u ra.
- Nhc im: Vn cn rng buc gia R v S khi C = 1.
b) Trig JK Master - Slave
Cu trc mch v nguyn l hot ng.

94
Loi trig RS master Slave c trnh by trn hnh 3-16 vn cn s rng buc ca R v
S. Khi R = S = 1, cc cng G v H u mc thp, dn n trng thi cm Q m 1 v

Qm 1 .

Ch mt im sau: Khi C = 1, trig Master Slave, Q v Q khng i trng thi v l

o ca nhau. Do ch cn em mc cc u ra Q v Q a n u vo ca G v H th c

th khc phc c tnh trng c Q m v Qm u bng 1.

gii quyt vn trn ngi ta ci tin trig RS Master Slave theo s c trnh
by trn hnh 3-17, v khng dng tn u vo RS m gi l J, K. Trig JK Master Slave v
gi tt l trig JK.
Theo trnh by trn v s ci tin ca trig JK, thy nguyn tc hot ng ging nh
trig RS Master Slave, ch khc s tng ng sau ca tn hiu u vo:

S J. Q n (3.7)

R K.Q n (3.8)

IT Q
PT
Hnh 3-17: Trig JK Master Slave S logic (a) K hiu logic (b)

p dng cng thc (3.6) tm c:

Q n 1 S R.Q n J.Q n K.Q n .Q n J.Q n (K Q n ).Q n

Q n 1 J.Q n K.Q n (3.9)

Vi iu kin xut hin sn m ca C, cng thc (3.9) l phng trnh c trng


ca trig JK.
3.2.2. u vo khng ng b ca trig.
Cc u vo d liu thng thng ca trig nh D, S, R, J hoc K l nhng u vo
ng b v chng c nh hng ln cc u ra khi c tc ng ca xung Clock. Trong thc t
cc trig cn c thm 2 u vo khng ng b, cc li ny tc ng trc tip ln cc u ra
m khng ph thuc vo xung Clock. Cc u vo ny thng c k hiu l: PRE (lp) v
CLR (R -xa) hoc PRE v CLR (R) . Xem hnh 3-18 v 3-19.

95
Hnh 3-18. u vo khng ng b mc tch cc cao

Khi u vo PRE (preset) c kch hot th trig s trng thi lp (Q = 1 v Q 0 ),


lc ny trig khng ph thuc vo cc u vo ng b hay xung Clock. Khi li CLR (clear)
c kch hot th trig s trng thi xa (Q = 0 v Q 1 ), lc ny trig cng khng ph
thuc vo cc u vo ng b hay xung Clock. Nu c hai li PRE v CLR cng c kch
hot th trng thi u ra s khng ph hp, lc ny Q v Q c cng mt trng thi.

Cc u vo khng ng b cng nh cc u vo ng b c th c thit k mc


tch cc cao hoc thp. Nu chng hot ng mc tch cc thp th s c du trn o k

IT
hiu logic ging nh k hiu sn m ca xung Clock.
PT
Hnh 3-19. u vo khng ng b mc tch cc thp

3.2.3. Chuyn i gia cc loi trig.

Hnh 3-20 . Cc kh nng chuyn i trig

96
Trong thit b s c th cn nhiu loi trig khc nhau. Tuy nhin cc IC trong cng
nghip thng ch sn xut mt s loi nht nh ph bin l trig D, JK. Mt khc trong mt
IC thng c 2 n 4 trig cng loi, c th gy ra s d tha loi ny nhng li thiu loi
khc. Do , vic chuyn i gia cc loi trig l cn thit. Tm phng php chuyn i
gia cc loi trig .
Vi 4 loi trig trn th c 12 kh nng chuyn i sang nhau.
3.2.3.1. Phng php chuyn i gia cc loi trig.
1. Nguyn tc chung

IT
Hnh 3-21. S khi ca phng php chuyn i trig

Chuyn i gia cc loi trig l vic bin chc nng ca mt trig cho thnh mt
trig khc loi. thc hin c cng vic ny bt buc phi b sung thm mt mch lin
hp ph. S khi th hin mt trig chuyn i c trnh by trn hnh 3-21.
PT
T s hnh 3-21 c th suy ra cch chuyn i l tm quan h hm ra ca mch kch
thch ph fb cng chnh l hm kch thch ca trig cho vi u ra ca n v kch thch
vo.
Vic chuyn i gia cc loi trig c th thc hin theo mt trong hai phng php
sau:
- Dng phng trnh c trng ca trig cho, kt hp vi php bin i logic cn
thit a n v phng trnh c trng ca trig cn tm. Trn c s phng trnh c
trng, vit ra hm kch thch v v s tng ng ca trig.
Phng php ny tng i nhanh, nhng cn k nng bin i hm logic.
- Dng bng kch thch ca trig cho v trig cn tm xc nh hm kch thch
sau v s logic ca trig cn tm.
Nh bit, lp bng trng thi cho cc trig c ngha l tm trng thi k tip ca trig
khi bit tn hiu vo, cn bng hm kch l bng tm hm kch (tn hiu vo) khi bit
trng thi ra. Ni cch khc, lp bng hm kch l qu trnh ngc li vi vic lp bng trng
thi.
T bng trng thi ca cc trig, lp c bng hm kch 3-13:

97
Qn Qn+1 RS JK D T
0 0 X0 0X 0 0
0 1 01 1X 1 1
1 0 10 X1 0 1
1 1 0X X0 1 0
Bng 3-13. Bng hm kch ca cc loi trig

Sau y, xt mt s v d xy dng cc trig t cc trig cho trc thng hay c


s dng trong thc t.
2. Chuyn i gia cc loi trig
a) Chuyn i t trig RS sang JK, D, T, T.
Chuyn i t trig RS sang JK. chuyn i cn tm mi quan h:
S f1 (Q, J, K) ; R f 2 (Q, J, K)

iu ny c th thc hin bng hai cch:


+ Dng phng trnh c trng

IT
Phng trnh c trng ca trig RS c dng:
n 1
Q S R.Q

R.S 0
cn phng trnh c trng ca trig JK c dng:
n
(3.10)
PT
Q n 1 J.Q n K.Q n (3.11)

So snh (3.10) v (3.11) tm c:

S J.Q n

R=K
n
Tuy nhin, iu kin rng buc R.S = 0 nn khi J = K = 1 ; Q 0 th

R K 1
n
S J.Q 1

S khng tha mn iu kin R.S = 0.


C th bin i li:

Q n 1 J.Q n K.Q n Q n .Q n J.Q n (K Q n )Q n

Q n 1 J.Q n K.Q n .Q n (3.12)

98
So snh (3-10) v (3-12) tm c hm kch:

S J.Q
n

(3.13)
n
R K.Q

Q Q

Hnh 3-22. Mch in chuyn i t RS sang JK

Hnh 3-22 l mch in chuyn i t RS JK (tha mn iu kin R.S = 0).


+ Dng bng kch thch.

Qn Qn

a) i vi S
IT b) i vi R
PT
Hnh 3-23. Bng Cc n xc nh s ph thuc ca S, R vo Q, J, K

T bng hm kch thch 3-13. Nu xem Qn, J, K l bin v S, R l hm, xy dng c


hai bng Cc n nh hnh 3-23. T bng Cc n, tm c kt qu ging cng thc (3-13).

S J.Q n
n
R K.Q
Tng t, tm c hm logic chuyn i:

RS D

R D
(3.14)
S D

RS T

R T.Q n
(3.15)
n
S T.Q

RS T

99
R Q n
(3.16)
n
S Q
Mch chuyn i c trnh by trn hnh (3-24)

Q Q
Q Q

a) RSD b) RST

IT b) RST
Q Q

Hnh 3-24. Mch chuyn i t trig RS tr thnh a) D ; b) T ; c) T


PT
b) Chuyn i t trig JK sang RS, D, T, T
Bng phng php tng t nh phn trc tm c cc biu thc v s :
+ T trig JK sang RS.
Biu thc:

J S
(3.17)
K R

S 3-25a)
a) JK RS b) JK D c) JK T

Q
Q Q
Q

100
Q Q
Q
Q

d) JK T
Hnh 3-23. Chuyn i t trig JK sang a) RS ; b) D ; c) T ; d) T

+ T JK sang D
Biu thc:

J D
(3.18)
K D

S hnh 3-25b).
+ T JK sang T
Biu thc: IT J T

K T
(3.19)
PT
S hnh 3-25c).
+ T JK sang T
Biu thc:

J T 1
(3.20)
K T 1

Hoc

J Q n
(3.21)
K Q n
S hnh 3-25d).
c) Chuyn t D sang cc loi
+ T D sang RS
Cn bng hai phng trnh c trng. Tm c biu thc:

D S RQn (3.22)

101
S hnh 3-26a)

Q Q

+ T D sang JK
Biu thc:

D JQ n K.Q n (3.23)

S hnh 3-26b)

IT
PT

Hnh 3-26. Mch in bin i t D sang a) RS ; b) JK ; c) T ; d) T

+ T D sang T
Biu thc:

D T Qn (3.24)

S hnh 3-26c)
+ T D sang T

Biu thc:
D T Qn

102
T 1 D Qn (3.25)

S hnh 3-26d)
d) Chuyn t T sang RS, JK, D.
+ T T sang RS
Biu thc:

T S.Q n R.Q n (3.26)

S hnh 3-27a).
+ T J sang JK:
Biu thc:

T JQ n K.Q n (3.27)

S hnh 3-27a) ch cn thay R = K v S = J.


+ T T sang D:

Biu thc:
T D Qn
IT
Mch in c th hin hnh 3-27c).
PT
Q Q

Hnh 3-27. Mch in chuyn t T sang a) RS ; b) JK ; c) D

103
3.3. NG DNG CA TRIG TRONG MCH NH THI.
3.3.1. Mch in ca IC 555.
B nh thi 555 c s dng rt rng ri trong cc b dao ng a hi, a hi i, v
cc b so snh v.v Hnh 3-28 l s khi nguyn l ca IC nh thi ny, trong chc
nng ca cc chn c ch ra trong bng sau:
Chn Chc nng Chn Chc nng
1 t - GND 5 in p iu khin
2 Chn kch thch 6 Chn ngng
3 u ra 7 u phng in
4 Xo - Reset 8 Ngun Vcc
Bng 3-14. Bng m t chc nng ca cc chn trong IC

S nguyn l ca IC 555, gm mt mch phn p vi 3 in tr R (100k) ni vi


chn 8. y chn 8 bao gm c ngun nui ca cc b so snh v cc cng logic trong
mch.
in p +VCC ni vi chn 8 c gi tr t +5V +25V tu theo mc bin ca xung
u ra.
IT
Mch gm hai b so snh (1) v (2). in p u vo o ca b so snh th nht c
mc in p bng (2/3)VCC in p u vo thun ca b so snh th hai bng (1/3)VCC.
PT

Hnh 3-27. S khi nguyn l ca IC LMC 555 Hnh 3-28. S chn ca IC LMC555

u ra ca hai b so snh c ni vi u vo ca Trig RS, Trig RS s dng trong


mch ny dng cc cng NOR, do mc tch cc l mc cao. Chn 4 c ni vi u vo
ca mt cng NOR thng qua mt cng NOT c tc dng iu khin hot ng ca trig,
in p chn 4 mc cao (mc 1) trig hot ng bnh thng, cn in p chn 4 mc

104
thp (mc 0) cm trig hot ng. u ra Q ca trig RS c a qua cng NOT ti u
ra chn 3, ng thi Q ni vi transistor T to u phng in.

Bng chc nng ca IC 555 c ch ra trn bng 3-15.

TH TRIG R OUT DIS


X X L L Thng
2 1
EC EC
3 3 H L Thng
2 1
EC EC
3 3 H Khng i Khng i
1
EC
X 3 H H Ngt
Bng 3-15. Bng chc nng ca IC 555

3.3.2. Mt vi ng dng ca IC nh thi 555


3.3.2.1. Mch a hi i

IT
Mch a hi i c mt trng thi n nh v mt trng thi tm n nh. Khi c tc
dng ca xung ngoi, mch c th chuyn i t trng thi n nh sang trng thi tm n
nh. Sau khi duy tr mt thi gian, mch s t ng quay li trng thi n nh. Thi gian tm
n nh ph thuc vo cc thng s ca mch m khng ph thuc vo xung kch. Mch a
hi c ng dng trong cc mach nh thi, to dng xung, tr v.v..
PT
Hnh 3-29 trnh by s khi ca mch a hi i dng IC - 555.

EC

8 4
R
6 VO
555 3
7
VI
2
C 1 5
C1 0,01 F

Hnh 3-29: Mch a hi i dng IC - 555

Trong s hnh 3-29, t C1 tc dng cng in tr R (trong s nguyn l hnh 3-27)


to thnh mch lc thng thp.
Gi s lc u t C cha tch in nn VC = 0. Khi ng mch ngun in, t C tch
in, mch tch in t +EC qua R, qua C xung t. T C tch in, in p VC tng ln, cho
n khi VC (2/3)VCC, in p ra ca b so snh (1) R = 0, dn n Q 1 . V Q 1 nn

105
cho transistor T m, t C phng in qua R, qua transistor xung t. T C phng in n
khi VC = 0. y l trng thi n nh bn (trng thi i) ca mch.
Khi c xung vung a ti u vo, nu bin xung vo ln, in p ra ca b so
snh (2) S = 1, do Q = 1 v Q 0 , bt u thi gian ko di xung u ra. V Q 0 nn T
cm, t C tch in, mch tch in t +VCC , qua R, qua C xung t, t C np in vi hng
s thi gian:
n R.C (3.28)

T C tch in, in p UC tng, cho n khi VC (2/3)VCC, i vi b so snh (1) in


p ra R = 0, dn n Q 1 , chm dt thi gian ko di xung u ra. V Q 1 , T m , t C
phng in, qua R, qua T xung t, cho n khi VC = 0, tr li trng thi ban u.
Gin in p - thi gian minh ha hot ng ca mch a hi i dng IC - 555 c
trnh by trn hnh 3-30.

IT
PT

Hnh 3-30. Gin in p - thi gian ca mch a hi i dng IC - 555

EC
VI
2E C / 3
VC
EC
VO

TW

Hnh 3-31. Mch a hi i dng IC 555 v dng sng

Hnh 3-30 l s nguyn l v gin thi gian ca mch a hi i dng IC 555,


trong RC l mch nh thi. ko di xung u ra c xc nh bng cng thc

106
TW RCln 3 1,1RC (3.29)

Mch dao ng a hi i ny yu cu rng xung u vo nh hn rng xung u


ra, nu n ln hn th yu cu dng thm mch vi phn u vo.
3.3.2.2. Mch a hi
Mt b dao ng a hi l mt b dao ng to ra dng xung. N c hai trng thi
chun m khng yu cu s kch hot t bn ngoi. B ny thng c dng lm xung iu
khin cho cc mch tun t.
S nguyn l mch a hi dng IC - 555 c trnh by trn hnh 3-32.

EC

R1

0,01 F
R2
VC
VO

IT
Hnh 3-32. Mch a hi dng IC - 555

Lc u ng mch ngun nui mt chiu, t C cha kp np in, in p trn t UC =


0, do in p ra ca b so snh (1) R = 0, in p ra ca b so snh (2) S = 1, dn n Q =
PT
1, Q 0 v T cm. T C tch in, mch tch in t +VCC, qua R1, R2, qua C xung t, t
C np in vi hng s ti gian: np= (R1+ R2).C. T C np in, in p trn t C tng ln,
cho n khi VC (2/3)VCC, in p ra ca b so snh (1) R = 1, Q 1 , dn n transistor T
thng, t C phng in, mch phng in t cc dng ca t C, qua R2, qua T xung t n
cc m ca t C. T C phng in n khi in p trn t VC (1/3)VCC, in p ra ca b so
snh (2) R = 0, dn n Q = 1, Q 0 . Do Q 0 nn transistor T b cm, t C li c np
in, qu trnh c lp li nh c. Hin tng ny tip din lin tc v tun hon.
Thi gian np v phng ca t c tnh theo cng thc (3.30) v (3.31).
+ Thi gian np:
tnp = np ln 2.
t nap 0,7 (R1 R 2 )C (3.30)

+ Thi gian phng:


t ph ph ln 2 0, 7R 2C (3.31)

+ Chu k ca xung u ra:

107
T t nap t ph 0, 7(R 1 2R 2 )C (3.32)

Dng tn hiu cc cc ca mch c trnh by trn hnh 3-33.


Do thi gian phng, np khng bng nhau, nn xung vung ra khng i xng
2E C / 3
VC

EC / 3

EC
VO

Tnap
Tph

Hnh 3-33. Dng in p ti cc chn ca mch a hi dng IC - 555.

1 1, 43
f (3.33)
T (R1 2R 2 )C

0,01 F
IT EC

R1
PT
R2
VC
VO

Hnh 3-34. Mch a hi iu chnh c lp y xung dng IC 555

Xung u ra c lp y ph thuc vo c in tr R1 v R2 v khng th to ra xung


vung vi lp y bng 50% thng qua vic thay i gi tr R1 v R2. c c xung
vung vi lp y bng 50%, ngi ta s dng mch c thm 2 diode khi tr phng v
np in cho t c th thay i c lp v to ra xung mong mun.
Hnh 3-34 l s nguyn l ca mch a hi dng IC 555 m lp y c th thay
i c.
3.4. PHNG PHP M T MCH TUN T.
Thit b c thit k phi c m t bng li hay mt s hnh thc khc. Cng vic
u tin ca ngi thit k l phi phin dch cc d kin thnh 1 hnh thc m t hot
ng ca thit b cn phi thit k mt cch trung thc v duy nht. Ni cch khc l phi
hnh thc ho d liu ban u.
C hai cch hnh thc ho thng dng l dng bng v hnh trng thi.

108
3.4.1. Bng
a. Bng chuyn i trng thi.
Bng chuyn i trng thi bao gm cc hng v cc ct, cc hng ghi cc trng thi
trong, cc ct ghi cc gi tr ca tn hiu vo. Cc ghi gi tr cc trng thi trong k tip m
mch s chuyn n ng vi cc gi tr hng v ct. Bng chuyn i trng thi c m t
bng 3-16.
Tn hiu vo
V V1 V2 . Vn
S
Trng thi S1 Trng thi k tip
Q1n 1 Q n2 1 Qin 1
trong Qn+1
S2

Sn
Bng 3-16. Bng chuyn i trng thi

b. Bng tn hiu ra.

S
V1
IT
Cc hng ca bng ghi cc trng thi trong, cc ct ghi cc tn hiu vo. Cc ghi gi tr
ca tn hiu ra tng ng. Bng tn hiu ra c m t bng 3-17.

V V2
Tn hiu vo
. Vn
PT
Trng thi S1 Z1 Z2 Zj Tn hiu ra
trong S2
::
Sn
Bng 3-17. Bng tn hiu ra

C th gp hai bng chuyn i trng thi v bng tn hiu ra thnh mt bng chung gi
l bng chuyn i trng thi / ra. Lc trn cc ghi cc gi tr ca trng thi k tip v tn
hiu ra (Qn+1 / Z) tng ng vi trng thi hin ti v tn hiu vo.
Bng chuyn i trng thi v tn hiu ra c m t bng 3-18.
Tn hiu vo
V V1 V2 . Vn
S
Trng S1 Trng thi k
Q1n 1 / Z Q n2 1 / Z Qin 1 / Z
thi tip Qn+1 v tn
trong S2
hiu ra Z
:
Sn

109
Bng 3-18. Bng chuyn i trng thi v tn hiu ra

3.4.2. hnh trng thi.


hnh trng thi l hnh v phn nh quy lut chuyn i trng thi v tnh trng cc
gi tr u vo v u ra tng ng ca mch tun t.
hnh trng thi l mt hnh c hng gm hai tp:
M - Tp cc nh v K - Tp cc cung c hng.
a. i vi m hnh Mealy thc hin nh x.
Tp cc trng thi trong l tp cc nh M; Tp cc tn hiu vo / ra l tp cc cung K.
Trn cung c hng i t trng thi trong Qi n trng thi trong Qj ghi tn hiu vo/ra
tng ng.
b. i vi m hnh Moore.
V tn hiu ra ch ph thuc vo trng thi trong ca mch m khng ph thuc vo tn
hiu vo cho nn thc hin nh x:
Tp cc trng thi trong, tn hiu ra l tp cc nh M.

IT
Tp cc tn hiu vo l tp cc cung K.
c. Chuyn i gia hai m hnh Mealy v Moore.
Mealy v Moore l hai m hnh ton hc ca mch tun t, n l hai cch biu din
khc nhau ca mch tun t. Gia hai cch biu din ny l nh x 1-1 v lun tn ti thut
PT
ton chuyn t m hnh ny sang m hnh kia v ngc li.
Chuyn i t m hnh Mealy sang m hnh Moore.
Qu trnh chuyn i c thc hin theo cc bc sau:
ng vi mi cp (Qn+1 / Z) ca m hnh Mealy quy nh thnh mt trng thi tng ng
vi Q ca m hnh Moore.
Lp bng chuyn i trng thi cho m hnh Moore: ng vi mi trng thi Q th c mt
tn hiu ra tng ng.
V d: Mch tun t c m t bi m hnh Mealy c hnh trng thi, bng chuyn
i trng thi, tn hiu ra nh hnh 3-35.

Hnh 3-35a). hnh trng thi

110
Bc 1. T bng chuyn i trng thi / tn hiu ra, gn cc trng thi trong Qj ca m
hnh Moore nh sau:
S1 / 0 = Q0; S0 / 0 = Q1; S2 / 0 = Q2; S1 / 1 = Q3;

V 0 1
S
S0 S1 / 0 S0 / 0
S1 S1 / 0 S2 / 0
S2 S1 / 1 S0 / 0
Hnh 3-35b). Bng chuyn i trng thi / tn hiu ra

Bc 2: Lp bng chuyn i trng thi cho m hnh Moore: Gn tn hiu ra cho mi


trng thi Q. Tn hiu ra Z chnh l Z ca cp (S, R) ca m hnh Mealy. Cc bc ny c
m t bng 3-19.

Mealy Moore
Trng thi / tn hiu ra
S1 / 0
S0 / 0
S2 / 0
S1 / 1
IT Trng thi
Q0
Q1
Q2
Q3
Tn hiu ra
0
0
0
1
PT
Bng 3-19. Gn trng thi, tn hiu ra cho m hnh Moore

T lp c bng chuyn i trng thi sau:

Moore
Mealy Trng Trng thi k Tn
thi hin tip hiu ra
ti V=0 V=1
S1 / 0 Q0 Q0 Q2 0
S0 / 0 Q1 Q0 Q1 0
S2 / 0 Q2 Q3 Q1 0
S1 / 1 Q3 Q2 Q0 1
Bng chuyn i trng thi

111
0 1

Q0 / 0 0 Q1 / 0

1
1 1

0
Q3 / 1 Q2 / 0
0

b) hnh trng thi


Hnh 3-36. M hnh Moore tng ng vi m hnh Mealy bng 3-19.

Chuyn i t m hnh Moore sang m hnh Mealy.


Vic chuyn t m hnh Moore sang m hnh Mealy n gin hn bi v ch cn ghi
thm bn cnh mi trong bng chuyn i ca m hnh Moore tn hiu ra tng ng. Sau
tin hnh ti thiu ho cc trng thi ca m hnh Mealy nhn c.
Nhn xt: M hnh Mealy v m hnh Moore l hai m hnh dng biu din mch

IT
tun t, chng lun lun tng ng vi nhau.
M hnh Mealy c hm ra ph thuc vo tn hiu vo v trng thi trong ca mch. M
hnh Moore c hm ra ch ph thuc vo trng thi trong ca mch.
Nhn chung m hnh Mealy c s trng thi trong t hn so vi m hnh Moore, nhng
m hnh Moore li c h hm ra n gin hn m hnh Mealy.
PT
Trn thc t c hai m hnh ny u c dng m t mch tun t. Ty tng trng
hp m s dng loi m hnh no thit k mch c s n gin hn, kinh t hn.
3.5. PHN TCH MCH TUN T
3.5.1. Cc bc phn tch mch tun t
Bi ton phn tch l bi ton xc nh chc nng ca mt mch cho trc. Khi tin
hnh phn tch cn tun theo cc bc sau:
- S mch: T s cho trc cn xc nh chc nng tng phn t c bn ca s
, mi quan h gia cc phn t .
- Xc nh cc u vo v ra, s trng thi trong ca mch: Coi mch nh mt hp en
cn phi xc nh cc u vo v ra ca mch, c im ca cc u vo, u ra. xc nh
c s trng thi trong ca mch cn phi xc nh xem mch c xy dng t bao nhiu
phn t nh (trig JK) t xc nh c s trng thi trong c th c ca mch.
Gi s trig l n th s trng thi c th c ca mch l 2 n.
- Xc nh phng trnh hm ra, phng trnh hm kch ca cc trig.

112
- Lp bng chuyn i trng thi. Bng ny biu din mi quan h trng thi k tip, tn
hiu ra nh phn vi trng thi hin ti v cc tn hiu vo tng ng.
Da vo phng trnh c trng ca trig thit lp phng trnh chuyn i trng
thi v t xc nh c trng thi k tip v tn hiu ra tng ng vi tn hiu vo v
trng thi hin ti ca mch. i vi mch tun t khng ng b c iu kin kch sn
xung Clock khc nhau nn khi thit lp bng phi ch n iu kin kch sn xung Clock.
Ch khi no ng sn xung Clock iu khin th phng trnh chuyn i trng thi c
thit lp nu khng trng thi trong ca mch tun t c gi nguyn.
- hnh trng thi: T bng trng thi xy dng hnh trng thi v tn hiu ra ca
mch.
- V gin dng xung ( th thi gian). V gin dng xung cn lu : trig ch
chuyn i trng thi tng ng vi sn kch ca xung ng h xut hin.
- Chc nng ca mch: Da vo hnh trng thi xc nh c chc nng ca mch
3.5.2. Phn tch mch tun t ng b
Phn tch mch tun t ng b c s c biu din nh hnh 3-37.

IT
Bc 1: S trn c hai u vo l tn hiu X v xung nhp Clock. C mt tn hiu Z
ra, mch s dng hai phn t nh l hai trig JK (Q0 v Q1).
Bc 2: Xc nh u vo, u ra v s trng thi trong ca mch.
PT

Hnh 3-37. Mch tun t

Mch ny c th c biu din bng mt hp en c hai u vo v mt u ra. Do


mch c cu to bng hai trig nn s trng thi c th c ca mch l 4. C th l:
Q1Q0 = 00, 01, 10 v 11.
Bc 3: Xc nh phng trnh hm ra v hm kch cho trig.
T s trn tm c:
+ Phng trnh hm ra: Z = C Q1 Q0
+ Phng trnh hm kch:
J 0 = Q1 ; K0 = 1

113
J1 = Q0 ; K1 = X Q 0 X Q0

Bc 4. Bng chuyn i trng thi.


Phng trnh c trng ca trig JK l:

Q n 1 J Qn K Q n
Phng trnh chuyn i trng thi:

Q n0 1 J 0 Qn0 K 0 Q0n Q1 Q0n


Q1n 1 J1 Q1n K1 Q1n Qn0 Q1n X Q0n Q1n Qn0 Q1n X Qn0 Q1n

T cc phng trnh trn, lp c bng chuyn i trng thi (Bng 3-20).


Trng thi hin ti Trng thi k tip Tn hiu ra
(Qn) (Qn+1)
X=0 X=1 X=0 X=1
Q0 Q1 Q0Q1 Q0 Q1 Z Z
S0 00 01 01 0 0
S1
S2
S3
01
11
10

Bc 5: hnh trng thi.


IT 10
00
00
11
00
00
Bng 3-20. Bng chuyn i trng thi
0
1
0
0
1
0
PT
T bng chuyn i trng thi trn, xy dng c hnh trng thi nh hnh 3-38
(m hnh Mealy). hnh gm 4 trng thi trong S0, S1, S2, S3. Cc trig JK hot ng ti
sn m ca xung nhp. Nhn vo hnh trng thi, thy trng thi trong S2 (Q0Q1 = 11)
khi c xung nhp C th mch s a ra tn hiu Z = 1.
00
S0

01
S1
X X

S3 10 11 S2
Z=1

Hnh 3-38. hnh trng thi

Bc 6: Chc nng ca mch: Trn hnh trng thi, thy c hai ng chuyn i
trng thi l S0 S1 S2 S0 v S0 S1 S3 S0. Theo ng S0 S1 S2 S0 th
tn hiu ra Z = 1 s c a ra cng thi im c xung nhp th 3. Theo ng S0 S1 S3
S0 th khng c tn hiu ra (Z = 0). Do vy, s phn tch theo con ng th nht S0 S1-

114
S2 S0 : S chuyn i trng thi u tin t S0 S1 ch nh tc ng ca xung nhp m
khng ph thuc vo trng thi ca X. Chuyn i trng thi th hai t S1S2 nh tc ng
ca xung nhp v s tc ng ca tn hiu vo X = 1. Cn s chuyn i trng thi th ba t
S2 S0 ch nh tc ng ca xung nhp m khng ph thuc vo tn hiu vo.
Nh vy, mch ch a ra tn hiu ra Z = 1 khi ng chuyn i i qua S2 tc l mch
ch a ra tn hiu ra Z = 1 khi dy tn hiu vo X c dng 010, 011, 110 v 111. C th biu
din dy tn hiu vo mch c tn hiu ra Z = 1 nh sau:
0 1

011 011
Tm li, mch cho s trn c chc nng kim tra dy tn hiu vo X dng chui
c di bng 3. Nu chui tn hiu vo c dng l 1 trong 4 dy: 010, 011, 110 v 111 mch
s cho tn hiu ra Z = 1 ti thi im c xung nhp th 3. rng ca tn hiu ra Z bng
rng xung nhp (Z = C Q1 Q0).
Hnh 3-39 biu din dng xung ra ca mch hay cn gi l gin xung.

IT
PT
Hnh 3-39. Dng xung ra ca mch

3.7.3. Phn tch mch tun t khng ng b.


V d: Hy phn tch mch tun t sau (hnh 3-40) v cho bit chc nng ca mch.

Ql Ql

Q2 Q2

Q3 Q3

Hnh 3-40. Mch tun t

115
Gii: Mch hnh 3-340 c hai phn chnh: b m v b gii m. phn tch mch d
dng, phn tch tng phn.
Bc 1. Vit cc phng trnh:

+ Phng trnh nh thi: C1 = C; C2 = Q1 ; C3 = Q 3 ;

+ Phng trnh kch: D1 Q1 ;D2 Q2 ;D3 Q3 ;

+ Phng trnh chuyn i trng thi:


+ Phng trnh c trng ca trig D: Qn+1 = D
n 1
+ Q1 Q1 ; Qn2 1 Q2 ; Q3n 1 Q3 ;
Bc 2: Lp bng trng thi:

C Q3n Qn2 Q1n Q3n 1 Qn2 1 Q1n 1


0 0 0 0 0 0 1
1 0 0 1 0 1 0
2 0 1 0 0 1 1
3
4
5
6
7
0
1
1
1
1
1
0
0
1
1
1
0
1
0
1
1
1
1
1
0
IT
0
0
1
1
0
0
1
0
1
0
PT
Bng 3-21. Bng trng thi Hnh 3-41. hnh trng thi

Bc 3: T bng trng thi 3-21, lp c hnh trng thi nh hnh 3-41.


B gii m
Tn hiu ra t b m c a vo b gii m.
C bng trng thi ca b gii m nh bng 3-22.

C Q3 Q2 Q1 u ra
0 0 0 0 D0
1 0 0 1 D1
2 0 1 0 D2
3 0 1 1 D3
4 1 0 0 D4
5 1 0 1 D5
6 1 1 0 D6
7 1 1 1 D7
Bng 3-22. Bng trng thi

116
Kt hp c hai phn phn tch, v c dng xung ra nh hnh 3-42.
1 2 3 4 5 6 7 8 9

C
D0

D1

D2

D3

D4

D5

D6

D7

Hnh 3-42. Gin xung ca mch

Nhn xt: Mch tun t ny chnh l b to xung tun t (b pht xung nhp). Mch
ny dng to cc xung tun t c th t thi gian trc sau. Cc xung ny lm chun thi
gian cho s ng b ca cc b phn trong thit b. Xung Clock chun c a vo b m,
b gii m s bin i cc trng thi ca b m thnh xung tun t trn cc u ra.
3.6. THIT K MCH TUN T.
IT
3.6.1. Cc bc thit k mch tun t ng b.

Cc bc thit k c khi qut ha


trn hnh 3-43.
PT
Bc 1: Xc nh bi ton, gn hm v
bin, tm hiu mi quan h gia chng.
Bc 2: Xy dng hnh trng thi,
bng chuyn i trng thi v hm ra.
Bc 3: Rt gn trng thi (ti thiu ho
trng thi).
Vic ti thiu ho trng thi ch yu da
vo khi nim trng thi tng ng. Cc
trng thi tng ng vi nhau c th c
thay bng mt trng thi chung i din cho
chng.

Hnh 3-43. Lu thit k mch tun t

Bc 4: M ho trng thi.

117
S bin nh phn dng m ho cc trng thi trong ca mch ph thuc vo s lng
trng thi trong ca mch. Nu s lng trng thi trong l N, s bin nh phn cn dng l n
th n phi tho mn iu kin: n log2N.
C rt nhiu cch m ho khc nhau, mi cch cho mt s thc hin mch khc
nhau. Vn l phi m ho sao cho s mch thc hin l n gin nht.
Bc 5: Xc nh h phng trnh ca mch. C hai cch xc nh:
+ Lp bng chuyn i trng thi v tn hiu ra, t xc nh cc phng trnh kch
cho cc trig.
+ Da trc tip vo hnh trng thi, vit h phng trnh Ton, Toff ca cc trig v
phng trnh hm ra.
Bc 6: V s thc hin.
3.6.2. Cc bc thit k mch tun t khng ng b
Bc 1: Xc nh bi ton, gn hm v bin, tm hiu mi quan h gia chng.
Bc 2: Xy dng hnh trng thi, bng chuyn i trng thi v hm ra.
Bc 3: Rt gn trng thi (ti thiu ho trng thi).

IT
Vic ti thiu ho trng thi ch yu da vo khi nim trng thi tng ng. Cc
trng thi tng ng vi nhau c th c thay bng mt trng thi chung i din cho
chng.
C nhiu phng php ti thiu ha trng thi. Trong phn ny, gii thiu phng php
PT
ti thiu ho Caldwell. C s l thuyt ca vic ti thiu ho l da vo khi nim cc trng
thi tng ng.
nh ngha cc trng thi tng ng:
Trng thi Si c gi l trng thi tng ng vi trng thi Sj (Si Sj) khi v ch khi:
nu ly Si v S j l hai trng thi ban u th vi mi dy tn hiu vo c th chng lun cho
dy tn hiu ra ging nhau.
Nu c nhiu trng thi tng ng vi nhau tng i mt th chng tng ng vi
nhau (tnh cht bc cu). kim tra mt nhm cc trng thi xem chng c tng ng vi
nhau khng, c th s dng bng trng thi v tn hiu ra nh sau:
Nhm cc trng thi tng ng phi c nhng hng trong bng tn hiu ra ging
nhau.
Nhm cc trng thi tng ng phi c nhng hng trong bng trng thi cng mt
ct (ng vi cng mt t hp tn hiu vo) l tng ng. Ngha l ng vi cng mt t hp
tn hiu vo cc trng thi k tip ca chng l tng ng.
Quy tc Caldwell:

118
Nhng hng (tng ng vi trng thi trong) ca bng chuyn i trng thi v tn hiu
ra s c kt hp vi nhau v c biu din bng mt hng chung - c trng (trng thi
c trng) cho chng nu nh chng tho mn hai iu kin sau:
+ Cc hng tng ng trong ma trn ra ging nhau.
+ Trong ma trn ra, cc hng tng ng phi tho mn 1 trong 3 iu sau:
- Cc hng trong ma trn trng thi ging nhau.
- Cc trng thi trong cng mt ct nm trong nhm trng thi c xt.
- Cc trng thi trong cng mt ct l cc trng thi tng ng.
Sau khi thay th cc trng thi tng ng bng mt trng thi chung c trng
cho chng, lp li cc cng vic tm cc trng thi tng ng khc cho n khi khng th
tm c cc trng thi tng ng no na th dng li. S trng thi trong bng chuyn i
trng thi l ti thiu.
Nhc im ca phng php ny l khi s trng thi qu ln th cng vic ti thiu
ho mt nhiu thi gian.
Bc 4: M ho trng thi.

IT
S bin nh phn dng m ho cc trng thi trong ca mch ph thuc vo s
lng trng thi trong ca mch. Nu s lng trng thi trong l N, s bin nh phn cn
dng l n th n phi tho mn iu kin: n log 2N.
C rt nhiu cch m ho khc nhau, mi cch cho mt s thc hin mch khc
nhau. Vn l phi m ho sao cho s mch thc hin l n gin nht.
PT
Do mch khng ng b hot ng khng c s tc ng ca xung nhp cho nn trong
mch thng c cc hin tng chy ua lm cho hot ng ca mch b sai, v vy khi m
ho trng thi phi trnh hin tng ny.
Bc 5: Xc nh h phng trnh ca mch. C hai cch xc nh:
+ Lp bng chuyn i trng thi v tn hiu ra, t xc nh cc phng trnh kch
cho cc trig.
+ Da trc tip vo hnh trng thi, vit h phng trnh Ton, Toff ca cc trig v
phng trnh hm ra.
C hai cch ny u c dng phng trnh:
+ Phng trnh ca mch ch dng NAND.
+ Phng trnh ca mch dng trig RS khng ng b v cc mch NAND.
+ Phng trnh ca mch dng cc loi trig khc.
Bc 6: V s thc hin.
Sau y l ni dung ca tng phng php.

119
Da vo cc bc thit k mch nu trn, ngi ta a ra hai cch thit k mch tun
t: dng bng chuyn i trng thi v dng hnh trng thi:
Cch 1: Da vo bng chuyn i trng thi.
a) Ch dng cc mch NAND.
K hiu : A, B, N l cc bin nh phn dng m ho cc trng thi trong ca mch.
X1, X2Xm l cc tn hiu vo c m ho nh phn.
Z1, Z2Zm l cc tn hiu ra c m ho nh phn.
Da vo bng chuyn i trng thi xc nh h phng trnh:
Ak = fA (A, B, N , X1, X2Xm )
Bk = fB (A, B, N , X1, X2Xm )

Nk = fN (A, B, N , X1, X2Xm )
Z1 = g1 (A, B, N , X1, X2Xm )
Z2 = g2 (A, B, N , X1, X2Xm )
IT
Zn = gn (A, B, N , X1, X2Xm )
Ti thiu ho h hm v vit phng trnh dng ch dng NAND.
PT
b) Mch dng trig RS v cc mch NAND.
Trong bng trng thi cn c vo s thay i trng thi ca tng trig:
A Ak, B Bk,, N Nk, xc nh c gi tr tng ng ca u vo kch R, S
cho tng trig, t vit c h phng trnh:

R A 1 A , N , X1 , X 2 X m
(3.34)
SA 2 A , N , X1 , X 2 X m

Ti thiu ho cc hm v vit phng trnh dng ch dng NAND.


Tng t vi B, C,N cng nh vy.
Xc nh tn hiu ra :

Z A , N , X1 , X 2 X m (3.35)

Ti thiu ho v vit phng trnh dng ch dng NAND.


Tng t i vi cc loi trig khc.
Cch 2: Da trc tip vo hnh trng thi.
Phng trnh u vo kch (R, S) ca trig A l:

120
SA = tp hp bt ca A + [(1)]
RA = tp hp tt ca A + [(0)]
Hay
SA TonA [(1)]
(3.36)
R A ToffA [(0)]
Lm tng t vi cc loi trig khc.
a) Ch dng mch NAND.
Phng trnh c trng ca trig RS

Qk S R Q A k SA R A A (3.37)

Sau , phi ti thiu ho phng trnh v vit di dng ch dng NAND. i vi cc


trig khc cng lm nh vy.
b) Dng cc trig RS khng ng b v cc mch NAND.
RA = 1A (A , N , X1, X2Xm )


IT
SA = 2A (A , N , X1, X2Xm )

RN = 1N (A , N , X1, X2Xm )
SN = 2N (A , N , X1, X2Xm )
PT
Z1 = 1 (A , N , X1, X2Xm )
Z2 = 2 (A , N , X1, X2Xm )

Zn = n (A , N , X1, X2Xm )
Ti thiu ho h phng trnh.
c). Tng t i vi cc loi trig khc.
3.6.3. Thit k mch tun t t hnh trng thi.
Gi thit: Cho hnh trng thi ca mch c tp tn hiu vo V, tp tn hiu ra R, tp
trng thi trong S (cha m ho nh phn).
Xc nh: H phng trnh nh phn ca mch ( ti thiu ho). Trn c s v mch
in.
3.6.3.1. Cc bc thit k
M ho tn hiu vo V, tn hiu ra R, trng thi trong S chuyn thnh mch dng nh
phn c cc tp tn hiu vo X, tn hiu ra Y, trng thi trong Q.

121
Xc nh h phng trnh tn hiu ra: Yi = fi (X, Q). Phng trnh ny c xc nh
trn cc cung vi m hnh kiu Mealy, trn cc nh vi m hnh kiu Moore. Ti thiu cc
hm ny.
Xc nh h phng trnh hm kch cho cc trig v ti thiu ho n.
Sau y gii thiu thut ton xc nh phng trnh u vo kch cho cc trig t
hnh trng thi.
n n1
i vi trig Qi bt k s thay i trng thi t Qi n Qi ch c th c 4 kh nng
nh hnh 3-44.

Hnh 3-44. Cc cung biu din s thay i trng thi t Qin n Qin1 ca trig Qi
IT n n1
Trong cc cung biu din s thay i t Qi n Qi c k hiu nh sau:

0 0 l (0), 1 1 (l 1), 0 1 l (2), 1 0 l (3).


T quy c c thut ton sau:
PT
a.Thut ton xc nh phng trnh u vo kch cho trig Qi loi D.
n 1
Phng trnh c trng ca trig D : Qi Di . T , tm c:

Di Qin1 = tuyn tt c cc cung i ti nh c Qi = 1.

= cc cung loi (2), k c khuyn ti nh tc l cung loi 1


= (1) v (2)
Ti thiu ho hm Di va tm c rt ra phng trnh u vo kch cho trig loi D.
b.Thut ton xc nh phng trnh u vo kch cho trig T .
n 1
Phng trnh c trng ca trig T: Qi Ti Qi Ti Qi Qin1 Qi'
Trong Q'i bng 1 khi Qi thay i trng thi t 0 1 hoc t 1 0.
tm Ti thc hin cc bc sau:
- in s thay i gi tr ca Qi vo cc cung.
- Ti = Q'i = cc cung c Qi thay i (cung loi 2, loi 3) = (2) v (3).

122
Ti thiu ho hm Ti va tm c rt ra phng trnh kch cho trig T.
c.Thut ton xc nh phng trnh u vo kch cho trig JK .
n 1
Phng trnh c trng ca trig JK: Qi J Qi K Qi
Xc nh:
Ton = cc cung m Qi c bt (Qi thay i t 0 1 - cung loi 2) = (2). a
phng trnh ca Ton v dng:

Ton T Q
*
i J = T* (3.38)

Toff = cc cung m Qi tt (Qi thay i t 1 0 - cung loi 3) = (3). a phng


trnh ca Toff v dng:

Toff T Q**
i K = T ** (3.39)

d.Thut ton xc nh phng trnh u vo kch cho trig RS.


Phng trnh u vo S ca trig RS c xc nh nh sau:

IT
S = Ton + [Cc cung loi (1)]
R = Toff + [Cc cung loi (0)]
Cc cung loi (1), cc cung loi (0) trong du [ ] biu thc ca S, R c ly gi tr
khng xc nh. Nhng gi tr ny v nhng trng thi khng c s dng s c dng
ti thiu ho sao cho biu thc nhn c l ti gin nht.
PT
3.6.4. V d
minh ho, xt v d sau: Thit k b m ng b c M = 5 vi hnh trng thi
3-45 v m ho trng thi 3-23, dng:
Trig D v cc mch AND; Trig T v cc mch AND; Trig JK v cc mch AND.
Gii:

Q1'

Q'3Q '2 Q1' Q'2 Q1'

Q1'

Hnh 3-45. hnh trng thi Bng 3-23. Bng m ha trng thi

123
B m M =5 nn c 5 trng thi 0, 1, 2, 3, 4. n gin, trn hnh khng ghi cc
tn hiu vo m v tn hiu ra. Tn hiu ra ca b m ch xut hin khi b m ang trng
thi 4 v c tn hiu vo m, lc b m quay tr v trng thi ban u 0 v cho ra tn
hiu ra.

Bng 3-24. Bng chuyn i trng thi Bng 3-25. Bng Cc n tm hm ra

Mch c 5 trng thi v do vy c m ho t nht bng 3 bin nh phn tng ng vi


3 trig: Q3 Q2 Q1(23 22 2 1) nh trn bng m ho trng thi 3-23. in m tng ng vo cc
trng thi trn hnh 3-45.

IT
T bng 3-25, phng trnh tn hiu ra Z:
Xc nh cc phng trnh kch cho cc trig :
a) Trig D.
Nhn vo hnh trng thi:
Z = Q3
PT
Q3 = 1 ti nh (4); Q2 = 1 ti nh (2), (3); Q1 = 1 ti nh (1), (3).

D3 = Cc cung i n nh (4) = (3) = Q3 Q 2 Q1 .

D2 = Cc cung i n nh (2), (3) = (1) + (2) = Q3 Q2 Q1 Q3 Q2 Q1 .

D1 = Cc cung i n nh (1), (3) = (0) + (2) = Q3 Q 2 Q1 Q3 Q 2 Q1 .

T , lp bng Cc n ti thiu ha hm Di

D 2 Q 2 .Q1 Q 2 .Q1 Q1 Q 2

124
Bng 3-26. Bng Cc n tm hm kch

D1 Q1 .Q 3

Dng bng Cc n 3-26, thu c kt qu:


D3 = Q2Q1

D2 = Q2 Q1 Q2 Q1 Q2 Q1

D1 = Q1 Q3

b) Xc nh phng trnh kch cho Trig T.


in s thay i gi tr ca Qi (Qi) vo cc cung. Khi mch m t trng thi (0) (1)
(ngha l t 000 001) th Q3 thay i t 0 1 nn ghi Q3 ln cung . Khi mch chuyn t

IT
trng thi (1) (2) (tng ng t 001 010): Q1 khng thay i trng thi (= 0), Q2 thay
i t 0 1 v Q3 thay i t 1 0, nn ghi Q2Q3 ln cung t (1) (2). Tng t nh vy
c:

T3 = Q3 = cc cung c Q3 thay i = (3) + (4) = Q3 Q2 Q1 Q3 Q2 Q1


PT
T2 = Q2 = cc cung c Q2 thay i = (1) + (3) = Q3 Q 2 Q1 Q3 Q2Q1

T1 = Q1 = cc cung c Q1 thay i = (0) + (1) + (2) + (3) =


Q3 Q2 Q1 Q3 Q2 Q1 Q3 Q2 Q1 Q3 Q2Q1

125
Bng 3-27. Bng Cc n tm hm kch

T1 Q 3

Lp bng Cc n 3-27 cho cc hm trn thu c kt qu:

T3 = Q3 + Q2Q1 ; T2 = Q1 ; T1 = Q3

c) Xc nh phng trnh kch cho Trig JK.


Ch khi vit cc biu thc Ton, Toff ca trig th i cn phi n gin cc biu thc
v a v dng:

Ton = ( T* ) Q i rt ra Ji = T*.

Toff = ( T ** ) Qi rt ra Ki = T**.

trig nh sau:
IT
Vit cc biu thc T on, Toff cho cc trig v t xc nh phng trnh kch cho cc

Ton1 = Cc cung m Q3 c bt (Chuyn t 0 1) = (3) = Q3 Q2Q1


PT
Toff1 = Cc cung m Q3 tt (Chuyn t 1 0) = (4) = Q3 Q2 Q1

Ton2 = Cc cung m Q2 c bt (Chuyn t 0 1) = (1) = Q3 Q2 Q1

Toff2 = Cc cung m Q2 tt (Chuyn t 1 0) = (3) = Q3 Q2Q1

Ton3 = Cc cung m Q1 c bt (Chuyn t 0 1) = (0) + (2) = Q3 Q1

Toff3 = Cc cung m Q1 tt (Chuyn t 1 0) = (1) + (3) = Q3 Q1

Biu din cc hm ny trn bng Cc n, s dng cc trng thi tu chn ti thiu


ho. Cc trng thi tu chn bao gm 3 s khng nm trong phm vi m 5, 6, 7. Ngoi ra
cn mt s trng thi khc tu vo tng bng. V d, i vi bng tnh J1 gi tr tu chn
ngoi 3 s trn cn thm c gi tr Q1 = 1, bng tnh K1 c thm cc c gi tr Q1 = 0,
tng t nh vy vi cc bng cn li. iu ny c ngha l ngoi cc gi tr ty chn cho
cn c thm cc gi tr ty chn khc. i vi phng trnh Ton i thm iu kin ty chn Qi =
1, cn vi phng trnh Toff i thm iu kin ty chn Qi = 0. T , lp bng Cc n tm
hm kch J, K.
Thu c kt qu t bng 3-28 nh sau:

126
J3 = Q2Q1; K3 = 1; J 2 = Q1 ; K2 = Q 1 ; J1 = Q 3 ; K1 = 1

IT
PT
J1 Q3

Bng 3-28. Bng Cc n tm hm kch

d) Xc nh phng trnh kch cho Trig RS.


S3 = Ton3 + [Cc cung loi (1)] = (3) + []
R3 = Toff3 + [Cc cung loi (0)] = (4) + [(0), (1), (2)]
S2 = Ton2 + [Cc cung loi (1)] = (1) + [(2)]
R2 = Toff2 + [Cc cung loi (0)] = (3) + [(0), (4)]
S1 = Ton1 + [Cc cung loi (1)] = (0) + (2) + []
R1 = Toff1 + [Cc cung loi (0)] = (1) + (3) + [(4)]
Lu : Cc gi tr trong du [ . ] l cc gi tr ty chn.
Biu din cc hm ny trn bng Cc n v ti thiu ho chng.

127
R 3 Q2 R 3 Q1

S2 Q 2 .Q1

S1 Q1 .Q3
IT
Bng 3-29. Bng Cc n tm hm kch
PT
Sau khi rt gn t bng 3-29 thu c kt qu sau:

S3 = Q2Q1 ; R3 = Q3 hoc R3 = Q 2 hoc R3 = Q 3

S2 = Q2 .Q1 ; R2 = Q2 Q1 ; S1 = Q1 .Q3 ; R1 =Q1

T cc phng trnh kch tm, c th v c s logic.


3.6.3. Thit k mch tun t t bng.
Gi thit: Cho bng chuyn i trng thi, bng ra ca mch (cha m ho nh phn).
Xc nh: H phng trnh nh phn ca mch vo gm h hm ra, h hm kch cho cc
trig. Trn c s v s mch.
M ho tn hiu vo V, tn hiu ra R, trng thi trong ca mch S chuyn mch ban
u thnh mch nh phn c tp tn hiu vo X, tp tn hiu ra Y, tp trng thi trong Q.
Lp bng chuyn i trng thi, bng ra ca mch nh phn ng vi s m ho trn.
Da vo bng cc u vo kch ca cc trig xc nh cc u vo kch cho cc trig
ng vi s chuyn i trong bng trng thi.

128
Vit phng trnh u vo kch cho tng Qi ca trig v cc hm ra ri ti thiu cc
hm ny. Trn c s xy dng mch in.
V d: Thit k mch tun t ng b dng trig JK. H c u vo l X, u ra l Z.
Cc u vo v ra u l s nh phn. u ra Z = 1 nu u vo X l dy nh phn xut hin
theo quy lut X = 0101. Cc trng hp khc Z = 0.

S Sn+1 Z
X=0 X=1
Q3Q2Q1 Q3Q2Q1 Q3 Q2 Q1 X=0 X=1
000 000 001 0 0
001 010 011 0 0
010 100 101 0 1
011 110 111 0 0
100 000 001 0 0
101 010 011 0 0
110 100 101 0 0
111 110 IT 111
Bng 3-30. Bng chuyn i trng thi
0 0

xc nh gi tr mi thi im ca u ra khng nhng phi bit gi tr u vo ti


thi im m cn phi bit cc gi tr trc . Theo bi pht hin dy xung c 4 bit
PT
nn phi bit 3 bit trc . iu ny c ngha l cn 3 trig (Q3 Q2 Q1). Trng thi tip theo
nhn c bng cch dch tri 1 bit.
M ha trng thi theo bng 3-31:

S Sn Sn+1 Z
Q3Q2Q1 X=0 X=1 X=0 X=1
000 S0 S0 S1 0 0
001 S1 S2 S3 0 0
010 S2 S4 S5 0 1
011 S3 S6 S7 0 0
100 S4 S0 S1 0 0
101 S5 S2 S3 0 0
110 S6 S4 S5 0 0
111 S7 S6 S7 0 0
Bng 3-31. Bng m ho trng thi

Tin hnh ti thiu ha trng thi:

129
T bng chuyn i trng thi c m ha trn, nhn thy c mt s trng thi
tng ng nhau, nn c th loi bt c mt s trng thi.
S0 S4 S04 ; S1 S5 S15 ; S3 S7 S37
T lp c bng chuyn i trng thi 3-32:

Sn Sn+1 Z
X=0 X=1 X=0 X=1
S04 S04 S15 0 0
S15 S2 S37 0 0
S2 S04 S15 0 1
S37 S6 S37 0 0
S6 S04 S15 0 0
Bng 3-32. Bng chuyn i trng thi
sau khi ti thiu ha ln 1

S046
X=0
S046
IT
Tip tc tin hnh ti thiu ha v lp c bng 3-33:

Sn Sn+1
X=1
S15
X=0
0
Z
X=1
0
PT
S15 S2 S37 0 0
S2 S046 S15 0 1
S37 S046 S37 0 0
Bng 3-33. Bng chuyn i trng thi
sau khi ti thiu ha ln 2
T bng chuyn i trng thi lp c hnh trng thi:

0/0

S046

1/0

S15

0/0
0/0 1/0 0/0

1/1

S37 S2
1/0

Hnh 3-46. hnh trng thi.

Thc hin m ha trng thi nh bng 3-35.

130
Sn Q1 Q0
S046 00
S15 01
S2 11
S37 10
Bng 3-35. Bng m ha
trng thi
T bng 3-35, tnh Ton, Toff tm phng trnh hm kch bng cch tnh Ton, Toff :
J1 Q0
K1 X Q 0 XQ0
J 0 XQ1
K 0 X Q1
Z XQ1Q0

T phng trnh hm kch v c mch sau:

X
IT
J0

C
Q0 J1

C
Q1 Z
PT
K0 K1

CK

Hnh 3-47. S nguyn l mch tun t

3.7. MT S V D KHC.
3.7.1. Mch tun t ng b.
V d 1: Thit k mch tun t thc hin nhim v kim tra dy tn hiu vo dng nh
phn c di bng 3 c a vo lin tip trn u vo X. Nu dy tn hiu vo c dng l
010 hoc 011 hoc 110 hoc 111 th Z = 1. Cc trng hp khc Z = 0.
Gii:
Bc 1: Xc nh bi ton. Mch c thit k c nhim v pht hin tn hiu vo. Khi
nhn c 1 trong cc dy tn hiu trn th mch s bo rng nhn c.
Mch phi thit k l mch ng b, nn s c cc u vo l X- tn hiu vo, Ck- xung
nhp iu khin, Z tn hiu ra.

131
Hnh 3-48. hnh trng thi

Bc 2: Xy dng hnh trng thi, bng chuyn i trng thi


Gi s trng thi ban u l S0:

Khi tn hiu vo l X. Ck th mch s chuyn ti trng thi S1. Khi tn hiu vo l X .


Ck mch s chuyn n trng thi S2.

hnh 3-48.
IT
Tng t nh vy. Khi mch trng thi S1 th khi c tn hiu X. Ck mch chuyn n
trng thi S3 v chuyn n trng thi S4 khi c tn hiu X . Ck. Tng t, xy dng c

Nu mch 1 trong 4 trng thi S3, S4, S5, S6: khi c tn hiu vo X. Ck hoc X . Ck th
mch s chuyn v trng thi ban u S0. Khi dy tn hiu vo l 110 hoc 111 (ng vi
PT
ng chuyn i trng thi l S0 S1 S3 S0) hay khi dy tn hiu vo l 010 hoc 011
(ng vi ng chuyn i trng thi l S0 S2 S5 S0) th mch s cho tn hiu ra Z = 1
ti thi im xung th 3. Vi cc ng chuyn i khc Z = 0.
T hnh trng thi 3-48, xy dng c bng chuyn i trng thi 3-36:

Sn+1 Z
n
S X=0 X=1 X=0 X=1
S0 S2 S1 0 0
S1 S4 S3 0 0
S2 S6 S5 0 0
S3 S0 S0 1 1
S4 S0 S0 0 0
S5 S0 S0 1 1
S6 S0 S0 0 0
Bng 3-36. Bng chuyn i trng thi

Bc 3: Ti thiu ho trng thi. c c s mch n gin phi ti thiu ho cc


trng thi.

132
X 0 1 X 0 1
S S
S0 S2 S1 S0 S12 S12
Z=0 Z=0 Z=0 Z=0
S1 S46 S35 S12 S46 S35
Z=0 Z=0 Z=0 Z=0
S2 S46 S35 S35 S0 S0
Z=0 Z=0 Z=1 Z=1
S35 S0 S0 S46 S0 S0
Z=1 Z=1 Z=0 Z=0
S46 S0 S0 Bng 3-38. Bng chuyn i trng thi
Z=0 Z=0 sau khi gp S1 v S2

Bng 3-37. Bng chuyn i trng thi sau


khi gp S3 v S5; S4 v S6

p dng quy tc Caldwell cho bi ton trn thy trng thi S4 tng ng vi trng
thi S6 (S4 S6), S3 tng ng vi S5 (S3 S5). Thay th cc trng thi tng ng bng

Bc 4: M ha trng thi.
IT
mt trng thi chung c trng cho chng. V d thay th S4, S6 bng S46, thay th S3, S5 bng
S33. T lp c bng chuyn i trng thi 3-37 v 3-38:

Sau khi gp hai trng thi S1 v S2 thnh trng thi chung S12 th mch ch cn 4 trng
thi S0, S12, S35, S46. M ho 4 trng thi ny bng hai bin nh phn Q1 v Q0 (bng 3-39). T
PT
, v c hnh trng thi 3-49.
Bc 5: Xc nh h phng trnh ca mch.
C hai cch xc nh h phng trnh ny.

Q1 Q0 M ho S
0 0 S0
0 1 S12
1 1 S35
1 0 S46
Bng 3-39. Bng m ho trng thi

Hnh 3-49. hnh trng thi

Cch 1: Da vo bng chuyn i trng thi, lp bng hm kch 3-40 cho hai trig Q0
v Q1.

133
Dng bng Cc n 3-41 rt gn, thu c kt qu sau:
J1 =Q0 ; K1 = 1

J0 = Q1 ; K0 = X Q1 ; Z = Q0 Q1

Trng thi Trng thi k tip Cc u vo ca trig


hin ti X=0 X=1 X=0 X=1 X=0 X=1
Q1Q0 Q1Q0 Q1 Q0 J1 K1 J1 K1 J0 K0 J0 K0
00 01 01 0 X 0 X 1 X 1 X
Z=0 Z=0
01 10 11 1 X 1 X X 1 X 0
Z=0 Z=0
11 00 00 X 1 X 1 X 1 X 1
Z=1 Z=1
10 00 00 X 1 X 1 0 X 0 X
Z=0 Z=0
Bng 3-40. Bng hm kch thch

IT
PT

J 0 Q1 K 0 X Q1

134
Bng 3-41. Bng Cc n tm hm kch v hm ra.

Cch 2: Da trc tip vo hnh trng thi vit phng trnh T on, Toff ca tng trig
v phng trnh tn hiu ra.
i vi trig JK :

Ton Q = T* Q JQ = T*

ToffQ = T ** Q KQ = T**
i vi trng hp ny c:

TonQ1 S12 X S12 X S12 Q1Q 0 J1 Q 0

TonQ0 S0 Q1 Q0
IT
ToffQ1 S35 S46 Q1Q0 Q1 Q0 Q1

ToffQ0 S12 X S35 Q1 Q0 X Q1Q 0 Q 0 (Q1 X Q1 )


Phng trnh hm ra Z = Q0Q1C
K1 1
J 0 Q1
K 0 Q1 X Q1 X Q1
PT
Bc 6: S mch in c th hin hnh 3-50.

Hnh 3-50. Mch tun t kim tra dy tn hiu vo

3.7.2. Mch tun t khng ng b


Mt mch tun t khng ng b c thit k m s ngi vo thm mt vin bo
tng. Mch gm hai n X1, X2 c b tr cch nhau 10 mt. Mch c thit k sao cho
mi ln ch m c mt ngi.

135
X1
Mch logic Z
X2

Hnh 3-51. Cch b tr n vin bo tng Hnh 3-52 . S khi ca mch

Li gii:
Khi c mt ngi i vo th hai n s b chn lin tip. u tin X1 b chn, tip n c
X1 v X2 cng b chn, sau ch X2 b chn. Lc ny, mch cho ra tn hiu Z = 1. Khi mt
ngi ra th s ngc li. u tin n X2 s b chn, sau c X1 v X2 cng b chn v cui
cng ch c X1 b chn. S khi ca mch to tn hiu m Z c m t bi hnh 3-52.
Hai u vo ca mch l X1 X2. u ra Z c a ti u vo ca b gii m.

Quy c: n b chn = X; ngc li = X .

IT
hnh trng thi c m t hnh 3-53.
S0 l trng thi ban u ca mch. Nu mt ngi i vo th s chuyn i ca mch s
l S0 S1 S2 S3 S0. Nu mt ngi i ra th qu trnh chuyn i trng thi ca
mch l S0 S3 S4 S1 S0. Khi c mt ngi ngp ngng sau li quay ra ban u
chn n X1 sau quay ra th mch s chuyn i trng thi S 0 S1 S0 , lc mch s
PT
khng thc hin m.

X1 X 2 X1 X 2
X1 X 2 X1 X 2

X1 X 2 X1 X 2

X1 X 2 X1 X 2

Hnh 3-53. hnh trng thi

Tng ng vi hnh trng thi trn, lp c bng chuyn i trng thi bng 3-42.

136
Bng 3-42. Bng chuyn i trng thi

Bng c 5 hng ng vi 5 trng thi hin ti c th xut hin v 4 ct, mi ct ng vi

IT
mt t hp gi tr c th ca X1, X2. Mi ca bng biu din trng thi k tip v tn hiu ra
tng ng vi trng thi hin ti v gi tr ca tn hiu vo X1, X2.
Trong bng chuyn i trng thi, nhng c khoanh trn l nhng c trng thi k
tip bng trng thi hin ti. Nhng trng thi l nhng trng thi n nh. iu kin cho
trng thi n nh l Sk = S.
PT
Trn bng c nhng trng. Nhng ny tng ng vi cc t hp tn hiu khng xut
hin u vo. Nhng ny c th in gi tr tu chn ti thiu ho h phng trnh ca
mch.
Tin hnh ti thiu ho:
C th gn trng thi k tip v tn hiu ra vo cc trng sao cho hng c trng c
th kt hp vi cc hng khc.
bng chuyn i trng thi cc hng S0, S1, S2, v S3, S4 c cc trng thi k tip v
tn hiu ra tng ng l ging nhau nu nh gn:
- trng ca hng u tin (ng vi S0) l S2 / Z = 1,
- trng ca hng th hai l S3 / Z = 0,
- trng ca hng th t l S1 / Z = 0,
- trng ca hng th ba v th nm l S0 / Z = 0,
Ni cch khc, nu thiu trng thi no trong cc hng ca bng trng thi th c th gn
trng thi cn thiu vo ch trng ca bng tin hnh rt gn.
Khi bng chuyn i trng thi c rt gn li nh bng 3-43.

137
Mch ch c hai trng thi nn m ho ch cn s dng mt bin nh phn A. m
ho trng thi S012 th A = 0, S34 th A = 1. Tn hiu ra Z = 1 trng thi S012 khi X1 X2 = 11.

Bng 3-43 . Bng chuyn i trng thi v hm ra rt gn

X1 X 2

X2

IT
Hnh 3-54. hnh trng thi rt gn Hnh 3-55. hnh trng thi tng ng

T , xy dng c hnh trng thi sau khi rt gn hnh 3-54.


tm hm kch cho n gin, v hnh trng thi tng ng vi cc trng thi
vo/ra (hnh 3-55)
PT
Dng trig RS thit k (da vo bng hm kch ca trig RS).

Cung Qn Qn+1 R S
0 0 0 X 0
Ton 0 1 0 1
Toff 1 0 1 0
1 1 1 0 X
Bng 3-44. Bng hm kch ca trig RS

C phng trnh u vo kch (R, S) ca trig l:


S = tp hp bt ca Q + [(1)] = Ton + [(1)];
Tp hp bt ca Q (Ton) l cc cung m Q chuyn t 0 1.
R = tp hp tt ca Q + [(0)] = Toff + [(0)] ;
Tp hp tt ca Q (T off) l cc cung m Q chuyn t 1 0.
Cc cung [(0)], [(1)] c ly gi tr khng xc nh (x) v c dng ti thiu ho.
T , lp bng Cc n ti thiu ha hm kch:

138
SA X1 X 2 R A X2

Bng 3-45. Bng Cc n tm hm kch

T bng 3-45, tm c hm kch cho trig RS:

SA X1 X2 ; R A X2

Phng trnh c trng ca trig RS: Qn 1 SA R A QA

Thay gi tr ca RA, SA vo biu thc thu c mch ton NAND:

A k X1 X 2 X 2 A X1 X 2 X 2 A X1 X 2 .X 2 A

Phng trnh ra: Z AX1 X2


IT
T v c s mch dng NAND (hnh 3-49).
PT
Hnh 3-56. S mch ch dng NAND

Nu thit k mch dng trig RS v cc mch NAND c:

SA X1 X2 R A X2

V mch c biu din hnh 3-57.


X2 S A
X1

X2 R

Hnh 3-57. S mch dng trig RS

139
3.8. MT S MCH TUN T THNG DNG
3.8.1. B m.
B m l loi mch tun t n gin, c xy dng t cc phn t nh l cc trig v
cc mch logic t hp. B m hot ng theo ch tun hon, c mt u vo v mt u
ra chnh. Kt thc vng tun hon b m li quay v trng thi ban u v hm ra ly gi tr
1. Ngoi cc u vo v u ra chnh cn c cc u vo v u ra ph. Cc u vo ph c
th dng lp, xa trng thi trong ca b m v mt gi tr ban u theo mong mun, hoc
lp trnh to mod m, hoc thay i tnh nng ca b m. u ra ph cng c th bao
gm nhiu loi khc nhau, cc u ra t Q 0 n Qn 1 chnh l trng thi ca b m. Khi cn
c th dn tn hiu ny qua mt b gii m hin th kt qu m.
Phn ny s a ra nhng c im c bn nht ca b m v cc phng php thit
k b m.
3.8.1.1. nh ngha v phn loi b m
a . nh ngha.
B m l mt mch tun t tun hon c mt u vo m v mt u ra, mch c s
trng thi trong bng chnh h s m (k hiu l Md). Di tc dng ca tn hiu vo m,

IT
mch s chuyn t trng thi trong ny n mt trng thi trong khc theo mt th t nht
nh. C sau Md tn hiu vo m mch li tr v trng thi xut pht ban u.

Hnh 3-58. S khi ca b m


PT
Cc b m l phn t c bn ca cc h thng s, chng c s dng m thi
gian, chia tn s, iu khin cc mch khc. B m c dng rt nhiu trong cc dng c
o lng ch th s, cc my tnh in t. Bt k h thng s hin i no u s dng cc b
m. xy dng cc b m, ngi ta c th dng m nh phn, hoc cc m khc nh m
Gray, m N BCD, m vng
S khi c m t nh hnh 3- 58.

X d/0 X d/0 X d/0 X d/0 X d/0


X d/0 X d/0 X d/0 X d/0

X d/1
Hnh 3-59. hnh trng thi ca b m Md

140
hnh trng thi ca b m c h s m bng Md c m t hnh 3-59. Khi
khng c tn hiu vo m ( Xd ) mch gi nguyn trng thi c, khi c tn hiu m (Xd) th
mch s chuyn n trng thi k tip.
Tnh cht tun hon ca b m th hin ch: sau Md tn hiu vo Xd th mch li
quay tr v trng thi xut pht ban u.
Tn hiu ra ca b m ch xut hin (Y = 1) duy nht trong trng hp: b m ang
trng thi Md - 1 v c tn hiu vo Xd. Khi b m s chuyn v trng thi 0.
Trong trng hp cn hin th trng thi ca b m th phi dng thm mch gii m.
b. Phn loi b m.
phn loi b m c nhiu cch khc nhau:
+ Cn c vo s khc bit tnh hung chuyn i trng thi ca trig trong b m,
ngi ta phn thnh hai loi: B m ng b (Synchronous), b m khng ng b
(Asynchronous).
Trong b m ng b (hay cn gi l b m song song), cc trig u chu tc dng
iu khin ca mt xung ng h duy nht, l xung iu khin u vo. Ni cch khc, s

IT
chuyn i trng thi ca chng l ng b. Trong b m khng ng b (b m ni tip),
c trig chu tc dng iu khin trc tip ca xung m u vo, nhng cng c trig chu
tc dng iu khin ca xung u ra ca trig khc. Vy s chuyn i trng thi l khng
cng mt lc, tc l khng ng b.
+ Cn c vo s khc bit ca h s m ca b m, ngi ta phn thnh cc loi: b
PT
m nh phn, b m thp phn, b m N phn (hay gi l b m bt k). Nu gi n l s
v tr trong m s nh phn (cng l s trig trong b m), gi N l s trng thi tch cc
(cng l s t hp m ha c dng khi lp m), i vi b m nh phn N = 2n, i vi b
m thp phn N = 10. B m nh phn v b m thp phn l trng hp ring ca b m
N phn. Thng gi N l dung lng b m hoc di m ca b m.
+ Cn c vo xung m u vo, m s m ca b m tng hay gim m ngi ta
phn thnh 3 loi: B m thun (Up counter) hay cn gi l b m tin, b m nghch
(Down counter) hay cn gi l b m li, b m thun nghch.
+ Phn loi theo kh nng lp trnh: ngi ta phn thnh: B m c kh nng lp trnh
(Programable counter), b m khng c kh nng lp trnh.
B m c kh nng lp trnh, l b m c th s dng vi cc h s m khc nhau,
ty thuc vo tn hiu iu khin a vo n. Do vy, b m ny a nng hn cc b m c
mt h s m c nh v ngy cng c s dng rng ri.
3.8.1.2 Phng php c bn phn tch chc nng logic ca b m.
phn tch mch, ngi ta a ra mt quy trnh phn tch gm 4 bc sau:
+ Vit phng trnh.

141
Cn c vo mch in cho, vit phng trnh nh thi, phng trnh u ra, phng
trnh kch, cc cng thc logic ca tn hiu u ra v tn hiu u vo.
Tm phng trnh trng thi.
Thay phng trnh kch vo phng trnh c trng ca trig tng ng, s tm c
phng trnh trng thi ca mch in, cng tc l phng trnh trng thi tip theo ca cc
trig.
+ Lp bng chuyn i trng thi.
a tt c cc t hp c th ca trng thi hin ti v tn hiu u vo ca phng trnh
trng thi v phng trnh u ra, tnh ton tm ra trng thi tip theo v tn hiu u ra
tng ng.
+ V hnh trng thi v gin xung.
+ V th thi gian cn lu : trig ch chuyn i trng thi tng ng vi sn kch
ca xung ng h xut hin.
Quy trnh 4 bc trn l chung, khng bt buc tun theo my mc, nn vn dng linh
hot trong cc trng hp c th.
3.8.1.3 B m ng b
a. B m nh phn ng b IT
B m nh phn ng b ni chung cu trc bng trig T hoc cc trig loi khc
nhng mc thnh trig T. Hnh 3-60 trnh by b m thun nh phn ng b.
PT
Nguyn l lm vic:
Vit cc phng trnh:
Xung Clock ca 4 trig u l xung m u vo:

C1 C2 C3 C4 CLK (3.40)

Hnh 3-60. B m thun nh phn ng b 4 bit

Phng trnh kch:

142
T1 1
n
T2 Q 1
(3.41)
T3 Q1n Q 2n
T4 Q1n Qn2 Qn3

Phng trnh c trng ca trig T:

Q n 1 TQ n TQ n
Thay phng trnh kch vo phng trnh c trng, c:

Q1n 1 T1.Q1n T1.Q1n Q1n



Q n2 1 T2 .Q n2 T2 .Q n2 Q1n .Q n2 Q1n Q n2
(3.42)
Q 3n 1 T3 .Q 3n T3 .Q 3n Q1n .Q 2n .Q 3n Q1n .Q 2n Q 3n

Q n4 1 T4 .Q n4 T4 .Q n4 Q1n .Q n2 .Q 3n Q n4 Q1n .Q n2 .Q 3n .Q n4
n n n n
Khi c xung xa Clear = 0 tt c Q4 Q3 Q2 Q1 0000 ;

Q4n
Clear = 1 mch ch m.

Q3n Q2n
IT
Da vo phng trnh chuyn i trng thi, tnh c bng kt qu 3-46.

Trong cc trng thi k tip ca b m l: Q4 Q3 Q2 Q1 .

Q1n Q4n1
n 1 n 1

Q3n1
n 1 n 1

Q2n1 Q1n1
PT
0 0 0 0 0 0 0 1
0 0 0 1 0 0 1 0
0 0 1 0 0 0 1 1
0 0 1 1 0 1 0 0
0 1 0 0 0 1 0 1
0 1 0 1 0 1 1 0
0 1 1 0 0 1 1 1
0 1 1 1 1 0 0 0
1 0 0 0 1 0 0 1
1 0 0 1 1 0 1 0
1 0 1 0 1 0 1 1
1 0 1 1 1 1 0 0
1 1 0 0 1 1 0 1
1 1 0 1 1 1 1 0
1 1 1 0 1 1 1 1
1 1 1 1 0 0 0 0
Bng 3-46. Bng trng thi ca b m

143
T bng trng thi, v c hnh trng thi nh hnh 3-61.

Hnh 3-61. hnh trng thi ca b m nh phn

Dng sng ca b m thun nh phn ng b c trnh by hnh 3-62.

b. B m nghch nh phn ng b
IT
Hnh 3-62. Dng sng b m thun nh phn ng b

S c trnh by trn hnh 3-63.


PT

T1

Hnh 3-63. B m nghch nh phn ng b

Xung Clock ca 4 trig u l xung m u vo:

C1 C2 C3 C4 CLK
Phng trnh kch:

144
T1 1

T2 Q1n
(3.43)
T3 Q1n .Q2n

T4 Q1n .Q 2n . Q3n
Phng trnh c trng ca trig T:

Q n 1 TQ n TQ n
Thay phng trnh kch vo phng trnh c trng, c:

Q1n 1 T1.Q1n T1.Q1n Q1n



Q n2 1 T2 .Q n2 T2 .Q n2 Q1n .Q n2 Q1n Q 2n
(3.44)
Q 3n 1 T3.Q3n T3 .Q 3n Q1n .Q n2 .Q3n (Q1n Q n2 ).Q 3n

Q n4 1 T4 .Q n4 T4 .Q n4 Q1n .Q n2 .Q3n .Q n4 (Q1n Q n2 Q3n ).Q n4
n n n n
Khi c xung xa Clear = 0 tt c Q4 Q3 Q2 Q1 0000 ;

thi 3-47.

Q4n
0
Q3n
0
Q2n
0
IT
Tng t nh phn trn, dng cc phng php phn tch c bn, c bng chuyn trng

Q1n
0
Q4n1
1
Q3n1
1
Q2n1
1
Q1n1
1
PT
1 1 1 1 1 1 1 0
1 1 1 0 1 1 0 1
1 1 0 1 1 1 0 0
1 1 0 0 1 0 1 1
1 0 1 1 1 0 1 0
1 0 1 0 1 0 0 1
1 0 0 1 1 0 0 0
1 0 0 0 0 1 1 1
0 1 1 1 0 1 1 0
0 1 1 0 0 1 0 1
0 1 0 1 0 1 0 0
0 1 0 0 0 0 1 1
0 0 1 1 0 0 1 0
0 0 1 0 0 0 0 1
0 0 0 1 0 0 0 0
Bng 3-47. Bng trng thi b m nghch nh phn ng b

T bng trng thi, v c hnh trng thi nh hnh 3-64.

145
/0 /0 /0 /0 /0 /0 /0
0000 1111 1110 1101 1100 1011 1010 1001

/0
/1

/0 /0 /0 /0 /0 /0 /0
0001 0010 0011 0100 0101 0110 0111 1000

Hnh 3-64. hnh trng thi ca b m nh phn

Dng sng ca b m nghch c trnh by trn hnh 3-65.

IT
Hnh 3-65. th dng xung ca b m nghch ng b

Nhn xt: So snh hnh 3-60 v hnh 3-63, thy s khc bit ca b m nghch vi b
m thun l u ra Q ca trig cung cp tn hiu chuyn v.

c. B m thun nghch nh phn ng b.


PT
S c trnh by trn hnh 3-66.

Hnh 3-66. B m thun nghch nh phn ng b.

Mch c u vo iu khin m thun, m nghch.


M = 1 mch m thun.
M = 0 mch m nghch

146
y thc cht l 2 b m thun, nghch gp li vi nhau, c thm mt s cng logic
lm nhim v iu khin.
d. B m thp phn ng b
B m thp phn l b m theo m nh- thp phn. V tng ng vi nhiu kiu m
ha ca m nh- thp phn, nn b m cng c nhiu b m thp phn khc nhau. y ch
gii thiu b m thp phn dng m nh- thp phn 8421 hay NBCD.
S c trnh by trn hnh 3-67.

IT
Hnh 3-67. B m thp phn ng b

Mch gm 4 trig JK v mt s cng lm nhim v kch v chuyn v (nh) u ra Z,


xung u vo l CLK.
Nguyn l hot ng:
PT
Vit phng trnh.
+ Phng trnh nh thi

C1 C2 C3 C4 CLK (3.45)

+ Phng trnh hm ra:

Z Qn4 .Q1n (3.46)

+ Phng trnh kch:

J1 K 1 1

J 2 Q 4n .Q1n ; K 2 Q1n
(3.47)
J 3 K 3 Q 2n .Q1n
n
J 4 Q3n .Q 2n .Q1n ; K 4 Q1

+ Phng trnh chuyn i trng thi:


Tm phng trnh chuyn i trng thi bng cch thay phng trnh kch vo phng
trnh c trng ca trig JK:

147
Phng trnh c trng ca trig JK:

Q n 1 J.Q n K.Q n
Phng trnh chuyn i trng thi nh sau:

Q1n 1 J1.Q1n K1.Q1n Q1n



Q n2 1 J 2 .Q n2 K 2 .Q n2 Q1n .Q n2 .Q n4 Q1n Q n2
(3.48)
n 1 n n n n n n n n
Q 3 J 3.Q K 3 .Q Q .Q .Q Q .Q Q
3 3 1 2 3 1 2 3

Q n4 1 J 4 .Q n4 K 4 .Q n4 Q1n .Q n2 .Q 3n Q n4 Q1n .Q n4
Lp bng trng thi:
n n n n
Bt u t gi tr Q4 Q3 Q2 Q1 0000 thay vo phng trnh trng thi v phng trnh
u ra, c kt qu bng 3-47.
Gi s b m hnh 3-67 l b m hng n v ca h thp phn, mch c chuyn
i n trng thi 1001(= 9)10 tn hiu nh tr thnh mc cao, nhng tht ra khng tc ng
ngay m i n sn m ca xung ng h CLK th 10 xut hin, th tn hiu Z mi kch

tc l mch tr v trng thi 0000.

Q4n
0
Q3n
0
Q2n
0
Q1n
0
IT
trig hng chc ca h thp phn chuyn i trng thi, ng thi b m n v tr v 0

Q4n 1
0
Q3n1
0
Q2n 1
0
Q1n1
1
Z

0
PT
0 0 0 1 0 0 1 0 0
0 0 1 0 0 0 1 1 0
0 0 1 1 0 1 0 0 0
0 1 0 0 0 1 0 1 0
0 1 0 1 0 1 1 0 0
0 1 1 0 0 1 1 1 0
0 1 1 1 1 0 0 0 0
1 0 0 0 1 0 0 1 0
1 0 0 1 0 0 0 0 1
1 0 1 0 1 0 1 1 0
1 0 1 1 0 1 0 0 1
1 1 0 0 1 1 0 1 0
1 1 0 1 0 1 0 0 1
1 1 1 0 1 1 1 1 0
1 1 1 1 0 0 0 0 1
Bng 3-47. Bng trng thi ca b m thp phn ng b

hnh trng thi ca mch c th hin trn hnh 3-68.

148
Hnh 3-68. hnh trng thi

Lu :
Trong bng trng thi 3-47 c 6 trng thi t 1010 1111 l nhng trng thi cm. Nu
v mt l do no , v d do nhiu gy ra, th mch in ri vo trng thi cm, di tc dng
ca xung clock mch vn quay tr v trng thi c s dng tc l mch c th t khi
ng.

IT
Dng sng ca b m thun thp phn ng b c trnh by hnh 3-69.
PT
Q1

Q2

Q3

Q4

Hnh 3-69. Dng sng b m thun thp phn ng b

e. B m nghch thp phn ng b.


Mch in c trnh by trn hnh 3-70.
Chng ta d dng phn tch s theo phng php tng t dng trn.
C hai cch cu trc b m thp phn ng b nhiu ch s t b m thp phn
ng b mt ch s.

149
Q Q Q Q

Hnh 3-70. B m nghch thp phn ng b

Cch th nht l mc dy chuyn cc b m mt ch s, ni u ra ca b m c


trng s b vi u vo ca b m c trng s ln tip theo. Nguyn tc hot ng ca b
m 1 ch s l ng b, cn nguyn tc lm vic ca b m nhiu ch s l khng ng b.
Cch th hai ni u ra ca b m trng s b vi tt c cc u vo ng b ca 4
trig ca b m c trng s ln tip theo v cng ni u vo cng chuyn v (cng nh) vi
u ra ca b m ny, cn cc xung ng h ca cc b m u l xung m u vo CLK.
Trong cch th hai nguyn tc hot ng ca ton b b m nhiu ch s cng l ng b.

IT
f. B m thun nghch thp phn ng b.
S c trnh by trn hnh 3-71
PT

Hnh 3-71. B m thun nghch thp phn ng b

Khi tn hiu iu khin thun nghch M = 1, b m l thun, M = 0 b m l nghch.


Phng php ghp ni nhiu b m thun nghch thp phn ng b c trnh by
trn hnh 3-72.

150
B m 1 s trng s b B m 1 s trng s ln tip theo

T1

M M

Hnh 3-72. Cch ghp ni nhiu b m thun nghch thp phn ng b

Khi b m c trng s b C = 1 th b m trng s ln M = 1, T1 = 1 n cng tc


ch m thun.

Khi b m c trng s b B = 1 th b m trng s ln M 1 , T1 = 1 n s m


nghch.

Khi b m c trng s b C = B = 0 th b m trng s ln M M T1 0 , n


ngng m.
g. B m N phn ng b.

IT
S dng trig c th xy dng b m vi h s m N bt k.
Mt s s c trnh by trn hnh 3-73.
PT
a) N = 3 b))N = 5N

Hnh 3-73. B m ng b N phn

3.8.1.4 B m khng ng b
a. B m nh phn khng ng b
m nh phn khng ng b cn c gi l b m ni tip. Cc trig c mc
thnh trig T, mc ni tip vi nhau.
c im ca b m ny l xung nhp m khng c a ng thi vo tt c cc
trig, m ch c a vo u vo xung nhp CLK ca trig u tin, cc u vo xung nhp
ca cc trig tip theo u c ni vi u ra ca cc trig trc lin k.
Cc b m nh phn khng ng b c phn thnh: m thun v m nghch.
S b m thun nh phn khng ng b 4 bit c trnh by trn hnh 3-74.

151
Hnh 3-74. S b m thun nh phn khng ng b

- Phng trnh nh thi:

CLK1 CLK; CLK1 Q1 ; CLK1 Q 2 ; CLK1 Q 3 ; (3.49)

- Phng trnh trng thi:

Q1n 1 Q1n ( CLK)



Q n2 1 Q n2 ( Q1 )
(3.50)
Q3n 1 Q3n ( Q2 )

Q4n Q3n Q2n Q1n


IT Q n4 1 Q n4 ( Q3 )

Q2n 1

Gi thit trng thi ban u Q n4 Q 3n Q n2 Q1n 0000 ; tun t thay vo phng trnh trng
thi, c kt qu nh bng 3-48.

Q4n 1 Q3n1 Q1n 1 iu kin sn m


PT
0 0 0 0 0 0 0 1 CLK1
0 0 0 1 0 0 1 0 CLK1, CLK2
0 0 1 0 0 0 1 1 CLK1
0 0 1 1 0 1 0 0 CLK1, CLK2, CLK3
0 1 0 0 0 1 0 1 CLK1
0 1 0 1 0 1 1 0 CLK1, CLK2
0 1 1 0 0 1 1 1 CLK1
0 1 1 1 1 0 0 0 CLK1, CLK2, CLK3, CLK4
1 0 0 0 1 0 0 1 CLK1
1 0 0 1 1 0 1 0 CLK1, CLK2
1 0 1 0 1 0 1 1 CLK1
1 0 1 1 1 1 0 0 CLK1, CLK2, CLK3
1 1 0 0 1 1 0 1 CLK1
1 1 0 1 1 1 1 0 CLK1, CLK2
1 1 1 0 1 1 1 1 CLK1
1 1 1 1 0 0 0 0 CLK1, CLK2, CLK3, CLK4
Bng 3-48. Bng trng thi ca b m nh phn khng ng b

152
Trig s trn c th dng vi mch 7476 l trig JK, c hai u vo khng ng b:
lp v xa SD v CD u tc dng mc thp, mun xa CD = 0, SD =1, mun t SD = 0,
CD =1, b m lm vic ch m CD = 1, SD = 1
trig JK tr thnh trig T u vo m J = K = 1.
Hnh 3-75 l hnh trng thi ca b m.
/0 /0 /0 /0 /0 /0 /0
0000 1111 1110 1101 1100 1011 1010 1001

/0
/1

/0 /0 /0 /0 /0 /0 /0
0001 0010 0011 0100 0101 0110 0111 1000

Hnh 3-73. hnh trng thi ca b m nh phn

Dng sng ca b m c trnh by hnh 3-76.

Q1

Q2

Q3

Q4
IT
PT
Hnh 3-76. Dng sng b m thun nh phn khng ng b

b. B m thun nh phn khng ng b kch bng sn dng.


B m thun nh phn khng ng b kch bng sn dng (hnh 3-77).

Hnh 3-77. S m thun nh phn khng ng b kch bng sn dng

Trong s ny, dng 4 trig D kch bng sn dng c mc thnh trig T, u


vo ca cc trig tr trig u tin c ni vi u ra o Q ca trig c trng s b hn
lin k.

153
Nguyn tc hot ng ca s ny cng ging nh s dng trig JK kch bng
sn m, cn ch iu kin nh thi kch bng sn dng.
Dng sng ca b m kiu ny c trnh by hnh 3-78, ch l sn xung ca Q
l sn ln ca Q .

Hnh 3-78. Dng sng ca b m thun nh phn khng ng b kch bng sn dng

T.
IT
c. B m nghch nh phn khng ng b
S b m ny c trnh by hnh 3-79 v 3-80 s dng cc trig mc thnh trig
PT

Hnh 3-79. B m nghch nh phn khng ng b kch bng sn m.

Hnh 3-80. B m nghch nh phn khng ng b kch bng sn dng.

- Phng trnh nh thi:

CLK1 CLK; CLK1 Q1; CLK1 Q2 ; CLK1 Q3 ; (3.51)

Trig T trong s trn s lt trng thi mi khi xut hin sn m ca xung clock.

154
- Phng trnh chuyn i trng thi:

Q1n 1 Q1n ( CLK)



n 1 n
Q 2 Q 2 ( Q1 )
(3.52)
Q3n 1 Q3n ( Q2 )

Q n4 1 Q n4 ( Q3 )

Gi thit trng thi ban u Q n4 Q 3n Q n2 Q1n 0000 ; tun t thay vo phng trnh trng
thi, c kt qu nh bng 3-49.

Q4n Q3n Q2n Q1n Q4n 1 Q3n1 Q2n 1 Q1n 1


0 0 0 0 1 1 1 1
1 1 1 1 1 1 1 0
1 1 1 0 1 1 0 1
1 1 0 1 1 1 0 0
1 1 0 0 1 0 1 1
1 0 1 1 1 0 1 0
1
1
1
0
0
0
0
0
1
1
1
0
0
1
1
IT 0
1
0
1
0
1
1
0
0
0
0
0
1
1
1
0
0
1
1
0
1
0
1
0
1
PT
0 1 0 1 0 1 0 0
0 1 0 0 0 0 1 1
0 0 1 1 0 0 1 0
0 0 1 0 0 0 0 1
0 0 0 1 0 0 0 0
Bng 3-49. Bng trng thi ca b m nghch

Hnh 3-81 l hnh trng thi ca b m.

Hnh 3-81. hnh trng thi ca b m nh phn

Dng sng ca b m nghch nh phn khng ng b trnh by hnh 3-82.

155
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16

CLK

Q1

Q2

Q3

Q4

a) Kch bng sn m

b) Kch bng sn dng


Hnh 3-82. Dng sng ca b m nghch nh phn khng ng b

IT
d. B m thun nghch nh phn khng ng b
S c trnh by hnh 3-83.
Thc cht y l mch ghp ca b m thun v nghch nh phn khng ng b. S
c thm u vo iu khin UP/ Down v mt s cng thc hin m thun hoc m
PT
nghch.
m thun: Khi u vo UP/ Down = 1, u ra Q ca trig trc ni vi u vo CLK
ca trig tip sau, mch ging nh s hnh 3-74. m nghch: Khi u vo UP/ Down = 0,
u ra Q ca trig trc ni vi u vo CLK ca trig tip sau, mch ging nh s hnh
3-79.

Hnh 3-83. B m thun nghch nh phn khng ng b

156
c im ca b m nh phn khng ng b l cch ghp ni b m v cu trc b
m n gin, nhng c nhc im l tn s cng tc thp, xung nhiu qu t trng thi
m ha ny sang trng thi m ha khc ln.
e. B m thun thp phn khng ng b
S c trnh by hnh 3-84.

Hnh 3-84. B m thun thp phn khng ng b

S gm 4 trig JK, cc cng NAND, AND v NOT, CLK l xung m u vo, Z l


tn hiu chuyn v (nh) a n b m trng s ln hn tip theo.
Nguyn l hot ng:
Phng trnh nh thi:
ITC1 CLK;

PT
C 2 C4 Q1 (3.53)

C3 Q 2
Phng trnh u ra:

Z Q n4 .Q1n (3.54)

Phng trnh kch:

J1 K1 1

J 2 Q 4n ; K 2 1
(3.55)
J3 K3 1
J 4 Q3n .Q2n ; K 4 1

Theo qui c u vo trng tng ng vi mc logic 1.


Tm phng trnh chuyn i trng thi:

Thay cc gi tr (3.55) vo phng trnh c trng ca trig JK c:

157
Q1n 1 J1.Q1n K1.Q1n Q1n ( CLK)

Q n2 1 J 2 .Q 2n K 2 .Q 2n Q2n .Q 4n ( Q1 )
(3.56)
Q3n 1 J 3 .Q3n K 3 .Q3n Q3n ( Q 2 )

Q n4 1 J 4 .Q 4n K 4 .Q 4n Q2n .Q3n .Q1n ( Q1 )

Lp bng trng thi:

Khi xung xa (CD = 0) tt c cc u ra Q n4 Q 3n Q 2n Q1n 0000 . Thay vo phng trnh


chuyn i trng thi lp c bng 3-50.

Q4n Q3n Q2n Q1n Q4n 1 Q3n1 Q2n1 Q1n 1 Z iu kin sn m

0 0 0 0 0 0 0 1 0 CLK1
0 0 0 1 0 0 1 0 0 CLK1; CLK2
0 0 1 0 0 0 1 1 0 CLK1
0 0 1 1 0 1 0 0 0 CLK1; CLK2 ; CLK3
0 1 0 0 0 1 0 1 0 CLK1
0 1 0 1 0 1 1 0 0 CLK1; CLK2
0
0
1
1
1
1
1
0
0
0
1
1
0
0
1
0
1
0
1
0
0
1
1
0
1
IT 1
0
0
0
0
1
0
0
0
1
1
0
1
0
1
0
0
0
1
0
CLK1
CLK1; CLK2 ; CLK3; CLK4
CLK1
CLK1; CLK2
CLK1
PT
1 0 1 1 0 1 0 0 1 CLK1; CLK2 ; CLK3; CLK4
1 1 0 0 1 1 0 1 0 CLK1
1 1 0 1 0 1 0 0 1 CLK1; CLK2 ; CLK4
1 1 1 0 1 1 1 1 0 CLK1
1 1 1 1 0 0 0 0 1 CLK1; CLK2 ; CLK3; CLK4
Bng 3-50. Bng trng thi ca b m thp phn khng ng b

hnh trng thi ca mch c th hin trn hnh 3-83.

Hnh 3-83. hnh trng thi

158
Cn c vo hnh trng thi, kt lun rng b m ny c kh nng t khi ng.
Dng sng ca b m thun thp phn ng b c trnh by hnh 3-86.

Q1

Q2

Q3

Q4

Hnh 3-86. Dng sng b m thun thp phn khng ng b

f. B m nghch thp phn khng ng b


S trnh by trn hnh 3-87.IT
PT

Hnh 3-87. B m nghch thp phn khng ng b

Cch phn tch cng tng t nh mc trn.


Cch ghp ni cc b m thp phn khng ng b 1 ch s thnh b m nhiu ch
s l ghp ni lin tip, u ra ca b m c trng s b c ni vi u vo CLK ca b
m c trng s ln k tip.
3.8.2. Thit k b m
3.8.2.1. Cc bc thit k b m
Hnh 3-88 l lu thit k b m.

159
Hnh 3-88. Lu thit k b m

3.10.2.2. B m ng b.
a. B m nh phn

IT
V d: Thit k b m nh phn ng b c Md = 4.
Do Md = 4 nn lp c hnh trng thi hnh 3-89.
PT
Hnh 3-89. hnh trng thi ca b m

T hnh trng thi, xc nh c s trig cn dng thit k b m (n = 2) v m


ho cc trng thi . Hai trig cn m ho cc thi l Q1 v Q2. Dng bng hm kch 3-51
xc nh cc u vo kch cho cc loi trig.
N n +1 Trig Q2 Trig Q1
k k
Q2 Q1 Q 2 Q 1 R2 S2 J2 K2 T2 R1 S1 J1 K1 T1
0 0 0 1 X 0 0 X 0 0 1 1 X 1
0 1 1 0 0 1 1 X 1 1 0 X 1 1
1 0 1 1 0 X X 0 0 0 1 1 X 1
1 1 0 0 1 0 X 1 1 1 0 X 1 1
Bng 3-51. Bng hm kch

Ti thiu ho hm kch ca cc trig, nhn c kt qu:


i vi trig Q1:
160
R1 Q2 Q1 Q2Q1 Q1 (Q2 Q2 ) Q1 ; S1 Q2 Q1 Q2 Q1 Q1 (Q2 Q2 ) Q1
J1 = K1 = 1;
T1 = 1;
i vi trig Q2:

R2 = Q2Q1; S2 Q 2 Q1

J 2 = K2 = Q 1 ;
T2 = Q1;
S mch in nh hnh 3-90.

IT
PT
Hnh 3-90. B m Mod 4 dng trig RS; JK; T

b. B m N phn (hay b m c mod m bt k)


V d: Thit k b m ng b c Md = 3.
Do Md = 5 nn lp c hnh trng thi nh hnh 3-91.

Hnh 3-91. hnh trng thi ca b m Mod 5

T xc nh c s trig cn dng thit k b m (n = 3) v m ho cc trng


thi . Ba trig cn m ho cc thi l Q3, Q2 v Q1.
Cch 1: Dng bng hm kch 3-52 xc nh cc u vo kch cho cc trig.

161
n n+1 Trig Q3 Trig Q2 Trig Q1
Q3 Q2 Q1 Q n 1
Q n 1
Q n 1 J3 K3 J2 K2 J1 K1
3 2 1

0 0 0 0 0 1 0 X 0 X 1 X
0 0 1 0 1 0 0 X 1 X X 1
0 1 0 0 1 1 0 X X 0 1 X
0 1 1 1 0 0 1 X X 1 X 1
1 0 0 0 0 0 X 1 0 X 0 X
Bng 3-52. Bng hm kch cho trig

Ti thiu ho hm kch ca cc trig, nhn c kt qu:

J1 Q 3 ; K1 = 1; J2 = K2 = Q2; J3 = Q2Q1 ; K3 = 1;

Cch 2: Dng bng chuyn i trng thi tm hm kch:

Q2Q1
Q3 00 01 11 10

0 001 010 100 011

1 IT 000 x

Bng 3-53. Bng chuyn i trng thi.


x

T bng 3-53, tch ra lm 3 bng chuyn i ring bit cho 3 trig tm phng trnh
x
PT
n 1 n 1 n 1
chuyn i trng thi ca cc Q3 , Q2 , Q1 .

Q2Q1 Q2Q1
Q3 00 01 11 10 Q3 00 01 11 10

0 1 0 0 1 0 0 1 0 1

1 0 x x x 1 0 x x x

Bng 3-54. Bng Cc n xc nh Q1n 1 Bng 3-55. Bng Cc n xc nh Qn2 1

n 1 n 1
T bng 3-54 tm c Q1 , t Q1 tm c J1, K1:

Q1n 1 Q3 . Q1
Q1n 1 J1 .Q1 K1. Q1
J1 Q3 ; K1 1
n 1
T bng 3-55 tm c Q2 , J2, K2

162
Q2n1 Q2 . Q1 Q2 . Q1
Qin1 J i .Qi K i . Qi
J 2 K 2 Q1

Q2Q1
Q3 00 01 11 10

0 0 0 1 0

1 0 x x x

Bng 3-56. Bng Cc n xc nh Q3n 1


n 1
T bng 3-56 tm c Q3 , J3, K3

Q3n 1 Q3 . Q2 .Q1
Qin 1 J i .Qi Ki . Qi
J 3 Q2 . Q1 ; K3 1

Q3

1
Q2
IT
Kim tra kh nng t khi ng bng bng 3-57.

0
Q1

1
Qn3 1
0
n+1
Qn2 1
1
Q1n 1
0
PT
1 1 0 0 1 0
1 1 1 0 0 0
Bng 3-57. Kim tra kh nng t khi ng

Nhn vo bng trng thi 3-57, cc trng thi d sau mt s xung nhp u quay tr li
vng m nn b m ny t khi ng.
S mch in hnh 3-92.

Hnh 3-92. B m ng b Mod 5

163
3.10.2.3. B m khng ng b.
a. B m nh phn
Cc b m ny c s rt n gin vi c im:
- Ch dng mt loi trig T hoc JK. Nu dng trig T th u vo T lun c ni vi
mc logic '1', nu dng trig JK th J v K c ni vi nhau v ni vi mc '1'.
- u ra ca trig trc c ni vi u vo xung nhp ca trig sau k tip. Khi m
tin th ly u ra Q, khi m li th ly u ra Q (vi gi thit xung Clock tch cc ti
sn m ).
- Tn hiu vo Xd lun c a ti u vo xung nhp ca trig c trng s nh nht.
V d i vi b m nh phn khng ng b Md = 2n dng cc trig Q1, Q2 Qn-1 vi
Q1 l bit c trng s nh nht, Qn-1 l bit c trng s ln nht, c:
- Khi m tin: CQ1 = X; CQ2 = Q1CQn-1 = CQn-2.

- Khi m li: CQ1 = X; CQ2 = Q1 CQn-1 = CQn 2

S ca b m nh phn khng ng b 3 bit (Md = 8 - m tin) dng trig JK c


cho hnh 3-93.
IT
PT
Hnh 3-93. B m nh phn khng ng b 3 bit

b. B m N phn (hay b m c mod m bt k).


V d: Thit k b m Md = 5 khng ng b.
T yu cu bi ton, xy dng hnh trng thi nh hnh 3-94.

Hnh 3-94. hnh trng thi b m mod 5 khng ng b

- Chn la m ho trng thi


C 5 trng thi nn s trig bng 3, chn trig JK. Chn m BCD8421.
S0 = 000; S1 = 001; S2 = 010; S3 = 011; S4 = 100.

164
- Chn xung ng h t gin xung 3-93.

1 2 3 4 5
C

Q1

Q2

Q3

Hnh 3-93. Gin xung ca b m mod 5

C1 = C ; C2 = Q1 ; C3 = C;
- Tm h phng trnh:
Khi xt trng thi k tip ca cc trig, ngoi nhng trng thi khng c dng m
ha (t S5S7 = 101111) cn c cc trng thi khng tha mn iu kin kch ca xung

IT
Clock (ngha l khng ng sn xung Clock hoc cha xut hin sn xung Clock), cc
trng thi ny u c dng ti thiu ha.
PT
Q1n 1 Q3 .Q1

Q n2 1 Q2 Q3n 1 Q3 .Q2 .Q1

Bng 3-58. Bng chuyn i trng thi v bng tm hm kch

Sau khi ti thiu ho bng bng 3-58, nhn c h phng trnh:

Q1n 1 Q3 Q1

165
Qn2 1 Q 2

Q1n 1 Q3 Q2 Q1
Kim tra kh nng t khi ng bng bng 3-59.

n n+1
Q3 Q2 Q1 Qn3 1 Qn2 1 Q1n 1
1 0 1 0 1 0
1 1 0 0 1 0
1 1 1 0 0 0
Bng 3-59. Kim tra kh nng t khi ng

Nhn vo bng 3-59, cc trng thi d sau 1 s xung nhp u quay tr li vng m, do
vy b m ny t khi ng.

IT
PT
Hnh 3-96. B m Mod 5 khng ng b

T h phng trnh trng thi, tm c phng trnh hm kch bng cch ng nht
n 1
chng vi phng trnh c trng: Qi J i Qi K i Qi ;

J1 Q3 ; K1 = 1; J2 = K2 = 1; J3 = Q1Q0 ; K3 = 1;
T h phng trnh hm kch, v c mch in ca b m Mod 5 khng ng b
nh hnh 3-96.
3.8.3. Thit k b m t IC m
3.8.3.1. IC m ng b 54/74
Vic thit k cc b m ng b bng cch s dng cc trig c tho lun trn
y. Cc b m dnh cho bt k hnh trng thi no v bt k trig no u c th c
thit k bng cc phng php ny. Mt vi b m (MSI) c sn v c cho trong bng 3-
60. Tt c cc IC ny u hot ng ti sn dng ca xung Clock.

166
Tn M t c tnh
IC
74160 B m thun (UP) thp phn Preset ng b v Clear khng
ng b
74161 B m thun (UP) nh phn 4 bit Preset ng b v Clear khng
ng b
74162 B m thun (UP) thp phn Preset ng b v Clear ng b
74163 B m thun (UP) nh phn 4 bit Preset ng b v Clear ng b
74168 B m thun nghch (UP/DOWN) thp phn Preset ng b v khng Clear
74169 B m thun nghch (UP/DOWN) nh phn 4 bit Preset ng b v khng Clear
74190 B m thun nghch (UP/DOWN) thp phn Preset ng b v khng Clear
74191 B m thun nghch (UP/DOWN) nh phn 4 bit Preset ng b v khng Clear
74192 B m thun nghch (UP/DOWN) thp phn Preset ng b v Clear
74193 B m thun nghch (UP/DOWN) nh phn 4 bit Preset ng b v Clear
Bng 3-60. Mt s loi IC thng dng

3.8.3.2. IC m khng ng b 54/74


Tn IC
7490
74290
7492
7493
M t
B m BCD
B m BCD
B m chia chn cho 12
B m nh phn 4 bit
IT c tnh
C u vo lp (Set) v xa (Reset)
C u vo xa (Reset)
C u vo xa (Reset)
C u vo xa (Reset)
PT
74293 B m nh phn 4 bit C u vo xa (Reset)
74176 B m BCD C u vo xa (Reset); C cc u vo np d liu
74196 B m BCD C u vo xa (Reset); C cc u vo np d liu
74177 B m nh phn C u vo xa (Reset); C cc u vo np d liu
74197 B m nh phn C u vo xa (Reset); C cc u vo np d liu
74390 Hai b m thp phn C u vo xa (Reset)
74393 Hai b m nh phn 4 bit C u vo xa (Reset);
74490 Hai b m BCD C u vo lp (Set) v xa (Reset)
Bng 3-61. Mt s loi IC thng dng

Tt c cc IC gii thiu trong bng 3-61 u hot ng ti sn m ca xung Clock.


Cc loi IC ny u c bn trig MS.
a. IC 7490, 74390 v IC 7490
Hnh 3-103 biu th cu trc c bn ca IC 7490. N bao gm 4 trig cung cp b m
gm hai Mod m: Mod 2 v Mod 3. Cc b m Mod 2 v Mod 5 c th c s dng mt
cch c lp. Trig A thc hin m Mod 2, Trig B, C, D thc hin m Mod 3.
Hai u vo xa (Reset) l R1 v R2, chng hot ng mc tch cc cao.

167
Hai u vo lp (Set) l S1 v S2,. Khi chng trng thi 1 th b m c np gi tr
1001 2.
IC 74390 gm c hai b m BCD c lp tng t nh 7490, c mt u vo xa
(Reset) cho tng b m.
IC 74490 gm c hai b m BCD c lp. Mi phn c cha 4 trig, mi phn u c
mt u vo lp (S) v mt u vo xa (R) hot ng mc tch cc cao.

Hnh 3-103. Cu trc c bn ca IC 7490

H
H
H
H
L
X
X
L
IT
Bng 3-62 m t chc nng ca IC 7490.

R1 R2 S1 S2 QD QC QB QA
L L L L
L L L L
PT
X X H H H L L H
L X L X Thc hin m
X L X L Thc hin m
L X X L Thc hin m
X L L X Thc hin m
Bng 3-62. Bng chc nng ca IC7490

Bng 3-63 m t chc nng ca IC 74290.


R0(1) R0(2) R9(1) R9(2) QD QC QB QA
H H L X L L L L
H H X L L L L L
X X H H H L L H
L X L X Thc hin m
X L X L Thc hin m
L X X L Thc hin m
X L L X Thc hin m
Bng 3-63. Bng chc nng ca IC74290

168
thc hin m Mod 10 (BCD) th u ra QA c ni vi xung Clock B. Tn hiu
cn m c a vo xung clock A.
Ngoi ra b m ny cng c th to ra cc Mod khc nhau (nh hn 10) bng phng
php hi tip v chn Reset.
V d: Thit k b m chia ht cho 6 bng IC 7490.
Trc ht, chuyn 610 sang nh phn tm u ra cn xa: 0110 2. Nh vy, u ra cn
xa l QB v QC.
thc hin c mch chia ny, phi ni b m v trng thi chia ht cho 1010, sau
ni u ra QB v QC vi 2 chn Reset: R1 v R2. Hnh 3-104 minh ha b m ny.

IT
Hnh 3-104. B m chia ht cho 6.

b. IC 7492, 7493, IC 74293, 74393


PT
Cu trc chung ca cc IC m ny c cho hnh 3- 103.

Hnh 3-103. S khi ca IC

Hot ng ca nhng b m ny ging nh IC 7490, ch khc l khng c cc u vo


lp v Mod 6 khng m theo trnh t nh phn. Trnh t ny c cho trong bng 3-64. Cc
IC ny thng khng dng lm cc b m m dng lm b chia tn.

169
CLK QD QC QB
0 0 0 0
1 0 0 1
2 0 1 0
3 1 0 0
4 1 0 1
5 1 1 0
Bng 3-64. Bng trng thi Mod 6 ca IC

Bng 3-65 m t chc nng ca b m 7492/ 7493/ 74293.

R01 R02 QD QC QB QA
H H L L L L
L X Thc hin m
X L Thc hin m
Bng 3-65. Bng chc nng ca IC

IC 74393 gm hai b m nh phn 4 bit vi mt u vo xa (Reset) cho mi b m,


chng hot ng mc tch cc cao.
3.8.4. B ghi dch (Shift Register)
3.8.4.1. Gii thiu chung:
IT
B ghi dch cn gi l thanh ghi dch l phn t khng th thiu c trong CPU, trong
PT
cc h vi x l. N c kh nng ghi (nh) s liu v dch thng tin (sang phi hoc sang tri).
B ghi dch c cu to t mt dy phn t nh c mc lin tip vi nhau v mt s
cc cng logic c bn h tr. Mun ghi v truyn mt t nh phn n bit cn n phn t nh (n
trig). Trong cc b ghi dch thng dng cc trig ng b nh trig RS, T, JK, D.
Thng thng ngi ta hay dng trig D hoc cc trig khc nhng mc theo kiu trig
D to thnh cc b ghi.
Phn loi:
- Phn theo cch a tn hiu vo v ly tn hiu ra:
Vo ni tip, ra song song SIPO (Serial Input, Parallel Output): thng tin c a vo
thanh ghi dch tun t tng bit mt, s liu c a ra ng thi tc l tt c n trig ca
thanh ghi c c cng mt lc.
Vo song song, ra song song PIPO (Parallel Input, Parallel Output): thng tin c
a vo v ly ra ng thi n trig.
Vo ni tip, ra ni tip SISO (Serial Input, Serial Output): thng tin c a vo v
ly ra tun t tng bit mt.

170
Vo song song, ra ni tip PISO (Parallel Input, Serial Output): thng tin c a
vo ng thi c n trig, ly ra tun t tng bit mt di s iu khin ca xung nhp.
- Phn theo hng dch:
Dch phi, dch tri, dch hai hng, dch vng
- Phn theo u vo:
u vo n: mi trig trong b ghi dch ch s dng mt u vo iu khin, v d nh
trig D hay cc trig khc mc theo kiu D.
u vo i: cc trig trong b ghi dch s dng c hai uvo iu khin , v d hai
u vo iu khin ca trig JK hay trig RS.
- Phn theo u ra:

u ra n: mi trig trong b ghi dch ch c mt u ra Qi (hay Qi ) c a ra chn


ca vi mch.

u ra i: c hai u ra ca trig Qi v Qi u c a ra chn ca vi mch.

Nu kt hp mt s chc nng trn c b ghi dch a nng.


ng dng ca b ghi dch:
IT
B ghi dch c s dng rng ri nh d liu, chuyn d liu t song song thnh
ni tip v ngc li. B ghi dch l thnh phn khng th thiu c trong CPU ca cc h vi
x l, trong cc cng vo/ra c kh nng lp trnh.
PT
B ghi dch cn c dng thit k b m, to dy tn hiu nh phn tun hon
Cc b ghi dch c th c thit k bng cch s dng cc trig ri rc hoc c tch
hp trn cc chip.
Bng 3-66 gii thiu mt s IC TTL 54/74.

Tn IC M t
7491, 7491A 8 bit - vo ni tip, ra song song
7494 4 bit - vo song song, ra ni tip
7495 4 bit - vo ni tip/song song, ra song song (dch phi, dch tri)
7496 5 bit vo song song, ra song song; vo ni tip, ra ni tip
7499 4 bit dch theo hai hng
74164 8 bit - vo ni tip, ra song song
74165 8 bit - vo ni tip/song song, ra ni tip
74166 8 bit - vo ni tip/song song, ra ni tip
74178, 74179 4 bit dch theo hai hng
74194 4 bit dch theo hai hng
74195 4 bit - vo ni tip/song song, ra song song
74198 8 bit dch theo hai hng

171
Tn IC M t
74199 8 bit - vo ni tip/song song, ra song song
74295A 4 bit 3 trng thi - vo ni tip/song song, ra song song theo hai hng
74395 4 bit 3 trng thi - vo ni tip/song song, ra ni tip/song song
Bng 3-66. Gii thiu 1 s IC ghi dch

3.8.4.2. B ghi song song


S b ghi song song c trnh by trn hnh 3-108.
Trong s dng 4 trig D v mt mch iu khin ra dng cng AND 2 u vo.

IT
PT
Hnh 3-108. S logic b ghi song song 4 bit

Hot ng ca s nh sau:
u tin dng xung xa CD = 0 u ra Q1 Q2 Q3 Q4 = 0 0 0 0. Cc s liu cn ghi
a vo D1, D2, D3, D4. Khi c mt xung iu khin ghi a ti u vo CLK, d liu c
np vo b nh song song v cho u ra song song Q1 Q2 Q3 Q4 = D1 D2 D3 D4. Mi u ra Q
c a ti 1 u vo ca cc cng AND. Mun cho d liu ti cc u ra, u vo iu
khin ra phi bng 1.
3.8.4.3. B ghi ni tip
B ghi dch ni tip c th dch phi, dch tri v cho ra song song hoc ra ni tip.
Hnh 3-109 gii thiu s b ghi dch vo ni tip dch phi ra song song v ra ni tip

172
Hnh 3-109. B ghi ni tip dch phi

y l s ch c u vo ni tip, cn u ra c c ra song song v ra ni tip. Hot


ng ca mch c gii thch bng bng 3-67.

CLK
0
1
2
3
IT
Q1
0
D4
D3
D2
Q2
0
0
D4
D3
Q3
0
0
0
D4
0
0
0
0
Q4
PT
4 D1 D2 D3 D4
5 0 D1 D2 D3
6 0 0 D1 D2
7 0 0 0 D1
Bng 3-67. Bng trng thi ca b ghi dch

Gi s mun nhp s liu D1, D2, D3, D4, y l mch ghi dch phi, do phi bit D4
trc. Sau 4 xung nhp th c 4 bit s liu c np xong.
Cch ly s liu ra:
+ Ra song song: Sau 4 xung nhp np xong s liu, 4 u ra Q: Q1 Q2 Q3 Q4 = D1 D2
D3 D4; mun ly ra song song th cho u iu khin ra = 1.
+ Ra ni tip: Ly ra Q4 (trig cui cng).
Sau 4 xung nhp np xong, D4 xut hin u ra ni tip, vy cn 3 xung na D1
D2 D3 c a ra Q4.
Tm li, mun ghi ni tip 4 bit cn 4 xung CLK v cho ra u ra song song. Cn
ly s liu ra ni tip cn thm 3 xung nhp na.

173
3.8.4.3. ng dng ca b ghi dch
ng dng c bn ca cc b ghi dch l lu tr d liu tm thi v x l bit. Mt s ng
dng chung ca b ghi dch c trnh by sau y:
a. To tr
B ghi dch SISO c th c dng to thi gian tr t cho cc tn hiu s v c
cho bi cng thc:

1
t N x (3.57)
fC
Trong N l s trig v fC = l tn s xung Clock.
Nh vy, mt xung u vo xut hin ti u ra s b tr mt khong thi gian t. Thi
gian tr ny c to bi tn s xung Clock hoc s lng trig c trong b ghi dch.
b. B chuyn d liu t ni tip sang song song
Nh b ghi dch SIPO m d liu dng ni tip c chuyn sang dng song song.
c. B chuyn d liu t song song sang ni tip

d. B m vng
IT
Nh b ghi dch PISO m d liu dng song song c chuyn sang dng ni tip.

S mch c ch ra trn hnh 3-112.


PT

Hnh 3-112. B m vng

Mch c phn hi t u ra v u vo thng qua biu thc D1 =Qn, tc l ni u ra


Q ca trig th n vi u vo D ca trig u tin tnh t tri sang phi. Lc ny trig to
thnh mch vng nn gi l b m vng; thc cht y l b ghi dch t tun hon.
Bng phng php phn tch b m, c th tm c hnh trng thi ca b m
vng nh hnh 3-113.

Trng thi c dng Trng thi khng c dng

174
Hnh 3-113. hnh trng thi b m vng

Nhn vo hnh trng thi, ch c mt hnh tha mn m vng (xem chng 1) l


1000, 0100, 0010, 0001. Do vy, mch hot ng chnh xc, phi dng xung kch (c th
s dng chn Preset) a b m vo trng thi s dng (v d: 1000), sau mi tc ng
xung Clock.
T cc hnh trng thi ny, b m ny khng t khi ng, tc l v mt l do no
trng thi ca b m ri vo cc trng thi khng c s dng th n khng th tr v
trng thi c dng, ch khi khi ng li mch mi c th tr v trng thi chnh xc.
Q1 Q2 Q3 Q4

Xa

CLK
D

> CLK
SD Q

F1

CD
_
Q IT D

> CLK
SD

F2

CD
Q

_
Q
D

>CLK
SD Q
F3

CD
_
Q

Hnh 3-114. B m vng 4 bit t khi ng.


D SD
F4
> CLK
CD
Q

_
Q
PT
Hnh 3-114 l b m vng 4 bit t khi ng.
Phng trnh kch c vit nh sau:

D1 Q1n Q n2 Q 3n

D 2 Q1n

D3 Q 2n

D 4 Q3n

Thay phng trnh kch vo phng trnh c trng ( Qin 1 Di ) tm c phng trnh
chuyn i trng thi:

Q1n 1 Q1n Q n2 Q 3n

Q n2 1 Q1n

Q3n 1 Qn2

Q n4 1 Q3n
T phng trnh chuyn i trng thi, lp c bng trng thi 3-68.

175
Q1n Q2n Q3n Q4n Q1n 1 Q2n1 Q3n1 Q4n 1
0 0 0 0 1 0 0 0
0 0 0 1 1 0 0 0
0 0 1 0 0 0 0 1
0 0 1 1 0 0 0 1
0 1 0 0 0 0 1 0
0 1 0 1 0 0 1 0
0 1 1 0 0 0 1 1
0 1 1 1 0 0 1 1
1 0 0 0 0 1 0 0
1 0 0 1 0 1 0 0
1 0 1 0 0 1 0 1
1 0 1 1 0 1 0 1
1 1 0 0 0 1 1 0
1 1 0 1 0 1 1 0
1 1 1 0 0 1 1 1
1 1 1 1 0 1 1 1

IT
Bng 3-68. Bng trng thi b m vng

T bng trng thi, v c hnh trng thi trn hnh 3-113.


PT

Hnh 3-113. hnh trng thi b m vng t khi ng

u im ca b m vng: trong tt c cc trig ch c mt trig c trng thi 1, nn


mun ly u ra ca b m vng ti cc u ra Q m khng cn b gii m. Khi cp xung
Clock lin tc th cc u ra Q v Q ca cc trig s cho ra cc xung vung lun lu. Do ,
b m vng cn c gi l b phn phi xung vung.
Nhc im: Hiu sut s dng trng thi thp, s lng trig bng s lng bit nh
nn phi s dng nhiu trig.

176
c. B m vng xon
c im ca m vng xon (m Johnson) l c s bit 1 trong t m tng dn, sau li
gim dn. Do , cu trc mch ca n tun theo biu thc:

D1 Qn
S mch ca b m c ch ra trn hnh 3-116.

Hnh 3-116. B m vng xon

Bng cch phn tch, xy dng c hnh trng thi 3-117, trong c 8 trng thi

0000
Trng thi c s dng

1000 1100
IT
khng c s dng. mch hot ng ng, trc khi m phi reset b m v trng thi
0000.

1110 0010
Trng thi khng c s dng

1001 0100 1010


PT
0001 0011 0111 1111 0101 1011 0110 1101

Hnh 3-117. hnh trng thi ca b m vng xon

Do b m hnh 3-116 khng t khi ng nn hnh 3-118 gii thiu b m vng xon
t khi ng.

Q1 Q2 Q3 Q4

D SD Q D SD Q D SD Q D SD Q
F1 F2 F3 F4
_ _
> CLK _ > CLK _
Q
>CLK Q
> CLK Q
Q CD
CD CD CD

Xa

CLK

Hnh 3-118. B m vng xon t khi ng

177
Tin hnh phn tch mch:
Phng trnh kch:


D1 Q 2n Q3n . Q 4n Q 2n Q3n Q4n
D 2 Q1n

D3 Q 2n
n

D 4 Q3

Thay phng trnh kch vo phng trnh c trng ( Qin 1 Di ) tm c phng trnh
chuyn i trng thi:

Q1n 1 Q n2 Q3n Q n4

Q n2 1 Q1n

Q3n 1 Q n2

Q n4 1 Q3n

Q1n
0
0
0
Q2n
0
0
0
IT
T phng trnh chuyn i trng thi, lp c bng trng thi nh bng 3-69.

Q3n
0
0
1
Q4n
0
1
0
Q1n 1
1
0
1
Q2n1
0
0
0
Q3n1
0
0
0
Q4n 1
0
0
1
PT
0 0 1 1 0 0 0 1
0 1 0 0 1 0 1 0
0 1 0 1 1 0 1 0
0 1 1 0 1 0 1 1
0 1 1 1 0 0 1 1
1 0 0 0 1 1 0 0
1 0 0 1 0 1 0 0
1 0 1 0 1 1 0 1
1 0 1 1 0 1 0 1
1 1 0 0 1 1 1 0
1 1 0 1 0 1 1 0
1 1 1 0 1 1 1 1
1 1 1 1 0 1 1 1
Bng 3-69. Bng trng thi b m vng

T bng trng thi, v c hnh trng thi 3-119.

178
Hnh 3-119. hnh trng thi b m vng xon t khi ng

d. B pht xung tun t


B pht xung tun t c th c dng lm:
+ B m.
+ B pht xung gi ngu nhin.
+ B pht xung c chu k c chn sn v tun t.
+ B pht xung m.
TM TT
Khc vi mch logic t hp, mch logic tun t c tn hiu u ra ph thuc khng
nhng tn hiu u vo thi im xt m c vo trng thi mch in sn c thi im .
y l c im chc nng logic ca mch tun t. nh trng thi mch in, mch tun

IT
t phi c phn t nh - l cc trig.
Trig l linh kin logic c bn ca mch s. Trig c hai trng thi n nh, di tc
dng ca tn hiu bn ngoi c th chuyn i t trng thi n nh ny sang trng thi n
nh kia, nu khng c tc dng tn hiu bn ngoi th n duy tr mi trng thi n nh vn
c. V th, trig c th c dng lm phn t nh ca s nh phn.
PT
Chc nng logic v hnh thc cu trc ca trig l hai khi nim khc nhau. Chc nng
logic l quan h gia trng thi tip theo ca u ra vi trng thi hin ti ca u ra v cc tn
hiu u vo. Do chc nng logic khc nhau m trig c phn thnh cc loi RS, D, T, JK.
Cn do hnh thc cu trc khc nhau m trig li c phn thnh loi trig thng v loi
trig chnh ph.
Mt trig c chc nng logic xc nh c th thc hin bng cc hnh thc cu trc khc
nhau. V d, cc trig cu trc loi chnh ph v loi thng u c th thc hin chc nng
ca mt trig khc. Ngha l cng mt cu trc c th m trch nhng chc nng khc nhau.
Mch tun t c th c rt nhiu chng loi. Chng ny ch gii thiu mt s loi
mch tun t in hnh: b m, b ghi dchng thi vi vic nm vng cu trc, nguyn
l cng tc v c im ca cc mch tun t , chng ta cng phi nm vng c c
im chung ca mch tun t v phng php chung khi phn tch v thit k mch tun t.

179
CU HI N TP CHNG 3
1. Mch in di y l mch ca trig no? V tip gin xung ra ca mch?

IT
2. Hy v tip gin xung sau. Mch lm vic c thi gian tr tn hiu l tp = 10ns
i vi mi cng (tn hiu C trong gin xung ny khng c thi gian tr).
PT
D X

Q
C

180
3. Mt trig JK c ch lt. Nu tn s Clock ca n l 1000 hz th tn s ti
u ra l bao nhiu?
4. Mt b m nh phn 4 bit th tn s ti u ra ca bit c trng s ln nht so vi tn
s xung nhp l bao nhiu?
5. Thit k b m thun m Gray 3 bit .
6. Thit k b m nghch Mod 6.
7. Thit k b m thun Mod 6.
8. Thit k b m hin th gi, pht, giy.
9. Thit k b m vng 3 bit t khi ng.
10. Thit k b to xung tun t c 6 nhp xung, yu cu rng xung nhp bng 4 ln
chu k xung Clock.
11. Phn tch b m sau?

IT
PT
Hnh v bi 11

12. Cho b m sau. Cho bit y l b m Mod my?

Hnh v bi 12

13. Dng trig thit k mch kim tra dy xung vi gi thit:


D liu nh phn c a vo u D, mi bit ng b vi mt xung ng h trn
ng C. Tn hiu c a ra u Z khi mi ln chui bit 1001 xut hin.

181
Hnh v bi 13

14. Thit k b m khng ng b M = 9?


15. Thit k b m ng b M =12?
16. Thit k b m nghch thp phn ng b?
17. Cho mt dy xung Clock c tn s cao a vo chn C v mt cng tc M cho php
xung ra ti u ra K. Gi s rng c t nht mt xung s c a ra trong thi gian
cng tc M hot ng. Hy thit k mch theo nguyn l hot ng trn s khi
v gin xung c cho hnh v sau:

IT Hnh v bi 17
PT
18. Tn hiu di dng m BCD c a lin tip trn u vo X (X l bit c trng s
ln nht). Gi tr thp phn ca ch s BCD c biu din bng n. Mi bit
thng tin c ng b vi xung nhp. Dng trig thit k mch pht hin sai khi
m trn u vo khng phi m BCD.
19. Dng trig RS thit k mch tun t khng ng b hot ng theo gin xung
sau:

Hnh v bi 19

20. Mt phng c hai ca v mt bng n D. Hai cng tc X v Y c gn trn tng


gn mi ca. Thit k mch iu khin sao cho c th bt n khi vo phng v tt
n khi ra khi phng bi cc cng tc X v Y.

182
CHNG 4: B NH BN DN

GII THIU
B nh bn dn thay th cc loi b nh bng vt liu t. Cc tin b mi ca cng
ngh bn dn trong thi gian gn y cung cp nhiu mch nh loi MSI v LSI c tin
cy cao v gi thnh h. Vo u thp k 60 ca th k 20, gi thnh thng phm ca mt
bit nh vo khong 2 USD. n nay (nhng nm u th k 21), gi thng phm ca 128
Mbyte vo khong 20 USD. Nh vy gi thnh thng phm ca mt bit nh sau khong 40
nm gim i khong 105.106 ln. B nh bn dn in hnh c cc t bo nh sp xp theo
hnh ch nht, gn trong khi hp nh bng nha dng DIP (Dual in line package). T bo
nh c bn l mt mch trig, transistor hay mch c kh nng tch tr in tch, t bo nh
ny dng lu tr mt bit tin.
Trong phn ny gii thiu mt s b nh bn dn c bn.
4.1. KHI NIM CHUNG
4.1.1. Khi nim

IT
B nh l mt thit b c kh nng lu tr thng tin (nh phn). Mun s dng b nh,
trc tin, phi vit d liu v cc thng tin cn thit vo n, sau lc cn thit phi ly d
liu vit trc s dng. Th tc vit vo v c ra phi c kim sot cht ch,
trnh nhm ln nh nh v chnh xc tng v tr nh v ni dung ca n theo mt m a ch
duy nht.
PT
4.1.2. Nhng c trng chnh ca b nh
4.1.2.1. Dung lng ca b nh.
Dung lng b nh l s bit thng tin ti a c th lu gi trong n. Dung lng cng
c th biu th bng s t nh n bit. T nh n bit l s bit (n) thng tin m c th c hoc
vit ng thi vo b nh. V d: Mt b nh c dung lng l 256 bit; nu n c cu trc
c th truy cp cng mt lc 8 bit thng tin, th cng c th biu th dung lng b nh l 32
t nh x 8 bit = 32 byte.
4.1.2.2. Cch truy cp thng tin.
Cc b nh c th c mt trong hai cch truy cp thng tin.
Truy cp trc tip, hay cn gi l truy cp ngu nhin (random access). cch ny,
khng gian b nh c chia thnh nhiu nh. Mi nh cha c 1 t nh n bit v c
mt a ch xc nh, m ho bng s nh phn k bit. Nh vy, ngi s dng c th truy cp
trc tip thng tin nh c a ch no trong b nh. Mi b nh c k bit a ch s c 2k
nh v c th vit c 2k t nh n bit.
Truy cp lin tip (serial access) hay cn gi l kiu truy cp tun t. Cc a t, bng
t, trng t, thanh ghi dchc kiu truy cp ny. Cc bit thng tin c a vo v ly ra
mt cch tun t.
183
4.1.2.3. Tc truy cp thng tin.
y l thng s rt quan trng ca b nh. N c c trng bi thi gian cn thit
truy cp thng tin.
Thi gian truy cp thng tin cc b nh truy cp kiu trc tip gm thi gian tm a
ch ca nh v thi gian c/vit thng tin trn . Thi gian truy cp thng tin ph thuc
ch yu vo cng ngh ch to. Vi cng ngh MOS th thi gian truy cp khong 30 n vi
trm ns.
cc b nh truy cp kiu tun t, thi gian truy cp ph thuc vo v tr ca thng tin
cn truy cp trong tp tin (file). i vi cc bng t, a t thi gian truy cp ca n c
nh ngha l thi gian trung bnh hoc cc i truy cp mt thng tin v nm trong khong
vi msec n nhiu sec.
4.1.3. Phn loi

IT
PT
Hnh 4-1. S phn loi b nh bn dn

Da trn thi gian vit v cch vit, c th chia thnh b nh c nh, b nh bn c


nh v b nh c/vit c. B nh c ni dung c vit sn mt ln khi ch to c gi
l b nh c nh v c k hiu l ROM (Read Only Memory). Sau khi c vit (bng
mt n-mask) t nh my th ROM loi ny khng vit li c na chnh l MROM.
PROM l mt dng khc, cc bit c th c vit bng thit b vit ca ngi s dng trong
mt ln (Programmable ROM).
B nh c th c/ vit nhiu ln c gi l RAM (Random Access Memory) gm hai
loi: b nh RAM tnh-SRAM (Static RAM) thng c xy dng trn cc mch in t
trig v RAM ng-DRAM (Dynamic RAM) c xy dng trn c s nh cc in tch t
in; b nh ny phi c hi phc ni dung u n, nu khng ni dung s mt i theo s
r in tch trn t. Gia ROM v RAM c mt lp cc b nh c gi l EPROM (Erasable
PROM), d liu trong c th xo c bng tia cc tm v vit li c, EEPROM
(Electric EPROM) c th xo c bng dng in. Cc loi ny cn c gi l b nh bn
c nh. Cc b nh DRAM thng tho mn nhng yu cu khi cn b nh c dung lng
ln; trong khi khi cn c tc truy xut ln th phi dng cc b nh SRAM c gi thnh
t hn. Nhng c hai loi ny u c nhc im l thuc loi bay hi (volatile), thng tin

184
s b mt i khi ngun nui b ngt. Do vy, cc chng trnh dng cho vic khi ng PC
nh BIOS thng phi np trn cc b nh ROM.
4.1.4. T chc ca b nh
B nh thng c t chc gm nhiu vi mch nh c ghp li c di t v
tng s t cn thit. Nhng chip nh c thit k sao cho c y mt s chc nng ca b
nh nh:
Mt ma trn nh gm cc nh, mi nh ng vi mt bit nh.
Mch logic gii m a ch nh.
Mch logic cho php c ni dung nh.
Mch logic cho php vit ni dung nh.
Cc b m vo, b m ra v b m rng a ch.
Cch t chc n gin nht l t chc theo t (word organized) vi s chn tuyn tnh.
Mt ma trn nh nh vy c di ca ct bng s lng t (W) v di ca hng bng s
lng bit (B) trong mt t. B chn t phi gii m 1 t (W), ngha l gii m c mt u
ra duy nht cho mt t trong b nh. Phng php ny c thi gian truy nhp ngn nhng cn

IT
mt b gii m ln khi tng s t ln, do lm tng gi thnh sn phm.
Kch thc ca phn gii m a ch s gim i khi t chc ma trn nh v phn logic
chn t cho php gii m hai bc. Ma trn nh s dng gii m hai bc ng vi t vt l v
t logic. T vt l bao gm s lng bit trong mt hng ca ma trn. T logic bao gm s
lng bit tng ng vi mt t logic c nhn bit v gi ra cng mt lc. Cn hai b gii
PT
m: mt b gii m hng chn mt t vt l v mt b gii m ct gm c mt vi mch
hp knh chn mt t logic t mt t vt l chn. Mt t vt l c chia thnh S t logic.
B gii m hng l b gii m chn 1 t W m B = W/S v b chn ct cha B b hp knh
mt ng t S.
V d: s ROM dung lng 2048 x 8 (2048 t, mi t cha 8 bit) t chc gii m hai
bc nh hnh 4- 2.

CS

Hnh 4-2. V d v b gii m cho ma trn ROM 128 x 128

185
Ma trn nh l 128 x 128, nh vy c 128 = 27 t vt l. Mt t vt l c chn bi 7
ng a ch t A0 n A6. B gii m hng chn 1 hng t 128 hng. Mt t vt l c
chia thnh 128/8 = 16 nhm 8 bit. Nhm th nht cha nhng bit c trng s cao nht ca 16
t logic. Nhm th hai cha cc bit cao tip theo ca 16 t logicNhm cui cng cha
nhng bit thp nht ca 16 t logic, do S = 16. Nh vy, nhng b gii m ct gm 8 b
hp knh mt ng t 16 ng cung cp mt t locgic ra 8 bit. Nhng a ch t A7 n
A10 iu khin cc b gii m ct. Trng hp c bit khi s phn t trong mt t vt l
bng s bit trong mt t vt l th l b nh t chc theo bit c ngha l mi t logic c
di 1 bit.
Cc b m ra m bo cc mc logic mong mun v cung cp dng in, ngoi ra
n cn c u ra collector h hoc 3 trng thi cho php ni chung u ra ca mt vi chip
vi nhau. B m ra c iu khin bi mt hay nhiu u vo nh chn mch CS (Chip
Select), cho php m CE (Chip Enable) hay cho php m u ba trng thi OE (Output
Enable).
4.2. B NH C NH - ROM
mt my tnh in t tin hnh cc hot ng bnh thng d n gin hay phc tp
th cn phi cung cp cho my trc tin l cc lnh tng bc tin hnh. Tp hp danh sch

IT
cc lnh c gi l chng trnh. Vic nhp mt chng trnh no t a hay t bn phm
vo my tnh phi tun theo s iu khin ca mt chng trnh c bit : chng trnh khi
ng thng tr trong my. Chng trnh ny c ci sn mt b nh ch c (ROM). B
nh ROM c nhng thuc tnh sau:
+ Ch cho php c ni dung c vit sn t trc cha trong n. Ni dung ny do
PT
ngi iu hnh thit k lp trnh sn v vit vo n bng mt phng php c bit.
+ Ngi s dng v nguyn tc l khng th hoc rt kh thay i ni dung thng tin
vit nh trong ROM.
+ Ni dung c vit trong ROM c tnh cht c nh, khng b mt i theo thi gian
hay do mt ngun nng lng cung cp cho ton b h thng s trong c ROM.
Do nhng thuc tnh trn nn ROM c dng khi:
+ Cha cc chng trnh vn hnh my tnh, chng trnh h tr vic lp trnh hay cc
chng trnh gim st vic iu hnh theo cc bc nghim ngt c nh.
+ Cc chng trnh ci t cho my tnh chuyn dng ch thc hin mt nhm cc cng
vic gii hn, c lp i, lp li c quy lut do cng ngh t ng ha i hi (v d: t ng
iu khin ng c cho t).
+ Lu gi cc bng biu quan trng c s dng thng xuyn nh cng c cho vic
tnh ton, x l ca my tnh v cc thit b lin quan.
4.2.1. Cu trc chung ca ROM
ROM bao gm 4 khi c bn:
+ B nh cha cc nh v trong cc nh l cc t nh.
186
+ Mch iu khin tip nhn cc tn hiu vo t knh iu khin.
+ B gii m a ch dng nh v nh.
+ Mch ra dng a ni dung nh ti cc thit b c lin quan cn tip nhn ni
dung ny.

4.2.1.1. Khi nh
IT
Hnh 4-3. Cu trc c bn ca b nh ROM

Mi nh nh phn c chc nng lu gi mt trong hai trng thi 0 hoc 1. Tp hp


mt nhm cc nh xp theo hng to thnh mt v tr nh c kh nng lu gi mt t nh
PT
phn 8 bit hoc 16 bit Kiu sp xp ny c gi l cu trc b nh kiu mng (array)
tuyn tnh. Hnh 4-4 biu din mt cu trc b nh kiu mng tuyn tnh vi dung lng 4 x
3.
Trnh t c mt t nh nh sau:
+ Kch hot u vo iu khin WE (Word Enable) (tch cc mc thp hay cao ty
theo cu to ca nh). y chnh l u gii m a ch.
+ Cc nh phi c u ra thuc loi Colector h hoc u ra 3 trng thi. Tt c cc
nh trong mt ct xc nh c u ra ni chung vi mt u ra d liu. Trong v d ny t
nh ch c 3 bit nn c 3 u ra D2D1D0 tng ng vi 3 ct, 4 hng tng ng 4 t c
iu khin theo cc xung WE0 WE1 WE2 v WE3.
iu ny c ngha l mi mt t nh phi c mt u iu khin WE ring. y chnh
l hn ch ca cu trc mng tuyn tnh v khi s lng a ch tng ln vi cc b nh dung
lng ln th cu trc b gii m a ch s rt phc tp.
Dng cu trc th hai ca b nh l t chc theo kiu ma trn, thch hp vi cc b nh
c dung lng ln (hnh 4-5).

187
Hnh 4-5a l kt cu mng ma
trn (3 x 3) cc nh. V d ny
tng qut cho mt ma trn n hng c
k hiu t Y0 n Yn-1, m ct c k
hiu t X0 n Xm-1. Vy b nh ny
c dung lng l (m x n) bit nh. Mi
nh ca ma trn c hai u vo cho
php. Khi chng ng thi trng
thi tch cc th nh mi xut (c)
c mt bit lu trong n. V d
kch hot nh c k hiu l 20 th
cc u X0 v Y2 phi trng thi tch
cc, v d l tch cc cao (X0 = Y2 =
1), lc ny gi tr trn u cho php
phi l 2 t hp: X2X1X0 = 001 v
Y2Y1Y0 = 100. Vi hai t hp cho
php hng Yi v ct Xj ny ch cho
mt nh duy nht c a ch (i j)

IT
c chuyn ln trng thi tch cc.

Hnh 4-4. Cu trc b nh kiu mng tuyn tnh


PT

Hnh 4-5a. Cu trc b nh kiu ma trn hng Hnh 4-5b. Tn hiu iu khin SENSE tm n
bit tng im nt ma trn l mt nh n l

Hnh 4-5b m t ng v ct mt ca tt c cc nh gi l ng SENSE nhn mnh


ti vic ch mt dy trn c s dng. Dy ny dng d ti tch cc theo hng bit v
d liu cha trong mt nh c s c c ra. Tc l nh tch cc ch nm trn dy

188
SENSE. Mi mt nh phi l cng 3 trng thi h collector (trng thi 0, trng thi 1 v
trng thi tr khng cao).

Hnh 4-6a. M rng di t cho ROM t 1k x 1 thnh 1k x 4

Dung lng ca b nh trn hnh 4-5b l 9 x 1 (ngha l c 9 t nh, mi t di 1 bit).


Mun to ra mt t 4 bit, phi ni 4 mng ma trn nh vy song song vi nhau nh hnh 4-6a.
y, mi mng ma trn cha 1024 nh, nn dung lng tng cng l (1k x 4) bit. Hnh 4-
IT
6b minh ha cch m rng dung lng nh t (1k x 8) bit thnh (2k x 8) bit .
PT

Hnh 4-6b. M rng dung lng b nh ROM t 1k x 8 thnh 2k x 8

4.2.1.2. B gii m a ch
B gii m a ch l giao din gia knh a ch v khi nh. N c kh nng truyn rt
nhiu a ch trn mt s t ng truyn. a ch nh phn phi c gii m trc khi tc
ng ti mng nh.
+ Trng hp cu trc b nh dng mng tuyn tnh:

189
Hnh 4-7. B gii m ROM 2 vo 8 ra cho 32 nh (4 hng x 8 ct)

IT 33

23
32

22
31

21
30

20
PT
13 12 11 10

03 02 01 00

1 0

A3 A2
0
A1
Cho php c
A0 1

Hnh 4-8. B gii m a ch cho mng ma trn nh (4 x 4t) bit

V d, vi b gii m a ch 2 vo 4 ra th A1, A0 l cc u vo a ch, cn 4 u ra


ca b gii m chnh l cc u cho php WE (hnh 4-7). Tc l ng vi mt t hp m A1A0
th ch c mt u ra WE trng thi tch cc.
+ Trng hp b nh c cu trc dng ma trn th vic t chc mch gii m a ch
theo hng v ct ca ma trn n gin hn nhiu. V d vi b gii m a ch 4 vo 16 ra :
vi vic chn 2 bit a ch A1A0 cho a ch ct ca ma trn v 2 bit cn li A3A2 cho a ch
190
hng ca ma trn. Nh vy ch cn 8 cng NOT to ra c mt a ch hng i ct j xc
nh tng ng vi mt nh trong ma trn (hnh 4-7). V d A3A2 A1A0 = 1001, khi v tr
hng 10, ct 01 (hng 2 ct 1 trong biu din thp phn ca i, j), tc l 21 c chn
(chuyn ln trng thi tch cc).
4.2.1.3. Mch ra ca b nh
Mch ra c nhim v kt ni d liu chn vi knh d liu vo lc thch hp. Trn
hnh 4-9, b m 3 trng thi c s dng vi mc ch ngt kt ni gia b nh vi knh
d liu khi b nh trng thi khng tch cc (tc l khng lm vic), cc cng 3 trng thi
phi c h s ti cao ghp knh d liu vi v s ti khc cng ni vi knh.

IT
Hnh 4-9. Cng 3 trng thi dng lm mch u ra ca ROM. Tn hiu CE t b iu khin cho php
hay khng cho php kt ni b nh vi Bus d liu

4.2.1.4. Mch iu khin trong ROM


PT
Mch iu khin trong ROM c chc nng kh n gin. V d hnh 4-3 l cu trc b
nh c 3 u iu khin CE1, CE2 v CE3 (Chip Enable) hay cn gi l CS (Chip Select). Khi
c 3 tn hiu ny u tch cc th ROM mi c php hot ng (cn mt cng AND hay
cng NAND 3 u vo ty tnh cht tng mch). Tn hiu CE ny c th thc hin cc nhim
v sau:
+ Chn chip cn c (khi b nh c nhiu chip ghp song song).
+ nh gi cho php kt ni ROM vi knh d liu.
+ Tham gia vo tin trnh gii m a ch m rng kh nng kim sot v chn a
ch cho chnh xc.
V d hnh 4- 10 minh ha 3 chc nng iu khin m tn hiu CE tham gia:
B nh c cha 2 chip nh loi 1k. Bus a ch ca my tnh c 16 bit (A0A15) nn
chng d dng xc nh c 2k nh, cc bit a ch t A0 n A9 c ni song song v
c gii m ng thi bn trong hai chip ny. Tuy nhin, ch c chip no c c ba u CE
trng thi tch cc th mi cho php xut d liu ra (v d trong hnh 4-9 bit A10 s quyt
nh chip no s trng thi tch cc). Chip B0 c khi a ch nh phn l 11 bit, t 0 00000
00000 n 0 11111 11111, chip B1 s c cc m a ch nh t 1 00000 00000 n 1 11111
11111. H thng a ch ny c c cho hai chip B0 v B1 vi iu kin cc bit a ch c
191
trng s cao hn A10 (v nh A11 A15) phi trng thi khng tch cc. Trong hnh 4-10
cng NOR m nhn nhim v ny. CE2 ch tch cc khi cc a ch tha ny bng 0, cn nu
cc a ch ny trng thi tch cc th CE2 s khng tch cc, ngha l chip B0 v B1 s
khng c chn tham gia qu trnh x l.
Memory Read
(MEM R)
A15

A11

CE1 CE2 CE3 CE1 CE2 CE3


A10
A9

B0 B1
ROM 1 ROM 2
1k x 8 1k x 8

A0
D7

IT D0

Hnh 4-10. Dng u vo iu khin CE chn chip


PT
Vi 16 bit a ch th c ti a 26.210 = 64k hay 64 chip 1k c gn a ch. Cc bit t
A10 n A15 c t hp chn 1 trong 64 chip 1k ny ln trng thi tch cc xut d
liu, cn cc a ch t A0 n A9 dng chn v tr a ch mt nh c th trong chip
c chn. u iu khin CE3 dng nh gi hot ng cho ROM, khi tn hiu MEM R
xut hin th d liu c chn c quyn xut ra Bus d liu.
Sau y gii thiu mt s dng ROM thng gp.
4.2.2. MROM
ROM lp trnh theo kiu mt n c gi l MROM. N c ch to trn mt phin
silic theo mt s bc x l nh quang khc v khch tn to ra nhng tip gip bn dn
c tnh dn in theo mt chiu (nh diode, transistor trng).
Ngi thit k nh r chng trnh mun vit vo ROM, thng tin ny c s dng
iu khin qu trnh lm mt n. Hnh 4-10 l mt v d n gin v s MROM dng
diode.
Ch giao nhau gia cc dy t (hng) v cc dy bit (ct) to nn mt phn t nh (
nh).

192
Mt diode c t ti
(hnh v 4-11) s cho php
lu tr s liu 0. Ngc li
nhng v tr khng c diode th
s cho php lu tr s liu 1
(hoc ngc li c diode th
nh bit 1, khng c th nh bit
0-ty tnh cht mch).

Hnh 4-11. MROM dng diode

Trong trng hp hnh 4-11, khi c mt t s liu th i ca ROM, b gii m s t


dy t xung mc logic thp, cc dy cn li mc cao. Do vy ch nhng diode ni vi
dy ny c phn cc thun, do n s dn lm cho in th u ra trn cc dy bit tng
ng mc logic thp, cc dy bit cn li s gi mc cao.

IT
C hai cng ngh MOS v lng cc c dng ch to MROM. Thi gian truy
nhp ca b nh lng cc khong t 50 90 ns, b nh MOS lu hn khong 10 ln. Do
ROM lng cc nhanh hn v c kh nng kch hot tt hn trong khi mch nh MOS cng
dung lng c kch thc nh hn v tiu th nng lng t hn. Tuy nhin nh hng ca
nhiu tnh in ln nn d lm mt hay sai lch thng tin c vit nn cn c cc bin
PT
php cng ngh cht ch m bo an ton thng tin c lu tr.
4.2.3. PROM

Hnh 4-12. PROM dng diode

193
khc phc nhc im ca MROM l tnh nng ng km do thi gian ch to ph
thuc vo thi gian t hng, hu nh khng thay i c ni dung, gi thnh tng i cao
nn ngi ta s dng loi ROM lp trnh c PROM.
PROM cng gm c cc diode nh MROM nhng chng c mt y to cc v tr
giao nhau gia dy t v dy bit (hnh 4-12). Mi diode c ni vi mt cu ch. Bnh
thng khi cha lp trnh, cc cu ch cn nguyn vn, ni dung ca PROM s ton l 0. Khi
nh v n mt bit bng cch t mt xung in u ra tng ng, cu ch s b t v bit
ny s bng 1. Bng cch , c th lp trnh ton b cc bit trong PROM. Nh vy, vic lp
trnh c th c thc hin bi ngi s dng ch mt ln duy nht, khng th sa i
c.
4.3. B NH BN C NH
4.3.1. EPROM (Erasable PROM)
S liu vo c th c vit vo bng xung in nhng c lu gi theo kiu khng
bay hi. l loi ROM c th lp trnh c v xa c. Hnh 4- 12 ch ra cu trc ca
mt transistor dng lm mt nh gi l FAMOST (Floating gate avalanche injection
MOS transistor).

IT ID
PT
v0 v1 v GS

Hnh 4-13. Cu trc ca mt EPROM

Trong nh dng transistor ny, cc ca c ni vi ng t, cc mng c ni


vi ng bit v cc ngun c ni vi ngun chun c coi l ngun cho mc logic 1.
Khc vi transistor MOS bnh thng, transistor loi ny cn c thm mt ca gi l ca ni
(floating gate); l mt vng vt liu c thm vo vo gia lp cch in cao nh hnh
4-13. Nu ca ni khng c in tch th n khng nh hng g n cc ca iu khin v
transistor hot ng nh bnh thng. Tc l khi dy t c kch hot (cc ca c in th
dng) th transtor dn, cc mng v ngun c ni vi nhau qua knh dn v dy bit c
mc logic 1. Nu ca ni c cc in t trong vi in tch m th chng s ngn trng
iu khin ca ca ca v d dy t c kch hot th cng khng th pht ra trng
mnh vi cc ca iu khin lm thng transistor. Lc ny ng bit khng c ni vi
ngun chun v nh coi nh c gi gi tr 0.

194
Vic np cc in t vo vng ca ni, tc l to ra cc nh mang gi tr 0 c thc
hin bi xung in c di c 50 ms v ln + 20 V t gia cc ca v cc mng. Lc
nhng in tch mang nng lng ln s i qua lp cch in gia v ca ni. Chng
tch t trong vng ca ni v c gi y sau khi xung lp trnh tt. l do ca ni c
cch in cao vi xung quanh v cc in t khng cn nng lng sau khi lnh i, c
th vt ra ngoi lp cch in na. Chng s c gi y trong mt thi gian rt di
(t nht l 10 nm).
xo cc thng tin, tc l lm mt cc in tch in t trong vng ca ni, phi chiu
nh sng t ngoi UV vo chp nh. Lc ny, nhng in t hp th c nng lng v s
nhy ln cc mc nng lng cao v ri khi ca ni ging nh cch m chng thm nhp
vo. Trong chip EPROM c mt ca s lm bng thu tinh thch anh ch cho nh sng t
ngoi i qua khi cn xo s liu trong b nh.
4.3.2. EEPROM (Electrically Erasable PROM)
Ca s thch anh c gi thnh kh t v khng tin li nn nhng nm gn y xut
hin cc chip PROM c th xo s liu bng phng php in. Cu trc ca nh ging nh
hnh 4-14.

IT
Vic np cc in t cho ca ni c thc hin nh cch EPROM. Bng mt xung
in tng i di, cc in tch mang nng lng cao c pht ra trong s thm qua lp
ca xit v tch t trong ca ni. xo EEPROM, mt lp knh mng mng xit gia vng
ca ni tri xung di v cc mng gi vai tr quan trng. Cc lp cch in khng th l
l tng c, cc in tch c th thm qua lp phn cch vi mt xc sut thp. Xc sut
ny tng ln khi b dy ca lp gim i v in th gia hai in cc hai mt lp cch in
PT
tng ln. Mun phng cc in tch trong vng ca ni mt in th (-20 V) c t vo cc
ca iu khin v cc mng. Lc ny cc in t m trong ca ni c chy v cc mng
qua knh mng mng xit v s liu lu gi c xo i. iu lu l phi lm sao cho dng
in tch ny chy khng qu lu v nu khng vng ca ni ny li tr nn tch in dng
lm cho hot ng ca transistor khng c trng thi bnh thng (mc nh 1).

Hnh 4-14. Cu trc ca EEPROM

Cc chip ROM hin nay c thi gian truy nhp t 120 ns n 150 ns di hn nhiu thi
gian trong cc chip nh RAM.

195
4.4. RAM
RAM c kh nng cho php vit lu tr d liu thng tin tm thi trong mt thi gian,
sau li c thng tin tip tc x l khi cn thit nn n c tn l b nh c/vit. Mt
c tnh quan trng khc ca RAM l cc d liu trong RAM ch c tnh cht tm thi, d b
xa khi mt ngun nng lng cp cho n nn cn phi phng b cho n mt ngun ph nhm
trnh hin tng mt ngun t ngt, trong khi qu trnh x l cha kt thc, thng tin trong
RAM cn c ch.
4.4.1. Cu trc khi ca RAM
Cng nh ROM, RAM cng c 4 phn chnh nh m t trn hnh 4-15. im khc bit
l:
+ Mch iu khin ca RAM phi c thm u vo R/W iu khin hai qu trnh c bn
trong thao tc ca RAM: vit d liu thng tin vo n v qu trnh xut (c) thng tin
vit.
+ Mch u ra c kh nng kim sot hai chiu trc khi cho php giao tip vi knh
d liu. Qu trnh ny tun theo nguyn tc: (ng b vi vic iu khin R/W) khi b nh
ang c th khng c vit v ngc li; trng thi th ba c th ch quyt nh.

IT
PT

Hnh 4-15. Cu trc 4 khi ca mt RAM c 8 bit d liu v 8 bit a ch

4.4.1.1. Mch vo/ra ca RAM


Mch vo ra ca RAM phc tp hn ROM. Hnh 4-16 m t mt dng mch vo/ra vi
bn d liu t D0 n D3.
Cc d liu trn knh d liu G0, G1, G2 v G3 vit vo b nh khi tn hiu cho php
vit WE = 1. Khi c tn hiu iu khin cho php c RE = 1 th cc cng G0, G1, G2 v G3
c iu khin m (y l cng 3 trng thi) xut cc d liu ra knh.
Mt iu cn ch l cc thao tc trn phi ng b vi vic chn xong a ch vit hay
c trong b nh.

196
Bus d liu

Hnh 4-16. Mch vo/ra 4 bit d liu ca RAM

Cng 3 trng thi cn c kh nng a mc ra ca G0, G1, G2 v G3 ln trng thi tr


khng cao, do , b nh c t trong tnh trng ch: khng cho d liu ra v cng khng
thc hin vit d liu vo. Lc ny ton b d liu c cch ly ra khi knh d liu cho an
ton.
4.4.1.2. Mch iu khin ca RAM
IT
Hnh 4-17 m t mt dng mch n gin a ti RAM 3 ch hot ng khc nhau
t 3 u vo iu khin CE1, CE2 v R/W. mi thi im ch c mt trong ba ch c
thit lp:
+ Khi ch c, xung R/W mc logic 1. ng thi cc tn hiu cho php chn
PT
CE1, CE2 c kch hot mc 1 nn lc ny RE = 1, tc l ch c c thit lp. Khi
tn hiu R / W = 0 nn tn hiu cho php vit WE bng 0 (cm vit).

+ Khi ch vit, xung R/W mc logic 0, R / W = 1, ng thi cc tn hiu cho


php chn CE1, CE2 c kch hot mc 1 nn lc ny WE = 1, tc l n ch vit. Khi
tn hiu R/W = 0 nn tn hiu cho php c RE bng 0 (cm c).

Hnh 4-17. Mch iu khin RAM c 3 ch : c/vit/ch

+ Tn hiu tch cc ng thi CE1 = CE2 = 1 c hai ch c v vit phi c


chuyn cng lc ti mng nh nhm thng bo vic xut (khi c) hay vic nhp (khi vit)
d liu ti a ch nh c mch gii m chn.

197
+ Khi tn hiu CE1 . CE2 = 0 (c t nht mt tn hiu CE trng thi khng tch cc) th
mch iu khin hnh 4-20 s chuyn b nh sang ch ch (Standby) bt chp tn hiu
R/W c tch cc hay khng, lc ny RE = 0 v WE = 0.
4.4.2. Cu to ca DRAM

Hnh 4-18. Cu to mt nh ca DRAM

Cc nh c sp xp theo hng v ct trong mt ma trn nh. a ch nh c


chia thnh hai phn: a ch hng v ct. Hai a ch ny c c vo b m mt cch ln
lt. X l kiu ny c gi l hp knh, l do l gim kch thc b gii m, tc l gim
kch thc v gi thnh vi mch. Qu trnh dn knh a ch ny c iu khin bi cc tn

IT
hiu RAS (Row Access Strobe) v CAS (Column Access Strobe).

Nu RAS mc tch cc thp th DRAM nhn c a ch t vo n v s dng nh


a ch hng.

Nu CAS mc tch cc thp th DRAM nhn c a ch t vo n v s dng nh


PT
a ch ct.
Mt nh ca DRAM gm c mt transistor trng MOS c tr u vo rt ln v mt
t in C l linh kin lu tr mt bit thng tin tng ng vi hai trng thi c hoc khng c
in tch trn t.
Transistor hot ng nh mt cng tc, cho php np hay phng in tch ca t khi
thc hin php c hay vit. Cc ca (Gate) ca transistor c ni vi dy hng (cn gi l
dy t-WL-Word Line) v cc mng (Drain) c ni vi dy ct (cn c gi l dy bit BL
hoc BL -Bit Line), cc ngun (Source) c ni vi t in. in p np trn t tng i
nh, v th cn s dng khuch i nhy trong mch nh.
Phn t lu gi mt bit d liu l in dung C, thng c to thnh bng in dung
tip gip ca MOSFET, c in dung rt nh, khong mt vi pF. Do , th tch ca mt t
bo DRAM b hn rt nhiu so vi t bo RAM tnh (SRAM). Nh th, b nh DRAM c
dung lng nh rt ln v gi thnh thp. Tuy nhin, nhc im c bn ca loi ny l t
in khng th gi c nng lng np lu di. Trong DRAM lun xy ra s t phng
in (dng r). Hin tng ny lm in p trn t gim dn theo thi gian c gi l t bay
hi. V vy c sau 2 ms, nu khng c np bi th d liu s mt i. duy tr d liu cn
phi nh k thc hin np bi. Qu trnh ny gi l lm ti (refresh) DRAM.

198
4.4.3. SRAM
Mt nh ca SRAM gi thng tin bi trng thi ca mch trig. Thut ng tnh ch
ra rng khi ngun nui cha b ct th thng tin ca nh vn c gi nguyn. Khc vi
nh DRAM, y nh trig cung cp mt tn hiu s mnh hn nhiu v c cc
transistor trong cc nh, chng c kh nng khuch i tn hiu v do c th cp trc tip
cho cc ng bit. Trong DRAM, s khuch i tn hiu trong cc b khuch i cn nhiu
thi gian v do thi gian truy nhp di hn. Khi nh a ch trong cc trig SRAM, cc
transistor b sung cho cc trig, cc b gii m a chcng c i hi nh DRAM.

VCC

Tra Tra

Trs Trs

BL BL
Hnh 4-19. Cu to mt nh ca SRAM v DRAM

IT
Nh trong DRAM, cc ca ca transistor c ni vi ng t v cc mng ni vi
cp ng bit. Nu s liu c c t nh, khi b gii m hng kch hot ng dy t
WL tng ng. Hai transistor T dn v ni trig nh vi cp dy bit. Nh vy hai u ra Q v
Q c ni vi cc ng bit v cc tn hiu c truyn ti b khuch i cui ng
PT
dy ny. V in th chnh lch ln nn x l khuch i nh vy s nhanh hn trong DRAM
(c 10 ns hoc ngn hn), do chip SRAM cn a ch ct sm hn nu thi gian truy nhp
khng c gim. Nh vy SRAM khng cn thc hin phn knh cc a ch hng v ct.
Sau khi s liu n nh, b gii m ct chn ct ph hp v cho ra tn hiu s liu ti b m
s liu ra v ti mch ra.
Vit s liu c thc hin theo cch ngc li. Qua b m vo v b gii m ct, s
liu vit c t vo b khuch i ph hp. Cng lc b gii m hng kch hot ng
dy t v lm transistor T dn. Trig a s liu c lu tr vo cp dy bit. Tuy vy, b
khuch i nhy hn cc transistor nn n s cp cho cc ng bit mt tn hiu ph hp vi
s liu vit. Do , trig s chuyn trng thi ph hp vi s liu mi hoc gi gi tr c
lu tr ph thuc vo vic s liu vit trng vi s liu lu tr hay khng.
4.5. A CNG SILICON- B NH FLASH
Trong nhng nm gn y, mt loi b nh khng bay hi mi xut hin trn th
trng, thng c s dng thay th cho cc a mm v cng trong nhng my tnh.
l b nh flash. Cu trc ca chng c bn nh EEPROM, ch c lp knh xit cc nh
mng hn. Do vy ch cn in th c 12 V l c th cho php thc hin 10 000 chu trnh xo
v lp trnh. B nh flash c th hot ng gn mm do nh DRAM v SRAM nhng li
khng b mt s liu khi b ct in. Hnh 4-20 ch ra s khi ca n.

199
VPP

WE
CE
OE

Hnh 4-20. S b nh FLASH

IT
Phn chnh l mng nh bao gm cc nh FAMOST nh c m t mc trn.
Ging nh SRAM, b nh flash khng dn phn knh a ch. Cc b gii m hng v ct
chn mt ng t v mt hoc nhiu cp ng bit. S liu c c a ra ngoi b m
s liu I/O hoc c vit vo nh c nh a ch bi b m ny qua cng I/O. X l
PT
c c thc hin vi in th MOS thng thng l 5V. lp trnh mt nh, n v
iu khin flash t mt xung in th ngn c 10 s v 12 V gy nn mt s chc thng thc
l vo transistor nh np vo ca ni. Mt chip nh flash 1 Mb c th c lp trnh trong
khong 2 sec, nhng khc vi EEPROM vic xo c thc hin tng chip mt. Thi gian
xo cho ton b b nh flash khong 1 sec. X l c, lp trnh v xo c iu khin bi
cc lnh c di 2 byte c b x l vit vo cc thanh vit lnh ca mch iu khin
flash.
Mc ch s dng chnh ca b nh flash l thay th cho cc a mm v a
cng dung lng nh. Do n l mch tch hp nn c u im l kch thc nh v tiu th
nng lng thp, khng b nh hng ca va p. Cc a cng cht rn da trn c s cc b
nh flash c li th v cng sut tiu th cng nh gi thnh c dung lng ti vi Mbyte.
Cc card nh loi ny c u im l khng gp phi vn mt thng tin nh trng hp
RAM CMOS khi pin Ni-Cd b hng. Thi gian lu tr thng tin trong b nh flash t nht l
10 nm, thng thng l 100 nm, vi khong thi gian ny th cc a mm v cng b
hng ri.
Nhc im ca b nh flash l ch c th xo theo kiu ln lt tng chip hoc ln
lt tng trang.

200
4.6. B NH CACHE

Hnh 4-21. Nguyn l ca Cache

Vi cc my tnh c tc nhanh (trn 33MHz), cn phi xen cc trng thi i khi truy
xut d liu ti cc DRAM r tin nhng c thi gian thm nhp chm (60-120ns). iu ny
lm gim hiu sut ca my. C th gii quyt bng cch dng cc SRAM c thi gian thm
nhp ngn hn (20-25 ns, thm ch 12 ns) nhng gi thnh li rt t. B nh Cache kt hp

IT
c cc li im nhanh ca SRAM v r ca DRAM. Gia CPU v b nh chnh bng
DRAM, ngi ta xen vo mt b nh SRAM nhanh c dung lng nh bng 1/10 hoc 1/100
ln b nh chnh gi l cache; di s iu khin ca mch iu khin cache, b nh ny s
lu tr tm thi cc s liu thng c gi v cung cp n cho CPU trong thi gian ngn.
Cache cha cc thng tin mi va c CPU s dng gn y nht. Khi CPU c s
PT
liu n s a ra mt a ch ti b iu khin cache. Sau mt trong hai qu trnh sau s
xy ra:
Cache hit: nu a ch c sn trong RAM cache.
Cache miss: ngc li, nu a ch khng c sn trong RAM cache.
Nh vy, cache hit t l vi truy xut thng tin c sn trong b nh cache SRAM, cn
cache miss li t l vi truy xut thng tin c trong b nh chnh l cc DRAM.
TM TT
Trong chng ny chng ta trnh by nguyn l cu to, cc tnh nng c bn ca cc
loi b nh bn dn: ROM, PROM, EPROM, EEPROM, SRAM, DRAM, FLASH, CACHE.
Cc chip RAM khng thch hp cho cc chng trnh khi ng do cc thng tin trn
b mt khi tt ngun. Do vy phi dng n ROM, trong cc s liu cn lu tr c
vit mt ln theo cch khng bay hi nhm gi c mi.
Trong nhng nm gn y, mt loi b nh khng bay hi mi xut hin trn th
trng, thng c s dng thay th cho cc a mm v cng trong nhng my tnh.
l b nh flash. Cu trc ca chng c bn nh EEPROM, ch c lp knh xit cc nh
mng hn.

201
CU HI N TP
1. B nh ROM v c bn khc b nh RAM im g?
2. Linh kin lu gi bit thng tin ca DRAM l linh kin g?
3. Linh kin lu gi bit thng tin ca SRAM l linh kin g?
4. Linh kin lu gi bit thng tin ca EPROM l linh kin g?
5. Trong EPROM, vic np cc in tch vo vng ca ni c ngha l lm g cho n?
6. SRAM 6264: Cn bao nhiu bit a ch cho n khi dung lng l 2048 t x 8 bit?
N c th nh bao nhiu t 32 byte? Nu ghp song song 4 IC ny th dung lng
b nh tng cng l bao nhiu?
7. Lm ti b nh DRAM l g? Ti sao phi lm ti DRAM?
8. Mt DRAM c dung lng nh l 64 kbit th cn bao nhiu u vo/ra? N cha
c bao nhiu t nh phn 8 bit? V s khi ca b nh?
9. Cho ROM c dung lng 1k x 8. Hy m rng dung lng nh t (1k x 8) bit thnh
(2k x 8) bit?

IT
10. Cho b nh DRAM c dung lng l 256 x 1 Kbit. Hy m rng di t ca b
nh ln 1 Byte?
PT

202
TI LIU THAM KHO

1. Gio trnh K thut s - Trn Vn Minh, NXB Bu in 2002.


2. C s k thut in t s, i hc Thanh Hoa, Bc Kinh, NXB Gio dc
1996 .
3. K thut s, Nguyn Thy Vn, NXB Khoa hc v k thut 1994.
4. K thut in t s thc hnh, Bch Gia Dng Ch c Trnh, Nh
xut bn i hc quc gia H ni 2007.
5. Gio trnh K thut s, Nguyn Vit Nguyn, Nh xut bn gio dc
2004.
6. Mch logic k thut s, Nguyn Minh c, Nh xut bn tng hp thnh
ph H Ch Minh, 2004.
7. Ton logic v k thut s, Nguyn Nam Qun - Khoa HTC xut bn
2004.
IT
8. L thuyt mch logic v K thut s, Nguyn Xun Qunh - NXB Bu
in 1984.
9. Cu trc my vi tnh, Trn Quang Vinh, NXB i hc Quc gia H ni,
PT
2005.
10. Fundamentals of logic design, fourth edition, Charles H. Roth, Prentice
Hall 1991.
11. Lessons in Electric Circuits, Volume No 4-Digital, Tony R. Kuphaldt, Ti
bn ln th 4-2007.
12. Digital engineering design, Richard F.Tinder, Prentice Hall 1991 .
13. Digital design principles and practices, John F.Wakerly, Prentice Hall
1990.
14. VHDL for Programmable Logic by Kevin Skahill, Addison Wesley, 1996
15. The Designer's Guide to VHDL by Peter Ashenden, Morgan Kaufmann,
1996.
16. Analysis and Design of Digital Systems with VHDL by Dewey A., PWS
Publishing, 1993.

203
PH LC

GII THIU V H M
Tnh cht quan trng nht ca mt h thng s l s dng mt dy cc k t th hin
mt con s trong h. Gi tr ca mt s c th hin thng qua gi tr v v tr ca mi k t,
v tr ny c trng s tng dn tnh t phi qua tri. S k t c dng c gi l c s ca
h v k hiu l r. Trng s ca mt h m bt k s bng ri, vi i l mt s nguyn dng
hoc m.
Trong k thut s c bn h thng s quan trng c s dng: h thp phn, h nh
phn, h bt phn (h tm) v h thp lc phn (h mi su).
Trong ton hc, ngi ta gi h m theo c s ca chng. V d: H nh phn = H c
s 2, H thp phn = H c s 10...
A1. H thp phn
H thp phn c 10 k hiu t 0 n 9 nn cn gi l h c s 10. Khi ghp cc k hiu

IT
vi nhau ta s c mt biu din s.
V d: 1265,34 l biu din s trong h thp phn:

1265,34 1 103 2 102 6 101 5 100 3 101 4 10 2


Trong : 10n l trng s ca h; cc h s nhn (1, 2, 6) chnh l k hiu ca h.
PT
Biu din s tng qut:
n 1
N10 a i r i
i m

A2. H nh phn
H nh phn (Binary number systems) cn gi l h c s hai, ch gm hai k hiu 0 v
1, c s ca h l 2, trng s ca h l 2 n. H m ny c s dng rng ri trong mch s.
Trong h nh phn, mi ch s ch ly 2 gi tr hoc 0 hoc 1 v c gi tt l
"bit"(Binary digit). Nh vy, bit l s nh phn 1 ch s. S bit to thnh di biu din ca
mt s nh phn.
Cc gi tr 210 = 1024 c gi l 1Kbit, 220 = 1048576 - Mga Bit ...
Bit tn cng bn phi gi l bit c trng s b nht (LSB Least Significant Bit) v bit
tn cng bn tri gi l bit c trng s ln nht (MSB - Most Significant Bit).
Biu din nh phn dng tng qut :
n 1
N 2 a i 2i
i m

204
Trong , a l h s nhn ca h c gi tr bng 0 hoc 1. Cc ch s ca h s ng thi
cng bng ly tha ca trng s tng ng.
V d :
1 1 0. 0 0 s nh phn phn s

22 21 20 2 1 2 2 trng s tng ng.

A3. Cc php tnh trong h nh phn


a. Php cng
Qui tc cng hai s nh phn ging nh php cng trong h thp phn, tc l cng cc
bit c cng trng s theo quy tc sau.
Nguyn tc cng nh phn l : 0 + 0 = 0, 1 + 0 = 1, 1 + 1 = 10 (102 = 210).
V d:
1 0 12 (510) (1310) 1 1 0 12 (4,37510) 1 0 0, 0 1 1 2
+ 1 0 02 (410) (1110) + 1 0 1 12 (3,75010) + 1 1, 1 1 02
10 0 1 2 (910) (2410) 1 1 0 0 02 (8,12510) 1 0 0 0, 0 0 1 2
b. Php tr

0-0 =0; 1-1 =0 ; 1 -0=1;


V d:
IT
Qui tc tr hai bit nh phn cho nhau nh sau :
10 - 1 = 1 (mn 1)
PT
1 1 0 12 (1310) 25 10) 1 1 0 0 12 (5,312510) 1 0 1, 0 1 0 1 2
- 1 1 02 (610) (11 10) - 1 0 1 12 (2,812510) - 1 0, 1 1 0 12
0 1 1 12 (710) (14 10) 0 1 1 1 02 (2,5000 10) 0 1 0, 1 0 0 0 2
c. Php nhn
Qui tc nhn hai bit nh phn nh sau:
0x0 =0 , 0 x1 =0 ,1 x0 =0 ,1 x1= 1
Php nhn hai s nh phn cng c thc hin ging nh trong h thp phn.
Ch : Php nhn c th thay bng php dch tri v cng lin tip.
V d:
1 0 0 12 (910) (5, 510) 1 0 1, 1 2
x 1 1 2 (310) (210) x 102
1001 0000
+ 1001 +1011
1 1 0 1 1 2 (2710) (1110) 1 0 1 1, 0
d. Php chia

205
Php chia nh phn cng tng t nh php chia s thp phn.
V d:
1 0 0 12 1 12
- 11 11
0011
- 11
0000
Trong trng hp s b chia nh hn s chia th cch thc hin ging nh v d trn, kt
qu thng s ch c phn l sau du phy, mi ln thm mt s 0 vo s b chia cn ghi mt
s 0 vo thng s pha sau du phy cho ti khi s b chia ln hn s chia. Php tnh ny
tng t nh trong h thp phn.
u im chnh ca h nh phn l ch c hai k hiu nn rt d th hin bng cc thit b
c, in. Cc my vi tnh v cc h thng s u da trn c s hot ng nh phn (2 trng
thi). Do , h nh phn c xem l ngn ng ca cc mch logic, cc thit b tnh ton hin
i - ngn ng my.
Nhc im ca h l biu din di, do thi gian vit, c di.

H 8 (Octal number systems)


IT
B. H 8 (bt phn) v h 16 (thp lc phn)

H 8 gm 8 k hiu: 0, 1, 2, 3, 4, 5, 6 v 7 nn c s ca h l 8. H c s 8 c th c
biu din thnh 23. Do , mi k hiu trong h 8 c th thay th bng 3 bit trong h nh phn.
PT
Dng biu din tng qut ca h bt phn nh sau:
n 1
N8 a i 8i
i m

Trong , a l h s nhn ly cc gi tr t 0 n 7.
H 16
H 16 hay h thp lc phn hay h Hexa (Hexadecimal number systems). H gm 16 k
hiu l 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, A, B, C, D, E, F nn cn gi l h c s 16.
Trong , A = 10 10 , B = 1110 , C = 1210 , D = 1310 , E = 1410 , F = 1510 .
C s ca h l 16, s 16 c th c biu din bng 2 4. Do vy, ta c th dng mt t
nh phn 4 bit (t 0000 n 1111) biu th cc k hiu thp lc phn. Dng biu din tng
qut:
n 1
N16 a i16i
i m

Trong , a l h s nhn ly cc gi tr t 0 n F.

206
H thp phn H nh phn H bt phn H thp lc phn
0 0000 0 0
1 0001 1 1
2 0010 2 2
3 0011 3 3
4 0100 4 4
5 0101 5 5
6 0110 6 6
7 0111 7 7
8 1000 10 8
9 1001 11 9
10 1010 12 A
11 1011 13 B
12 1100 14 C
13 1101 15 D
14 1110 16 E
15 1111 17 F

IT
Biu din s ca 4 h m thng dng.

C. Chuyn i c s gia cc h m
C1. Chuyn i t h c s 10 sang cc h khc
thc hin vic i mt s thp phn y sang cc h khc ta phi chia ra hai
PT
phn: phn nguyn v phn s.
i vi phn nguyn:
Ta chia lin tip phn nguyn ca s thp phn cho c s ca h cn chuyn n, s d
sau mi ln chia vit o ngc trt t l kt qu cn tm. Php chia dng li khi kt qu ln
chia cui cng bng 0.
V d 1: i s 3510 sang s nh phn.
35 2 =17 D1 a0
17 2 =8 D 1 a1
8 2 =4 D 0 a2
4 2 =2 D 0 a3
2 2 =1 D 0 a4
1 2 =0 D 1 a5
Ta c : 3510 = 1000112
V d 2: i s 3510 sang h 8.
35 8 =4 D 3 a0
4 8 =0 D 4 a1

207
Ta c : 3510 = 438
V d 3: i s 3510 sang h 16.
35 16 =2 D 3 a0
2 16 =0 D 2 a1
Ta c : 3510 = 2316
i vi phn phn s :
Khi chuyn phn phn s ta thc hin nh sau: nhn lin tip phn phn s ca s thp
phn vi c s ca h cn chuyn n, phn nguyn thu c sau mi ln nhn, vit tun t l
kt qu cn tm. Php nhn dng li khi phn phn s trit tiu hoc cho n khi t c s
bit nm sau du phy theo yu cu (trong trng hp php nhn khng hi t v 0).
V d : i s 35,37510 sang s nh phn.
Phn nguyn ta va thc hin v d a), do ch cn i phn phn s 0,375.
0,375 x 2 = 0,75 Phn nguyn = 0 a-1
0,75 x 2 = 1,5 Phn nguyn = 1 a-2
0,5 x 2 = 1,0 Phn nguyn = 1 a-3
0,0 x 2
Kt qu : 0,37510 = 0,0110 2
=0
IT Phn nguyn = 0

S dng phn nguyn c v d 1) ta c :


V d 2: i s 0,37510 sang h 8.
a-4

35,37510 = 100011,0110 2
PT
0,375 x 8 = 3,0 Phn nguyn = 3 a-1
0,0 x 8 =0 Phn nguyn =0 a-2

Kt qu : 0,37510 = 0,38
V d 3: i s 0,37510 sang h 16.
0,375 x 16 = 6,0 Phn nguyn = 6 a-1
0,0 x 16 =0 Phn nguyn =0 a-2

Kt qu : 0,37510 = 0,616
C.2. i mt biu din trong h bt k sang h thp phn
Mun thc hin php bin i, ta dng cng thc :

N10 a n 1 r n 1 .... a 0 r 0 a 1 r 1 .... a m r m


Thc hin ly tng v phi s c kt qu cn tm. Trong biu thc trn, ai v r l h s
v c s h c biu din.
V d: 10110 2 = 1 x 24 + 0 x 23 + 1 x 22 + 1 x 21 + 0 x 2 0 = 2210
2158 = 2 x 82 + 1 x 81 + 5 x 8 0 = 14110

208
76A16 = 7 x 162 + 6 x 161 + 10 x 16 0 = 1898 10
C.3. i cc s t h nh phn sang h c s 8 v 16
V 8 = 2 3 v 16 = 24 nn ta ch cn dng mt s nh phn 3 bit l ghi 8 k hiu ca h
c s 8 v t nh phn 4 bit cho h c s 16.
Do , mun i mt s nh phn sang h c s 8 v 16 ta chia s nh phn cn i, k
t du phn s sang tri v phi thnh tng nhm 3 bit hoc 4 bit. Sau thay cc nhm bit
phn bng k hiu tng ng ca h cn i ti.
V d:
a. i s 110111,01112 sang s h c s 8
Tnh t du phn s, ta chia s ny thnh cc nhm 3 bit nh sau :
110 111 , 011 100

6 7 3 4
Kt qu: 110111,01112 = 67,34 8. ( Ta thm 2 s 0 pha sau du phy tin bin
i).
IT
b. i s nh phn 111110110,011012 sang s h c s 16
Ta phn nhm v thay th nh sau :
0001 1111 0110 0110 1000
PT

1 F 6 6 8
Kt qu: 111110110,011012 = 1F6,6816

209

Вам также может понравиться