Вы находитесь на странице: 1из 42

271

Nanoimprint
9. Nanoimprint Lithography
Patterning of Resists Using Molding
Helmut Schift, Anders Kristensen

9.1 Emerging Nanopatterning Methods........ 273


Nanoimprint lithography (NIL) is an emerging
9.1.1 Next-Generation Lithography ........ 274
high-resolution parallel patterning method, 9.1.2 Variants
mainly aimed towards fields in which electron- of Nanoimprint Lithography .......... 275
beam and high-end photolithography are costly
and do not provide sufficient resolution at rea- 9.2 Nanoimprint Process ............................. 277
sonable throughput. In a top-down approach, 9.2.1 Limits of Molding ......................... 277
a surface pattern of a stamp is replicated into 9.2.2 Squeeze Flow of Thin Films............ 279
a material by mechanical contact and three- 9.2.3 Residual Layer Thickness
Homogeneity ............................... 281
dimensional material displacement. This can be
9.2.4 Demolding .................................. 282
done by shaping a liquid followed by a curing
9.2.5 Curing of Resists........................... 283
process for hardening, by variation of the ther-
9.2.6 Pattern Transfer ........................... 283
momechanical properties of a film by heating 9.2.7 Mix-and-Match Methods .............. 285
and cooling, or by any other kind of shaping pro- 9.2.8 Multilayer and Multilevel Systems .. 286
cess using the difference in hardness of a mold 9.2.9 Reversal NIL ................................. 287
and a moldable material. The local thickness con-
trast of the resulting thin molded film can be 9.3 Tools and Materials for Nanoimprinting . 288
used as a means to pattern an underlying sub- 9.3.1 Resist Materials for Nanoimprinting 288
strate at the wafer level by standard pattern
9.3.2 Stamp Materials ........................... 290
9.3.3 Stamp Fabrication ........................ 290
transfer methods, but also directly in applications
9.3.4 Antiadhesive Coatings................... 291
where a bulk modified functional layer is needed.
9.3.5 Imprinting Machines .................... 292
This makes NIL a promising technique for volume
manufacture of nanostructured components. At 9.4 Nanoimprinting Applications ................. 294
present, structures with feature sizes down to 5 nm 9.4.1 Types of Nanoimprinting
have been realized, and the resolution is limited Applications ................................ 294
by the ability to manufacture the stamp relief. 9.4.2 Patterned Magnetic Media
For historical reasons, the term nanoimprint for Hard-Disk Drives ..................... 295
lithography refers to a hot embossing process 9.4.3 Subwavelength Metal-Strip
Gratings ...................................... 297
(thermal NIL). In ultraviolet (UV)-NIL, a pho-
9.4.4 High-Brightness Light-Emitting
topolymerizable resin is used together with
Diodes ........................................ 298
a UV-transparent stamp. In both processes thin-
9.4.5 Polymer Optics ............................. 299
film squeeze flow and capillary action play 9.4.6 Bio Applications ........................... 300
a central role in understanding the NIL pro-
cess. In this chapter we will give an overview 9.5 Conclusions and Outlook ....................... 302
of NIL, with emphasis on general principles References .................................................. 304
and concepts rather than specific process is-
Part A 9

sues and state-of-the-art tools and processes.


Material aspects of stamps and resists are dis- other structuring methods. We conclude by dis-
cussed. We discuss specific applications where cussing areas where further development in this
imprint methods have significant advantages over field is required.
272 Part A Nanostructures, Micro-/Nanofabrication and Materials

Take a piece of wax between your fingers and imprint


your fingerprints into it from both sides. The pressure
produced is sufficiently high to replicate the soft sur-
face pattern of your skin into the wax by mechanical
deformation. The process is facilitated by the heat re-
sulting from our blood circulation, which softens the
wax in order to make it deform until it conforms to the
three-dimensional (3-D) pattern of our skin. Of course,
the fidelity of the original pattern is distorted during
molding, but even an incomplete molding allows the
identification of the person according to the purely two-
dimensional (2-D) code of their fingerprint. The pattern
resolution of below 1 mm is similar to that of the first
records fabricated over 100 years ago in celluloid. In Fig. 9.1 Printing a seal into viscous wax is a way of
1887 Berliner applied for a patent on a so-called gramo- replication using hot embossing. The image shows a seal
phone, which resembles Edisons phonograph with its (stamp), wax tube (candle), and embossed pattern
wax-coated roll [9.1, 2]. The information is inscribed
into wax coated onto a zinc disk. The tracks are cut molding processes is that the mechanical properties of
through the wax down to the solid zinc and are etched the molded material can be changed by pressure, tem-
before using the zinc disk as a mold to press thermo- perature or chemical processing. The material must be
plastic foils. With a playing time of a little more than shaped in a viscous state but should keep its form dur-
1 min, those disks had track widths below 1 mm and ing demolding. The imprint in snow is a hard molding
resolution in the sub-100 m range. Over the years the by local densification, while clay hardens by squeezing
track size was reduced to below 200 m. The mater- out and evaporation of water. The waffle is cured due to
ials changed from shellac to vinyl filled with carbon thermochemical changes in the dough, and the seal can
black [9.3]. Todays compact discs (CD) have pit sizes be demolded with high fidelity because the heat of the
of below 400 nm [in a digital versatile disc (DVD)] and wax dissipates into the seal and the wax hardens during
are fabricated in polycarbonate (PC) in a few seconds cooling. The processes described here are very simi-
by injection molding. Disc formats such as blu-ray (BD) lar to the molding of viscous thermoplastic materials in
with further reduced pit sizes are currently commercial- the nanoimprint lithography (NIL) process [9.6], also
ized [9.4, 5]. referred to as hot embossing lithography (HEL) [9.7],
In this Introduction some basic concepts of molding where a thickness profile in a thin polymer film is
polymers are illustrated, ranging from shaping by me- generated by pressure, however, with the surprising dif-
chanical pressure, stamps, materials, to pattern transfer. ference that features below 10 nm can be replicated with
A softened hard material can be deformed by pressure, unprecedented precision (Fig. 9.2). In contrast to con-
and even if a soft, flexible stamp is used, the difference ventional methods based on exposure and development,
in mechanical properties makes it possible to replicate limitations imposed by the wavelength of exposure or
its surface pattern in a parallel, reproducible way. The by chemical reactions can be overcome simply by in-
squeezing of a thin film of wax leads to a lateral flow ducing local displacement of material by mechanical
of material, but because of the high viscosity, the pro- force.
cess will slow down quickly and a residual layer which The example of the fingerprint may even serve to il-
cannot be thinned down to zero will always remain. lustrate (soft lithography) microcontact printing (SL or
Furthermore the softness of the stamp and the viscos- CP). While for NIL a hard stamp would assure more
ity of the material will determine the completeness of complete molding, here the softness of the stamp is es-
molding and thus the replication fidelity. Similar con- sential to assure conformal contact with any protrusion,
Part A 9

cepts of molding processes can be observed in daily but at the expense of a possible reduction of feature res-
life, such as imprinting a footprint into snow or clay, olution due to deformation of the stamp. These issues
making waffles in a pressure process with subsequent are treated in more detail in [9.8, 9].
thermocuring, or replicating a seal into wax (Fig. 9.1). In this chapter we provide an overview of the differ-
Even these examples show the variety of molding pro- ent processes currently called nanoimprinting, from hot
cesses. One common important prerequisite of these embossing of thermoplastic materials to imprinting and
Nanoimprint Lithography Patterning of Resists Using Molding 9.1 Emerging Nanopatterning Methods 273

Fig. 9.2ac Micrographs showing


a) 10 nm b) 10 nm c) 10 nm the basic steps of NIL, demonstrated
by Chou and Krauss [9.6]. (a) NIL
stamp in silicon with a 40 nm-period
40 nm array of pillars with 40 nm height,
(b) imprinted 10 nm-diameter holes
in a thin polymer film (PMMA),
(c) 10 nm metal dots after pattern
transfer (lift-off), using the thin
polymer layer as a mask

curing of liquid resins. After this Introduction into the processes. We conclude with an outlook in Sect. 9.5, in
basics of molding, Sect. 9.1 places the two main NIL which we discuss the prospects of NIL and aspects of
techniques into the context of the emerging nanopat- its commercialization. Further information can be found
terning methods for lithography. Section 9.2 is the main in the references, in publications dealing with so-called
section, where the NIL process is described in detail, lithography, electroforming, and molding (LIGA, from
beginning with a discussion about polymer properties, its German abbreviation) technology [9.10] and optical
giving an insight into squeeze flow of thin films. As storage fabrication, but not least within this Handbook
a first step towards applications major pattern trans- in Chaps. 8 and 10 about silicon micromachining and
fer techniques used in NIL are presented. Section 9.3 soft lithography. In this chapter we restrict ourselves to
presents materials and tools for NIL, ranging from lithographic patterning of thin films on hard substrates.
materials for stamps and resists, to imprint machines. We present basic concepts rather than state-of-the-art
Section 9.4 presents typical applications which are cur- tools and hot scientific issues. As a complement to this
rently envisaged both at an industrial and at laboratory chapter, the reader is advised to refer to two publica-
scale. Although for many people the main driving force tions: A recent review on NIL [9.11] deals with a range
behind NIL is its use as next-generation lithography of process issues relevant for research and industry, and
(NGL) for complementary metaloxidesemiconductor a deeper insight into advanced concepts of printing.
(CMOS) chip fabrication, the reader will be introduced Specific NIL processes and process flows for a vari-
to different other applications which do not have the ety of applications are presented in the NaPa Library
demanding overlay requirements imposed by multilevel of processes (NaPa LoP) [9.12].

9.1 Emerging Nanopatterning Methods


Nanoimprint lithography (NIL) is a replication tech- cal displacement of material, the patterning of a range
nique which has proven to provide a resolution un- of specific functional materials and polymers becomes
matched by many other techniques, while at the same possible, without loss of their chemical properties dur-
time offering parallel and fast fabrication of micro- and ing molding. Furthermore this ability can be used to
nanostructures [9.13]. On the one hand, this enables fabricate complex structures, e.g., by building up de-
its application to fields where large areas covered by vices with embedded channels. These processes are
nanostructures or a number of identical structures for presented in more detail in Sect. 9.2.
statistical evaluation are needed. This was often impos- In this section we present the basic concepts of NIL
sible due to the low throughput of lithographic research and how it can conform to the requirements of state-
tools. On the other hand the resolution achieved so of-the-art nanofabrication techniques. NIL uses, as do
Part A 9.1

far by molding is much higher than that used in in- other lithographic techniques, the concept of resist pat-
dustrial fabrication of processors and memory chips terning (which can also be found in different chapters
with high-end photolithography (PL). This makes NIL in this Handbook). The resist patterns are generated by
a promising technology for NGL [9.14]. Apart from molding of a viscous material and fixed by cooling and
these advantages molding offers more: By creating curing, while in PL the resist is patterned by selective lo-
a three-dimensional (3-D) resist pattern by mechani- cal chemical modification of a positive or negative resist
274 Part A Nanostructures, Micro-/Nanofabrication and Materials

a) b)
Hard stamp
Transparent
Liquid resin Tg
Hard stamp Tg

Solid polymer
Expose through
Substrate stamp
Tg
Tg
Viscous polymer Gap 0.5 bar
80 bar

Tg

Crosslinked
Solid polymer
polymer

Hot embossing UV- NIL

Fig. 9.3a,b Schematic of NIL process: (a) thermal NIL (hot embossing) and (b) UV-NIL. In both cases a thickness profile
is generated in the thin polymer layer. After removing the residual layer, the remaining polymer can serve as a masking
layer which can be used as a resist for pattern transfer

by exposure and wet development. The two main NIL gies, and to establish standard processes which can be
methods are outlined in Fig. 9.3. For lithographic appli- scaled up to common wafer sizes.
cations, as needed in microelectronics and hard disks,
NIL is in competition with other emerging patterning 9.1.1 Next-Generation Lithography
techniques. Its success will mainly depend on the abil-
ity to solve processing issues such as resolution and With its integration into the International Technology
throughput. It is also important to develop reliable tools Roadmap for Semiconductors (ITRS) on NGL in 2003
with a long lifetime, which are available and can be used for the 32 nm node and beyond, NIL has become more
in combination with other cleanroom process technolo- than a simple high-resolution method [9.14] (Table 9.1).

Table 9.1 ITRS roadmap showing the resolution of different lithographic patterning techniques, with focus on large area,
parallel techniques, and practical and actual resolution limits for different lithography methods (after [9.15], revised and
updated (state of the art 2009))
Lithography type Practical resolution limit (nm) Ultimate resolution limit (nm)
UV-proximity photolithography (365 nm) 2500 125 (hard contact)
Deep-UV projection (DUV, 193 nm) 45 2030 (immersion)
EUV projection (soft X-rays, 13.6 nm, with reflective mask) 45 2030
EUV interference lithography (with diffraction grating) 20 10
X-ray proximity (0.8 nm, 1 : 1 mask) 70 10
Electron beam (low-energy beam arrays) 40 50 Resist: 7 20
Ion beam projection (mask-less patterning) 25 20
Part A 9.1

Thermal nanoimprint (hot embossing) 20 40 5


UV nanoimprint (hard stamp) 20 40 25
UV nanoimprint (soft stamp) 100 50
Soft lithography (contact printing) 50 100 1050
Scanning probe methods (e.g. millipede) 15 0.5 (atomic resolution)
Nanoimprint Lithography Patterning of Resists Using Molding 9.1 Emerging Nanopatterning Methods 275

It is now considered a candidate for replacing or com- must satisfy the requirements for full compatibility,
plementing advanced optical lithographic methods for similar specifications, yield, and throughput. The in-
the fabrication of processors and solid-state memory vestments are expected to be lower than for the current
chips, which over the years have been developed and frontrunners: extreme-ultraviolet (EUV) lithography or
pushed to higher resolution with a vast investment of parallel electron-beam exposure.
resources. Over more than 40 years, Moores law has
described with amazing accuracy the reduction of fea- 9.1.2 Variants of Nanoimprint Lithography
ture size (and cost per transistor), and therefore serves
as a roadmap for the developments needed for future Molding of Thermoplastic Resists
microchips [9.1517]. This development is driven by by Thermal NIL
economic considerations, and leads to competition be- NIL was first reported as thermoplastic molding [9.20
tween different candidate fabrication methods. These do 22], and is therefore often referred to as thermal NIL
not only have to provide the resolution of the smallest (here also named NIL or T-NIL) or hot embossing
feature size (node), but also satisfy issues such as align- lithography (HEL) [9.7, 22, 23]. The unique advantage
ment (overlay of several masking levels), critical dimen- of a thermoplastic material is that the viscosity can
sions (CD), simple mask fabrication, high throughput be changed to a large extent by simply varying the
(mass fabrication), and low cost of ownership (CoO, temperature. Figure 9.5 shows viscosity plotted against
e.g. no dependence of expensive machines such as syn- temperature for various thermoplastic polymers, i. e.,
chrotrons, back-ups and tool and mask redundancy), poly(methyl methacrylate) (PMMA) and polystyrene
which become increasingly difficult to meet if smaller (PS) with different molecular weights, and some com-
exposure wavelengths have to be used (Fig. 9.4).
The financial and physical barriers to these tech-
Zero-shear viscosity 0 (Pa s)
niques are now so great that alternatives such as NIL
1010
are considered as a way out of this spiral of rising in-
mr-I 8000E
vestments for next-generation chips with even smaller 109
feature sizes. This means that all technical issues con- mr-I 8000
nected with NIL for integration into chip manufacturing 108 mr-I
7000E
107
2
Exposure rate (cm /s)
102 106
g-line (436 nm)
KrF PL 105
101 EUV (248 nm) i-line (365 nm)
PS 353 k
(13.6 nm)
0 ArF 104 mr-NIL
10 F2 (193 nm) 6000
X-ray PMMA
(157 nm) DUV 103
1
UV-NIL Cell 75 k
10 PMMA
e-beam PS 58 k 25 k
Large Ion
area 102
beam 60 80 100 120 140 160 180 200 220 240 260
102
T-NIL NIL Temperature T (C)
Single Fig. 9.5 Graph showing zero-shear viscosities for some standard
103 point
EBL resists for thermal NIL for different polymers, taken from differ-
104 ent sources: PMMA with Mw of 25 and 75 k [9.18], PS of 58
SPM
and 353 k [9.19], and the commercial resists mr-I 7000E, 8000,
105 8000E, and mr-NIL6000 [9.11], showing the potential of rheology
10 100 1000 and of the large variation of viscosity of thermoplastic polymers
Resolution (nm)
Part A 9.1

with temperature. These curves present the temperature range which


Fig. 9.4 Comparison of exposure rate and resolution of characterizes the viscous state above Tg . The process window for
different lithographic techniques. To date, NIL provides imprinting is limited by high viscosity, where unwanted viscoelas-
a high resolution of below 10 nm, and achieves wafer- tic effects become dominant and molding becomes slow. Viscosities
scale patterning within some minutes down to seconds below 103 Pa s are often not useful, often being achieved with too
(after [9.15]) low a Mw or too high a Timprint (after [9.11])
276 Part A Nanostructures, Micro-/Nanofabrication and Materials

mercial resists [9.11, 18, 19]. Switching between a solid


Temperature Thermocuring UV postcuring Pressure
and a highly viscous state is possible within a range
1 2 3 4 5
of some tens of degrees Celsius, and can be re-
Pimprint
versed [9.18]. The first stage of the NIL process is the
Timprint molding of a thin thermoplastic film using a hard mas-
ter. During a process cycle the resist material is made
Viscous
Tg
viscous by heating, and shaped by applying pressure
Solid (Fig. 9.6). Here the thermoplastic film is compressed
between the stamp and substrate, and the viscous poly-
Tdemold Molding
mer is forced to flow into the cavities of the mold,
conforming exactly to the surface relief of the stamp.
20C
When the cavities of the stamps are filled, the polymer is
0 cooled down, while the pressure is maintained. Thus the
molten structure is frozen. After relieving the pressure,
0 Time
the stamp can be retrieved (demolded) without damage
Fig. 9.6 Typical process sequence: schematics of process sequence and reused for the next molding cycle.
used for hot embossing (temperature/pressure diagram with time
dependence), (1) begin heating, (2) begin embossing, (3) be- Molding of UV-Curable Resists by UV-NIL
gin cooling, (4) demolding at elevated T , and (5) demolding at With the integration of light sources into imprint
ambient machines, UV-NIL was developed for curable re-

Table 9.2 Comparison of hot embossing (NIL) and UV imprinting (UV-NIL), with typical parameters of current pro-
cesses
Type of NIL (properties) Thermal NIL (hot embossing) UV-NIL (hard stamp)
Basic process sequence (Fig. 9.6) 1) Spin-coat thermoplastic film 1) Dispense liquid resin
2) Place stamp on film 2) Parallel alignment of stamp with defined gap
3) Heat until viscous 3) Imprint at low pressure
4) Emboss at high pressure 4) Expose with UV light through stamp
5) Cool until solid and crosslink
6) Demold stamp 5) Demold stamp
Pressure p 20100 bar 0 5 bar
Temperature Tmold 100200 C 20 C (ambient)
Temperature Tdemold 2080 C 20 C (ambient)
Resist Solid, thermoplastic Liquid, UV-curable
Tg 60100 C
Viscosity 103 107 Pa s 102 103 Pa s
Stamp material Si, SiO2 Glass, SiO2
opaque transparent
Stamp area Full wafer, > 200 mm diameter 25 25 mm2 , limited by control of gap
Stamp contact Facilitated by bending Planarization layer
Embossing time From s to min < 1 min (per exposure)
Advantage Low-cost, large-area equipment and stamps Low viscosity, low pressure,
alignment through stamp
Challenge Process time, Step and repeat needed for large areas
thermal expansion due to thermal cycle
Part A 9.1

Development needed Alignment, residual layer homogeneity Material variety


Step and repeat Step and stamp with 4 4 mm2 stamps Step and flash (SFIL) with 30 45 mm2
Hybrid approaches Thermoset resists: Thermoplastic resists:
Embossing and curing before demolding Hot molding and UV-curing before demolding
Advantage Low-temperature-variation cycle: Solid resist:
Demolding at high temperature possible Single-step wafer-scale imprint possible
Nanoimprint Lithography Patterning of Resists Using Molding 9.2 Nanoimprint Process 277

sists [9.2427]. The basic difference between UV-NIL thickness used. In a second step, the thickness profile
and NIL is that a resin that is liquid at room tem- of the polymer film can now be used as a resist for pat-
perature is shaped by a moderate pressure, and by tern transfer. For this, the residual layer remaining in
exposing light through the transparent stamp the resin the thin areas of the resist has to be removed, which is
is cross-linked and hardened. The stamp either sinks done by homogeneously thinning down the entire re-
down to the substrate or must be kept at a constant sist using an (ideally) anisotropic etching process. In
distance from the substrate during both filling and ex- this way, process windows are opened to the substrate
posure, due to the low resist viscosities. The mechanical and the polymer can be used as a masking layer for fur-
setup has to be able to compensate for wedge errors ther processing steps. There are an increasing number
in a low-imprint-pressure process. Patterning on nonflat of process variations, which are mostly variants of the
substrates or over topography therefore requires a pla- established thermal NIL and UV-NIL processes, partic-
narization strategy and often small stamps. Because of ularly those using special methods of pattern transfer
the small pressures used, both hard stamps or stamps (e.g., reversal imprint) and hybrid processes (combi-
with protrusions made by soft material on a rigid back- nations of different processes). All the processes have
bone can be used. their specific advantages, e.g., while UV-NIL can be
performed at room temperature, hot embossing is low
Resist Window Opening for Pattern Transfer cost since nontransparent stamps can be used. The ma-
A basic characteristic of NIL is the patterning of a thin jor characteristics of typical processes, along with those
layer of material, in which the dimensions (lateral of hybrid approaches, are summarized in Table 9.2 and
structure size and height) become similar to the film presented in more detail in [9.11].

9.2 Nanoimprint Process


Molding techniques based on imprint processes make cous material) is possible if very low-viscosity resins
use of the differences between the mechanical prop- are used. In this section we want to take a closer look at
erties of a structured stamp and a molding material. the squeeze flow of thin polymer films as used for ther-
The viscous molding material is shaped by pressing the mal NIL, a concept which is quite general and enables
hard stamp into it until the polymer conforms to the an insight into possible parameter variations for process
stamp surface. In hot embossing processes we mostly optimization. We will give a brief introduction to the
deal with thermoplastic materials whose mechanical theory of polymers [9.28, 29] and discuss the implica-
properties can be repeatedly and reversibly changed tions for NIL. This will enable the reader to understand
from a solid into a viscous state by simply varying rheology in NIL from a practical point of view. More
the temperature. In order to achieve a reasonable pro- fundamental questions of squeeze flow are discussed
cess time and yield, this is normally carried out under in [9.30, 31]. We conclude this section by presenting
high pressure. Thermal NIL deals with a viscosity range pattern transfer processes used in combination with NIL
which is considered as sufficiently low to enable sig- and show examples of the fabrication of simple devices.
nificant squeeze flow over large distances, but high In the section on curable resists, we will introduce con-
enough that bending of wafers can be used to equi- cepts mainly used in UV-NIL such as soft UV-NIL and
librate surface undulations of common substrates and droplet dispensing.
pattern density variations in stamps. The rheological
processes described here for thermoplastic materials 9.2.1 Limits of Molding
can be considered to be similar for thermoset or UV-
curable materials as long as the thermomechanical Resists used in NIL are polymers, which are defined
Part A 9.2

properties can be changed without affecting the chem- by their chemical composition and physical proper-
ical ones. While squeeze flow governs high-viscosity ties. In the case of molding these are often long-chain
molding (where pressure is the driving force to displace molecules with molecular weight Mw . The polymer
the viscous material), in UV-NIL low pressure or even Mw is important because it determines many physical
mold filling by simple capillary action (where surface properties. Some examples include the temperatures for
energy controls the wetting and spreading of the vis- transitions from liquid to viscoelastic rubber to solid,
278 Part A Nanostructures, Micro-/Nanofabrication and Materials

and mechanical properties such as stiffness, strength, 500 kg/mol (also denoted 500 k), is normally used for
viscoelasticity, toughness, and viscosity. However, if the EBL, since the development contrast between exposed
Mw is too low, the transition temperatures will be too and unexposed areas increases with Mw [9.33, 34].
low and the mechanical properties of the polymer ma- A lower Mw , of some tens of kg/mol, is patterned
terial will be insufficient to be useful as a hard resist for in NIL, due to the strong increase in temperature-
pattern transfer. The examples given in this section are dependent viscosity with Mw [9.35]. Apart from their
simple and meant to illustrate the specific terms needed mobility it is expected that shorter chains, which in the
to understand polymer behavior in molding. case of amorphous polymers are normally present as en-
It has been known for a long time that polymers tangled coils, can move more easily into small mold
can replicate topographies with high fidelity. Up to now cavities. A convenient way of expressing the size of
5 nm resolution of polymer ridges with a pitch of 14 nm a macromolecule present as a statistical coil aggregate
has been demonstrated [9.32]. In contrast with meth- is by its radius of gyration Rg , which is calculated from
ods such as electron-beam lithography (EBL), where the statistical mean path of the chain in a random-walk
nanoscale chemical contrast can be produced by lo- model using a self-avoiding walk. Rg can be measured
cal irradiation-induced chain scission, polymer chains directly in experiments by small-angle neutron scatter-
are only moved and deformed during molding, thus re- ing [9.36]. It can also be defined not only for a linear
taining their chemical properties such as Mw . Molding chain but also for polymers with branched structure, etc.
topographic details down to a few nanometers means It also equals the square of the average distance between
that single polymer chains have to deform or flow. This the segments and the center of mass of the poly-
deformation can be illustrated by comparing the poly- mer [9.28], which means it can be used to give a rough
mer with a pot full of cooked spaghetti, and instead estimate of the mean distance between different coils.
of the viscosity change with temperature we simply Since entire coils are both moved and deformed, Rg will
take the different mobility of the filaments when wet only give a rough estimate of the achievable minimum
or dry. When a water glass, representing the 10 nm pil- resolution of a pattern in an amorphous polymer film.
lar stamp shown in Fig. 9.2a, is pressed into this pot, As an example we take a PMMA macromolecule with
single spaghetti filaments have to be moved before the Mw of 25 kg/mol. Here the chain contains N = 250
glass can sink into the entangled network. If the poly- MMA monomer elements [C5 H8 O2 ] with a weight
mers can slide along each other, the deformation can of 100 g/mol each and has a total length of about
be permanent after drying and demolding. If stress is L = 80 nm. Both with simple considerations based on
frozen, the matrix around the cylindrical hole will re- the volume of a single molecule in the bulk PMMA and
lax after demolding. Note that this simple example can formulas for the random walk [Rg = (N/6)1/2 (L/N)],
also be used to illustrate the difference between totally a Rg value of 2 nm can be calculated.
amorphous and semicrystalline polymers. A polymeric liquid, whilst retaining the properties
A polymer is a large molecule made up of of a liquid, follows a rubber-like elasticity. An exam-
many small, simple chemical units, joined together ple is the melted cheese on a pizza. If melted cheese
by chemical reaction. For example, polyethylene is dripped vertically, it flows slowly, just like a li-
[CH3 (CH2 )n CH3 ] is a long chain-like molecule quid. However, if it is pulled and then the tension
composed of ethylene molecules [CH2 =CH2 ]. Most removed, melted cheese will contract just like rubber.
artificially produced polymers are a repetitive se- In other words, although melted cheese is a liquid, it
quence of particular atomic groups, and take the form also has elasticity. Substances like this, which have both
[AAA]. The basic unit A of this sequence is viscous and elastic properties, are called viscoelastic
called the monomer unit, and the number of units n substances. In order to calculate the flow of a fluid when
in the sequence is called the degree of polymerization. an external force is applied, we need an equation relat-
The molecular weight Mw of a polymer is defined by ing the stress in the fluid to its deformation. This type
the weight of a molecule expressed in atomic mass of equation is called a constitutive equation. For ex-
Part A 9.2

units (amu). It may be calculated from the molecular ample, if a polymeric liquid undergoing a steady flow
formula of the substance; it is the sum of the atomic is stopped, the stress does not immediately become 0,
weights of the atoms making up the molecule. For ex- but decays with a relaxation time . Here depends
ample, poly(methyl methacrylate) (PMMA), a classic strongly on the Mw of the polymer and the tempera-
resist material, exhibits very good resolution for both ture, and can be on the order of several minutes to hours
EBL and NIL. A high-Mw PMMA, typically above in some cases.
Nanoimprint Lithography Patterning of Resists Using Molding 9.2 Nanoimprint Process 279

In the case of NIL, this relaxation has the effect


Storage modulus G (MPa)
that structures can still deform after molding. Consid-
104
ering the fact that molding is achieved by deformation Hard elastic Viscoelastic Viscous
of a polymer network at the molecular level, the ques-
tion is how the polymer can be permanently shaped 103 (1) Glassy region
and whether the replicated structure will deform back Demolding Imprint
due to internal reordering and relaxation of polymer
102
chains. Tg (2) Transition Tf Tf
region
The reduced viscosity of polymers at higher temper-
atures is a result of the increasing ability of the chains 101 Lightly
(3) Viscoelastic plateau
to move freely, while entanglements and van der Waals crosslinked
interactions of the chains are reduced. The glass transi- (4) Viscoelastic flow
tion of a thermoplastic polymer is related to the thermal 100 region
(5) Viscous flow
energy required to allow changes in the conformation region Low Mw High Mw
of the molecules at a microscopic level, and above Tg 101
there is sufficient thermal energy for these changes 40 60 80 100 120 140 160 180 200
Temperature T (C)
to occur. However, the transition is not sharp, nor is
it thermodynamically defined. It is therefore different Fig. 9.7 Mechanical properties of polymers dependent on tempera-
from melting (defined by Tm ), which is an equilibrium ture, molecular weight, and cross-linking (after [9.37]). Schematic
transition mostly present in polymers with crystalline for a polymer with a Tg around 100 C for normal process condi-
entities. The glass transition is a thermodynamic tran- tions. Particularly important for thermal NIL are the large drops
sition in the sense that it is marked by discontinuities of G at two temperatures: Tg and Tf . At Tg the thermomechan-
in thermodynamic quantities (Fig. 9.7) [9.37]. A dis- ical properties between stamp and polymer become sufficiently
tinct change from rubbery (above Tg ) to glassy (below different for repeated molding. Tf characterizes the point at which
Tg ) behavior is readily observable in a wide range of the viscosity drops to practical values needed for molding in fast
polymers over a relatively narrow temperature range. imprinting
For thin films, however, Tg can be different from bulk
values [9.38, 39]. 9.2.2 Squeeze Flow of Thin Films
Most of our considerations here are valid for a range
of practical process parameters, as used in current hot During embossing linear movement of the stamp is
embossing processes, where linear behavior can be as- transformed into complex squeeze flow of the viscous
sumed (Newtonian flow regime). This is in particular material. In the thin polymer films used in NIL, a small
the case at molding temperatures well above the Tg . vertical displacement of the stamp results in a large
For thermoplastic molding, however, the Tg is only lateral flow. The two surfaces of the stamp and the sub-
a rough indication of a temperature for fast mold- strate have to come entirely into contact with each other
ing. More suitable than Tg is the flow temperature Tf , and keep this contact until the desired residual layer
which characterizes the point at which the viscosity thickness is reached. Furthermore new concepts are pos-
drops to practical values needed for fast NIL (i. e., sible such as roll embossing and soft embossing using
103 107 Pa s, about 50 C above Tg for 25 k PMMA; flexible stamps. In Fig. 9.8, the embossing of a stamp
Fig. 9.7) [9.11]. with line cavities is schematically shown.

1 2 Fig. 9.8 Geometrical definitions used for the


description of the flow process for a stamp
Embossing L L with line cavities and protrusions: (1) before
Part A 9.2

Demolding
Stamp molding, and (2) after demolding. In the case
wi wi +1 wi wi +1
hr s0 si sN = s0 si of viscous molding, where volume conser-
vation can be anticipated, the residual layer
h0 Thermoplastic polymer hr thickness can be calculated from geometrical
hf
Hard substrate parameters such as the initial film thickness
and the size and density of cavities
280 Part A Nanostructures, Micro-/Nanofabrication and Materials

Before embossing, the polymer film has an initial


Height
thickness h 0 and the depth of the microrelief is h r .
For a fully inserted stamp, the film thickness under
the single stamp protrusions (elevated structures) with h0
width si is h f . We can calculate this specific residual
layer height h f by applying the continuity equation with 50%
the assumption that the polymer melt is incompressible 95%
(conservation of polymer volume). It can be directly de- hf
duced from the fill factor , i. e., the ratio of the area 100%

covered by cavities to the total stamp area t0 tf Time



wi
h f = h 0 h r with =  i . (9.1) Fig. 9.9 Schematic (right) of the squeeze flow of a com-
i (si + wi ) pressed polymer film into one cavity. Once the cavity is
This formula only applies for rigid stamps with constant filled the stamp continues to sink but at a much slower rate
fill factor. (left), as a direct consequence of the Stefan equation
A simple model for the squeezed polymer flow un-
derneath the stamp protrusion is obtained by treating some hours. The strong dependence of the embossing
the polymer as an incompressible liquid of constant time on the pressing area has the consequence that, for
viscosity, and solving the NavierStokes equation with a fully inserted stamp relief (full contact over the to-
nonslip boundary conditions at the stamp and substrate tal stamp area), the flow practically stops (as shown
surfaces. According to this model, given for line-shaped schematically in Fig. 9.9). For this case, s becomes large
stamp protrusions and cavities in [9.13, 18, 40, 41], we and flow continues only towards the stamp borders. It
find the following expression, known as the Stefan is also evident that there is only a weak influence of
equation [9.42], for the film thickness h(t) underneath the embossing force (tf 1/F). At first sight there is
the stamp protrusion when a constant imprint force F is a similar weak influence for 0 . However, the viscosity
applied to the single stamp protrusion can be changed significantly by varying the tempera-
ture. For practical use, it is quite important that tradeoffs
1 1 2F are possible between structure height, resist thickness,
= + t. (9.2)
h 2 (t) h 0 0 Ls3
2 pressure, and temperature. For example, within certain
limits, a low imprint pressure can be compensated by
Inserting the final thickness h f h(tf ) into (9.2) gives
a longer time or a higher temperature.
the embossing time
For completeness we now give the expression
 
0 Ls3 1 1 similar to (9.3), but derived for a cylindrical stamp pro-
tf = . (9.3) trusion with radius R, i. e., with a stamp protrusion area
2F h 2f h 20 of R2
For many practical cases, where a constant pressure un- 1 1 4F
= + t. (9.5)
der each stamp protrusion p = F/(sL) is assumed, this h 2 (t) h 20 30 R4
formula gives
  We present an example illustrating the conse-
0 s2 1 1 quences of these equations. In Fig. 9.10a we show
tf = . (9.4) a stamp which contains an array of small structures in
2 p h 2f h 20
the center while the large single stamp protrusions sur-
As a direct consequence of the Stefan equation it can rounding the array dominate the sinking velocity (large
be seen that, at identical pressure, small (narrow) stamp si ). The array in Fig. 9.10a is equivalent to the micro-
protrusions will sink faster than large (wide) ones. The cavity in Fig. 9.10b, which has the same volume as the
Part A 9.2

stamp geometry can therefore be optimized by reducing total volume of the cavity array. This simplification can
the dimensions of the protrusions. While stamps with be used for the calculation of embossing times. The fill
nanopillar arrays, as shown in Fig. 9.2, would allow fast factor should be kept constant, both locally (at length
embossing of some microseconds, using standard NIL scales corresponding to the cavity dimensions) and also
process parameters, already protrusions of some hun- across the wafer, i. e., for large stamp protrusions, to en-
dreds of microns would increase embossing times to sure better flow of the polymer and shorter embossing
Nanoimprint Lithography Patterning of Resists Using Molding 9.2 Nanoimprint Process 281

more it is important to know the thickness variation


a) over the embossed area; otherwise, parts of the struc-
Nanostructures ture will be lost during pattern transfer. As will be
shown in the following, bending of stamps has to be
b) taken into account, as well as effects such as air inclu-
sions, dewetting, and self-assembly of resist [9.18, 72].
Microcavity
In most cases a homogeneous residual layer can be
achieved by optimizing the pattern design, but also by
c) using adapted processes which create thin residual lay-
Sink structures ers independent of the design. In contrast to this, pattern
transfer processes which are insensitive to thickness
Fig. 9.10ac Comparison of the squeeze flow for a nano- variations have to be used, e.g., by using a resist with
and microcavities (schematics). In the case of an array high etch resistance or an intermediate layer as a hard
of nanocavities and a single microcavity, surrounded by mask. The following examples demonstrate how soft
large unstructured stamp areas, the polymer has to flow and hard elements for equilibration are used to achieve
over large distances, thus leading to long molding time. homogeneous molding.
By the introduction of additional sink microstructures, or
a denser arrangement of cavities, faster and more homoge- Bending of Stamps in High-Pressure
neous molding can be achieved (left: top view; right: side Imprinting
view) In NIL, the stamp is often considered as a hard tool
which is inflexible over millimeter distances. However,
times. For this purpose, additional protrusions or cavi- this is only true for special cases, e.g., when density
ties can be placed in intermediate areas not needed for and size of stamp protrusions are homogeneous over
the device function (Fig. 9.10c), or structures can be re- the whole stamp surface. Furthermore it is strongly de-
peated several times. We would also like to draw the pendent on the pressure used, and therefore only plays
readers attention to the fact that the different sinking a significant role in current hot embossing processes.
rates of protrusions of different sizes means that the Local bending of some nanometers occurring due to
stamp, which is normally backed by an elastic silicone small local variations of the stamp geometry has to be
mattress, can bend locally. This will result in a residual considered as the general case during hot embossing of
layer height that is not uniform over the entire emboss- thin films [9.18,53,80,81]. Both the global movement of
ing area. up to a few hundred nanometers, and the compensation
The implications of squeeze flow are discussed of local height variations of a few tens of nanome-
in more detail in [9.4346], including rheological ters, are easy to implement with a compliance-type
issues [9.4759], bending of stamps in large-area im- mechanism. In presses with a stiff mechanism based
printing [9.44, 6069], and the influence of vacuum on hydraulic, air, and screw-driven hard stampers, the
and self-assembly [9.7079]. More information can build-up of the whole stack includes the use of an elas-
also be found in Sect. 9.2.6 about pattern transfer and tic compliance layer (e.g., flexible graphite, rubber or
Sect. 9.3.1 for NIL materials. teflon), which is needed for surface equilibration due
to the lack of flatness of common substrates. Other con-
9.2.3 Residual Layer Thickness cepts use an air-pressurized membrane as a soft cushion,
Homogeneity which equilibrates local pressure variations during the
sinking of the stamp in a more controlled way.
The main difference between NIL and lithography For a typical case where the grating is surrounded by
based on exposure and development is that a residual a large unstructured area, stamp bending results in an in-
layer below the stamp protrusions is left after demold- homogeneous residual layer at the border of the grating.
Part A 9.2

ing. As seen before, this is a result of the molding Figure 9.10a shows such a case, in which a grating area,
process slowing down due to the squeeze flow. For typically of some square millimeters, is surrounded by
many applications, when pattern transfer has to be a large nonstructured area. In the ideal case of a totally
achieved after the embossing, it is important to deter- rigid stamp, the final thickness would be determined by
mine the final residual thickness h f of this polymer the fill factor of the grating averaged over the whole
layer (Fig. 9.8) before the next process step. Further- stamp area, which could be calculated by the simple rule
282 Part A Nanostructures, Micro-/Nanofabrication and Materials

of conservation of polymer volume. This can only be a thin PDMS relief coated on a harder substrate is par-
achieved if the polymer can flow easily over large dis- ticularly useful in full wafer concepts. It combines the
tances; otherwise, parts of the grating will not be filled. complementary mechanical properties of a soft surface
In the other extreme case of a totally flexible stamp relief for the achievement of local conformal contact
and low lateral transport of polymer, both stamp areas and a rigid but bendable backbone, which can be used
could be calculated independently. While the center of for mounting and alignment. A process working with
the grating would sink to half of the depth of the cavi- moderate resist viscosities (with 0 = 50 mPa s and be-
ties (assuming a fill factor of 50%), in the nonstructured low) for providing liquid films by spin-coating has been
area almost no sinking would occur. In between, at the developed and can be applied at reduced environmental
border of the grating, the stamp tries to accommodate pressure [9.69, 83].
this mismatch by bending. Depending on the thickness
and elastic behavior of the stamp, as well as the design 9.2.4 Demolding
of the stamp, characteristic distances can be calculated.
In many cases rules of thumb for design and process During demolding the rigid stamp is detached from
optimizations are sufficient for achieving homogenous the molded structure, which can be done in a parallel
molding. However, for more complex cases, simulations way when using small rigid stamps, or by delamination
are needed to predict the filling of both small and large if thin wafer-like substrates are used. If fully molded,
structures in the vicinity of one another. Furthermore the the thickness profile in the resist exhibits the inverse
dynamic behavior of filling has to be taken into account. polarity of the relief of the stamp surface. The de-
The task becomes even more challenging if emboss- molding process, also called de-embossing, is normally
ing over topography has to be considered. In this case, performed in the frozen state, i. e., when both the mold
a planarization layer can be used below the NIL resist. and molded material are considered solid. For thermo-
plastic materials this happens at temperature well below
Resist Density Adaptation Tg , but high enough that frozen stress due to thermal
in Low-Pressure Imprinting contraction does not lead to damage during demolding.
UV-NIL processes are performed at room temperature, In cases in which the resist is cured before demold-
at which resist precursors are present as liquid films or ing, i. e., cross-linked by exposure or heat, demolding
droplets. When using hard stamps as in step and flash can take place at temperatures similar to the molding
imprint lithography (SFIL, a step and repeat UV-NIL temperature. A successful demolding process relies on
process [9.26, 27]), or jet-and-flash imprint lithography a controlled balance of forces at the interfaces between
(JFIL, for single step wafer scale imprint), a homo- the stamp, substrate, and molded polymer film. There-
geneous residual layer thickness can be achieved by fore mechanical, physical, and chemical mechanisms
locally varying the amount of liquid resin necessary responsible for adhesion have to be overcome. The fol-
to fill the cavities of the stamp. Particularly suitable lowing effects have to be avoided or reduced [9.11, 18,
for this is an array of droplets formed by dispensing 53, 80, 81] (Fig. 9.11):
low-viscosity UV curable monomer (with 0 5 mPa s)
onto the substrate surface prior to imprinting. By Undercuts or negative slopes in the stamp may lead
contact of the stamp with the dense droplet array, a con- to mechanical interlocking of structures, which in
tinuous film is formed by capillary action. To handle the frozen state are elastically elongated and de-
pattern density variations, the drop-on-demand UV-NIL formed before ripping. Sidewalls with positive or
process at atmospheric environmental pressure has been at best vertical inclination are a prerequisite for de-
developed [9.82]. molding without distortion.
Friction due to surface roughness may occur during
Soft Lithography with Conformable Stamps the sliding of molded structures along vertical cavity
in Low-Pressure Imprinting walls. The effect of this can only be overcome if the
Part A 9.2

The forces on a stamp protrusion with liquid resists are surface of the molded material is elastic and enables
induced by capillary action rather than by squeeze flow gliding of the wall without sticking.
and are therefore low. Therefore in UV-NIL, compliant The enlarged surface area of the patterned stamp
stamps made from elastomeric materials, e.g., poly- leads to an increase of hydrogen bridges and van der
dimethylsiloxane (PDMS), a UV-transparent rubber, Waals forces, or other chemical bonding effects due
can also be applied. The concept of layered stamps to ionic, atomic, and metallic binding. This effect
Nanoimprint Lithography Patterning of Resists Using Molding 9.2 Nanoimprint Process 283

can only be overcome if the stamp surface can be


provided with sufficient antiadhesive properties. a) b) c)

The most critical point is that demolding forces largely


depend on the geometry of the mold, and the overall de- V
sign of a stamp structure has to be taken into account.
V V
Therefore structures with high aspect ratio may be more
prone to ripping, and if many neighboring structures ex-
ert high forces on the underlying substrate, whole areas
of resist may be detached from the substrate surface. d) e) f)
Antiadhesion layers on the mold can reduce friction
forces, but have to be thin and durable. In thermal NIL
the expansion coefficient of the substrate substrate and
of the stamp stamp should be similar, to avoid distor-
tion due to mechanical stress induced by cooling. In the
case of very thin polymer layers, the lateral thermal ex-
pansion of the resist is determined by the substrate. For
structures with higher aspect ratio the demolding tem-
Fig. 9.11af Demolding issues: (a) generation of vacuum voids (V),
perature Tdemold should be well below Tg , to enable the
(b) elongation and ripping of single structures, (c) ripping of resist
demolding of a hardened resist without distortion, but
from substrate, (d) penetration of air into voids (inclined sidewalls),
as near as possible to Tg , because the stress induced by
(e) shrinkage and generation of rims, and (f) relaxation of frozen-in
thermal shrinkage should not exceed a maximum value
strain (after [9.11])
in critical areas where structures tend to break.
based solution. Shrinkage was found to be less
9.2.5 Curing of Resists
than 10% of total volume in most cases. The
current liquid is a multicomponent solution. The
Curing by UV exposure, by thermal treatment or by
silylated monomer provides etch resistance in the
chemical initiation is a way to cross-link polymers and
O2 transfer etch, and is therefore called the etch
make them durable for demolding [9.24, 25, 27, 82
barrier. Cross-linker monomers provide thermal sta-
96]. A high reaction speed, as caused by a high ex-
bility to the cured etch barrier and also improve
posure dose, high initiator content or curing at high
its cohesive strength. Organic monomers serve as
temperatures, leads to fast but weak cross-linking,
mass-persistent components and lower the viscosity
whereas a slow reaction leads to highly polymerized,
of the etch barrier formulation. The photoinitiators
tougher materials because the slow polymerization en-
dissociate to form radicals upon UV irradiation, and
ables a more complete process. As shown in Sect. 9.1.2,
these radicals initiate polymerization.
various process strategies have been developed. In most
of them the curing step is independent of the molding
If a solid curable resist exhibits thermoplastic be-
havior, it can be molded at an elevated temperature
step, and can be initiated by light or a specific tempera-
and then cross-linked, either before or after demold-
ture after molding is complete. Because curing involves
ing. The advantage of the process is that low-Mw
a change in the physical conformation of the polymer,
resists with low Tg can be provided, which can be
it always goes along with volumetric shrinkage of the
processed at moderate temperatures. However, be-
polymer; e.g., acrylate polymerization is known to be
fore pattern transfer, hardening is often necessary.
accompanied by volumetric shrinkage that is the re-
They can also be used for mix-and-match with PL
sult of chemical bond formation. Consequently, the size,
or for polymeric stamp copies.
shape, and placement of the replicated features may
be affected. In the following the main processes which
Thermoset resists can be cross-linked by heat. Here
Part A 9.2

it is of advantage that the temperature for mold-


involve curing are presented in more detail:
ing is lower than the curing temperature. Then the
In the UV-NIL process, as used in SFIL [9.26, 27], structure is first molded and then heated to its cross-
the resist is cured after molding but before de- linking temperature to induce cross-linking, before
molding of the stamp. The process relies on the the stamp is demolded from the hardened surface
photopolymerization of a low-viscosity, acrylate- relief.
284 Part A Nanostructures, Micro-/Nanofabrication and Materials

More information about curing and multilayer resists underlying substrate are generated. This is also
can be found in Sect. 9.3. called a window-opening or breakthrough etch. In
the second case the thickness contrast of the remain-
9.2.6 Pattern Transfer ing polymer is used to mask the substrate against the
etching medium. Both processes have to be highly
In many cases the lithographic process is only complete anisotropic, i. e., during the transfer step the lateral
when the resist pattern is transferred to another ma- size of the structure has to be preserved, including
terial. This process, in which the resist is transformed the slope of the original pattern. Apart from open-
into a patterned masking layer, allows the substrate to ing windows using reactive-ion etching (RIE), other
be attacked by plasma, etching solutions, electroplat- pattern transfer strategies have been found which
ing, deposition of materials, and other substrate-altering circumvent the residual layer problem.
processes. A unique advantage of molding instead of Lift-off is a patterning technique adding thin lay-
exposure is that complex stamp profiles, such as stair ers of a solid material (e.g., metal) locally to the
cases, V-grooves, and pyramids, both convex and con- window openings in the resist [9.107114]. Un-
cave, can be replicated. They can be used for the dercuts, as can be generated in PL and EBL, are
generation of 3-D structures such as for T-gate transis- a prerequisite for good lift-off. However, in NIL,
tors or contact holes, or serve for stepwise etching of where sidewalls are at best vertical, a high thickness
underlying layers with variation of the opening width. contrast (aspect ratio) of the structures is needed.
As long as undercuts and 3-D patterning are not nec- Lift-off resists are a means to generate defined un-
essary, in most cases this pattern transfer is therefore dercuts using a bilayer resist system, by selectively
similar to in EBL. However, in this section we em- dissolving a sacrificial bottom layer through the
phasize methods where NIL has some specific process structured openings of a top layer.
advantages over conventional lithographic methods, or Electroforming and electroplating, like lift-off, are
where the use of NIL implies some major changes in the processes that add material to the areas not cov-
fabrication process or properties of the devices: ered by the resist [9.97, 115, 116]. Electroforming
provides a good alternative to the lift-off process
In NIL, etching is used for both the removal of the because metal structures can be generated with
residual layer and the pattern transfer of the resist considerable height and good surface quality. If
pattern to the underlying substrate [9.7, 97106]. a conductive seed layer is deposited below the resist,
In the first case the polymer layer has to be ho- during electroplating the metal layer starts to grow
mogeneously thinned down until openings to the from within the window regions and conforms to the

Compression molding Pattern transfer

Spincoating of Seed layer


thermoplast on window opening
hard substrate

Stamp Electroforming
with nanorelief

Hot embossing Removing of Fig. 9.12 NIL and electroforming:


and demolding resist and seed Electrode structures have been fabri-
layer
cated in Ni by using a plating base
Part A 9.2

of Cr and Ge. After plating on top of


Metal lanes the Ge, both layers of the plating base
500 % overplated
(period 1m)
PMMA: 45 nm
can be etched using RIE (Cr: chlorine
PMMA: 50 nm chemistry; Ge: SF6 ). Even with 500%
Nickel: 270 nm
Nickel: 45 nm
overplating, the thick electrodes stay
separated (after [9.97])
Nanoimprint Lithography Patterning of Resists Using Molding 9.2 Nanoimprint Process 285

outlines of the cavities in the resist. Depending on 9.2.7 Mix-and-Match Methods


the extent of electroplating, the structure height can
be either preserved or increased. Mix-and-match approaches are used to combine the ad-
vantages of two or more lithographic processes or sim-
Some of the examples presented here for pattern trans-
ply to avoid their mutual disadvantages [9.117126].
fer already give insight into simple demonstrators, par-
It is also a way to improve throughput and reliability;
ticularly when the application is based on a simple pat-
e.g., since the fabrication of large-area nanostructures
tern transfer or NIL is used as the first patterning step of
is often costly, the definition of microstructures can be
a nonstructured surface. Examples of applications are:
done with PL, while the nanopatterning of critical struc-
Large-area metal gratings, as needed for polariz- tures in small areas is done by NIL. In many cases NIL
ers or interdigitated electrode structures, can be would be used as the first process step and, by adding
fabricated by etching of a metal layer, lift-off or alignment structures along with the nanopatterns, the
electroplating; in Fig. 9.12, e.g., electrode structures less critical structures can be added after the NIL step
have been fabricated in Ni by using a plating base using PL with an accuracy given by the mask aligner
of Cr and Ge. After plating on top of the Ge, (in the range of 1 m). NIL allows different variants of
both layers of the plating base can be etched using mix-and-match:
RIE [9.97].
Surface patterns with chemical contrast can be gen- In a sequential approach the second resist process
erated by locally depositing silanes onto a SiO2 sur- is added to the first structured pattern after pat-
face by lift-off (Fig. 9.13). By patterning molecules tern transfer. Specific problems such as overlay or
with biofunctionality, integrated biodevices such nonflat surfaces have to be solved. An example
as biosensors and biochips can be fabricated. of mix-and-match can be seen in Fig. 9.14, where
In [9.113] the combination of NIL and molecular a nanoporous membrane was fabricated by NIL
assembly patterning by lift-off (MAPL) is demon- (pore definition) and PL (windows for silicon etch-
strated. ing and membrane release) [9.106].
By etching, the NIL process can be used to draw By using a UV-sensitive thermoplastic resist, the
copies from a stamp original [9.44, 99, 115]. Often nanopattern can be created by NIL and the mi-
the deposition of a metal layer for subsequent etch- cropattern added into the molded resist by PL
ing is used as a hard mask to generate copies with in subsequent patterning steps. Thus, using this
an enhanced aspect ratio (Figs. 9.14 and 9.18). bilithographic step, the pattern transfer can be done
for the whole structure after the resist structuring
More specific applications, where one or several of is complete. The resists used for this purpose are
these pattern transfer processes were used, are presented cross-linked during exposure, which makes it possi-
in more detail in Sect. 9.4. ble to dissolve the unexposed areas [9.117].

a) Stamp b) AFM LFM


3 nm 0.15V

PMMA SiO2 20 nm
Imprinting Si or SiO2
Fig. 9.13a,b NIL and lift-off for
Demolding Fluorinated silane
50 nm 0 nm 0V the generation of nanopatterns
100 nm
HP = 35.5 nm with chemical contrast. (a) Process
RIE etching scheme for local silane deposition
3 nm 0.15V
Deposit from gas phase and (b) AFM/LFM
Part A 9.2

SiO2 15 nm (atomic/lateral force microscope) im-


Surface
ages for chemically patterned surfaces
modification
Fluorinated silane modified with a fluorinated silane,
SiO2 Deposit
35 nm 0 nm 0V showing sub-50 nm areas with hy-
100 nm
Lift-off HP = 25 nm
drophobic (silane) and hydrophilic
(SiO2 ) properties (after [9.110112])
286 Part A Nanostructures, Micro-/Nanofabrication and Materials

the fabrication of high-aspect-ratio structures, undercuts


a) NIL stamp have to be generated, e.g., for lift-off, or a planarization
PMMA b) layer has to be employed for printing over topogra-
Cr phy [9.127134].
Si3N4
Si The most important application of double resists
Si3N4 is for low-pressure processes such as UV molding
(Fig. 9.15). For prestructured substrates with topogra-
1 m phy, a planarization layer is needed, because the low
pressure of below 1 bar is often not sufficient to achieve
conformal contact of the transparent mask with the non-
c) flat substrate surface; otherwise parts of the resist stay
unmolded. Multilayer resist approaches with a thick
polymer planarization layer on top of the substrate re-
quire complex processes with multiple steps but also
entail deep etching steps to etch through the thick pla-
5 m narization layer, which often degrades the resolution
and fidelity of the pattern. Bilayer resists are also used
Fig. 9.14ac Mix- and match of NIL and silicon micromachining: for better lift-off. For this purpose lift-off resists (LOR)
(a) process scheme for the fabrication of nanopores in a Si3 N4 have been developed [9.135]; they are coated below the
membrane. SEM images (b) of the NIL stamps (pillars) and (c) the top layer and can be selectively removed by wet devel-
corresponding nanopores (after [9.106]) opment through the patterned top layer. The developers
used are adapted to generate undercuts in LOR layers
A specific mix-and-match approach is possible if of some tens of nanometers up to some microns. Then
UV exposure is done before the stamp is detached even a curable resist which is cross-linked (equivalent
from the molded resist. This is possible when parts to a negative resist) can be used as a top layer, while
of the stamp are transparent (e.g., the recessed the sacrificial bottom layer makes it possible to release
areas), while the protrusions are coated with an the top layer as well as the metal layer used for lift-
opaque layer (e.g., a metal masking layer such as off.
that used for etching the stamp structures) [9.119]. Often top layers with high etching resistance,
This makes it possible to cross-link the thick resist e.g., silicon-containing resists (similar to hardening by
areas while the residual layer can be dissolved. silanization), are chosen for UV-NIL. After molding
the top layer, the pattern is transferred to the under-
9.2.8 Multilayer and Multilevel Systems lying planarization (transfer) layer. The top layer can
be kept thin, while the etching depth can be further
Multilayer resist systems are used if the etching selec- increased by choosing a thick bottom layer. Normally
tivity of a masking layer has to be enhanced, e.g., for the tone of a stamp pattern is inverted when etching

a) b) c) d) e)
Transfer layer Etch barrier
Release layer solution Curred etch barrier
Template Residual layer
UV
Part A 9.2

Fig. 9.15ae Process scheme of UV imprinting and pattern transfer, using a double layer (also called direct SFIL). The
molded top layer, also called the etch barrier, is coated on a transfer layer, which serves as a planarization layer. It has
also antireflective properties for the UV exposure through the stamp. (a) dispensing of viscous resist droplet, (b) imprint,
(c) UV-exposure and curing, (d) demolding of hardened resist, (e) residual layer etch and transfer into bottom layer
(breakthrough etch/window opening)
Nanoimprint Lithography Patterning of Resists Using Molding 9.2 Nanoimprint Process 287

Fig. 9.16a,b Modified SFIL process


a) proposed by Sematech to replace
Metal 6 IBM power 3) Demolding
a dual top hard damascene process
PX750
Metal 5 micro- for copper contact plating by a two-
M5 VIA
processor tiered stamp [9.27, 137]. (a) left (top):
(cross section
M4 VIA
of contact
SEM of a contact layer of a microchip
M2 Seal
layer) (cross section) with interconnecting
Metal 2
ILD 1-1
4) Breakthrough copper layers, (b) process scheme
etch
Substrate (Source: Trybulla, Sematech, [9.137])

b) 5)
Bottom layer Seed layer
0) (with wires) deposition

1) Stamp
(transparent)
Resist 6) Metallization
bottom layer (copper
(with seal) electroplating)

2) Molding and 7)
exposure of Metal
resist through thinning
stamp (CMP
process)

is used for pattern transfer. The tone can be preserved total, the reduction from 128 process steps down to 56
if another tone reversal process is used. This can be results in a cost reduction that justifies the introduction
achieved by imprinting a pattern into the thick trans- of a new technology and serves as an example that the
fer layer, and by spin-coating a silicon-containing resin 3-D pattern capability can be a decisive argument over
on top of it. If the top residual layer of the pla- resolution for the introduction of NIL into chip manu-
narized film is etched away, the high etch resistance facturing. Figure 9.16 shows the pattering scheme for
of the silicon remaining in the trenches of the bottom one level of the contact layer of an IBM power PC mi-
layer will enable the patterning of the transfer layer croprocessor. Obducat has used a similar process for the
with reversed tone. This strategy has the advantage generation of micrometer-sized contact holes in printed
that stamp contamination containing silicon residues is circuit boards (PCB).
avoided [9.136].
The 3-D patterning capability of NIL makes it pos- 9.2.9 Reversal NIL
sible to reduce the number of process steps in contact
layer fabrication of microchips by using innovative pat- In contrast to NIL, in reversal NIL the resist is pat-
tern transfer. The connection of the transistors is done terned either directly onto the stamp or onto an auxiliary
using several levels of lateral wires, each contacted ver- substrate, e.g., by spin-coating, casting or imprint, and
tically by through-holes. This contact layer of a chip is then transferred from the mold to a different substrate
fabricated using lithography and copper electroplating. by bonding. Thus patterned resist structures are ob-
For the wiring scheme of a chip, as shown in Fig. 9.16, tained as in direct NIL, and even embedded channels
eight levels of wiring layers are needed, each of which can be created. The concept is well presented in [9.139
is done in a so-called dual hard damascene process. 143]. In reversal NIL it is possible to transfer patterns
A process has been proposed which reduces the num- onto substrates that are not suitable for spin-coating or
Part A 9.2

ber of process steps necessary for one level from 16 to have surface topographies. However, complete trans-
7 [9.137]. A two-tiered stamp with three height levels fer does not only depend on a good balance of the
makes it possible to pattern the through-holes as well as surface energies, but also on the pattern density and
the wires in one step [9.138]. In this way, several ex- roughness of the structures. As an example, embed-
posure steps can be replaced by a single imprint with ded channels generated by reversal NIL are shown in
patterns of different residual polymer layer thickness. In Fig. 9.17 [9.140].
288 Part A Nanostructures, Micro-/Nanofabrication and Materials

9.3 Tools and Materials for Nanoimprinting


Mechanical nanofabrication techniques based on mold- CD fabrication; and template, mask, and die from the
ing need tools and materials with matched mechanical lithography community.
properties. The mold has to be made from a material In this section we will have a closer look at concepts
which is sufficiently hard to sustain at least one pro- for tools, machines, and processes used for NIL. We
cessing cycle. From the viewpoint of mass fabrication, will start with a discussion of resist materials for NIL,
a mold is considered as a tool which survives the mold- and then proceed with materials used for stamps. We
ing process unaltered and uncontaminated, and thus can describe fabrication methods, both for original stamps
be reused many times after each molding step. In this and for stamp copies, and the use and application of
way many identical replicas can be drawn (copied) from antiadhesive coatings. We will then present concepts for
one mold. Due to the conformal molding, the surface NIL machines, and how a homogeneous pressure dis-
of these copies is the negative structure of the origi- tribution is achieved for nanoreplication. For thermal
nal (inverted polarity). Therefore a true replica of the imprinting as well as UV imprinting single-step wafer-
mold is generated, when a negative is again molded into scale processing and step-and-repeat approaches have
a positive structure. Here, we use the terms replica and been developed. The aim is to make the reader famil-
copy in the more general sense that also negatives are iar with concepts rather than presenting machines and
considered as true copies of an original. materials sold on the market.
As the terms imprinting, embossing, molding, and
replication are often used for the same process, differ- 9.3.1 Resist Materials for Nanoimprinting
ent names for the replication tools exist depending on
their origins: mold or mold insert for those coming from Resists used for NIL are either used as an intermediate
polymer processing; master or stamp (stamper) from masking layer for the substrate or as a functional layer

Table 9.3 Properties of thermoplastic polymers for thermal NIL

Material Solvent Glass transition Viscosity Comments


(other names) temperature Tg at typical
and imprint imprint
temperature temperature
Timprint ( C) (Pa s) (Fig. 9.5)
Poly(methyl Chlorobenzene, 100 (at 160190) 3 104 The classic NIL resist,
methacrylate) (PMMA) safe solvents (25 k at 180 C) refractive index n = 1.49 [9.6, 18]
[9.135, 144150]
Polystyrene (PS) Toluene 104 (150 170) 1.8 103 Integrated optics, biology, n = 1.59 [9.147]
[9.145] [9.21] (58 k at 170 C)
Polycarbonate (PC) Cyclohexanone 148 (160 190) Integrated optics, n = 1.59
[9.148, 149] [9.23, 41, 150], high etching resistance [9.147]
1,1,2,2-tetra-
chloroethane
mr-I T85 [9.144] Toluene 85 (140 170) 2 104 Chemically resistant, low water absorption,
[9.151] [9.152] (at 170 C) highly transparent, n = 1.497 [9.153155]
[9.151]
mr-NIL 6000 [9.144] Safe solvent 40 (100 110) 2 103 UV-curable, low-Tg NIL resist for mix-and-match,
(at 100 C) multilevel patterning [9.156, 157]
mr-I 7000 [9.144] and E Safe solvent 60 (125 150) 3 103 (E grade Low Tg NIL resist, n = 1.415
at 140 C)
Part A 9.3

mr-I 8000 [9.144] and E Safe solvent 115 (170 190) 7 104 (E grade n = 1.415, NIL resist with thermal properties
at 180 C) similar to PMMA, but higher etch resistance
mr-I 9000 [9.144] and E Safe solvent 65 (140 160) n = 1.417, thermocurable NIL resist [9.90]
NEB22 [9.158] PGMEA 80 (95 130) Negative EBL resist based on poly(hydroxystyren),
[9.159] [9.160] high etch resistance in fluoro- and chloro-based
plasmas [9.150], low Mw (3k)
Nanoimprint Lithography Patterning of Resists Using Molding 9.3 Tools and Materials for Nanoimprinting 289

Table 9.4 Comparison of different materials for stamps


Material Youngs Poissons Thermal Knoop Thermal Specific
modulus ratio expansion microhardness conductivity heat
(GPa) (106 K1 ) (kg mm2 ) (W m1 K1 ) (J kg1 K1 )

Silicon (Si) 131 0.28 2.6 1150 170 705


Fused silica (bulk) (SiO2 ) 73 0.17 0.6 500 1 6 700
Quartz (fused silica) 70 75 0.17 0.6 > 600 (8 GPa) 1.4 670
Silicon nitride (Si3 N4 ) 170290 0.27 3 1450 15 710
Diamond 1050 0.104 1.5 80008500 630 502
Nickel (Ni) 200 0.31 13.4 7001000 90 444
TiN 600 0.25 9.4 2000 19 600
PDMS 0.000360.00087 0.5 310 22 0.15 1460

for a specific application. Both the processing proper- mized for greater etching resistance or better flow at
ties as well as those for the final application purpose lower temperatures. In Table 9.3 we give an overview
have to be considered. Many of the resists, as used of NIL resists with references to further information
for PL and EBL [9.161, 162], exhibit thermoplastic be- on these materials. Further information can be found
havior. A typical example is PMMA, a regular linear in [9.90, 91, 163170].
homopolymer, with a short side-chain. It is used as UV-curable NIL materials are composed of a mix-
a high-resolution standard material for EBL and also as ture of monomers (or prepolymers) and a suitable
a bulk material for hot embossing and injection mold- photoinitiator, and often chemicals are added which
ing. For a long time it has been known that sub-10 nm decrease the effect of radical scavengers on photopoly-
resolution can be achieved [9.35]. PMMA is a low- merization [9.11, 48, 171178]. Immediately during
cost material, and available with different Mw values. contact of the stamp with the liquid mixture, filling
It is compatible with other cleanroom processes, ex- of the mold starts by capillary forces, which pulls the
hibits good coating properties using safer solvents, and stamp towards the substrate. Therefore, the general
can be coated from solution to a thickness ranging from strategy is that low viscosities are needed for both rapid
20 nm to several m. It has well-characterized optical, dispensing and filling of mold cavities. Thin resin lay-
mechanical, and chemical properties, and proved relia- ers on top of a thicker transfer layer are used to achieve
bility in many different applications. When used as an
etching mask, e.g., for Si, it exhibits a sufficient, but
not high etching resistance. The glass-transition tem- a)
perature Tg of PMMA (105 C) is low enough to enable
molding at temperatures below 200 C, but high enough
to ensure sufficient thermal stability in etching pro-
cesses. Acrylate-based polymers can also be used with
cross-linking agents. A further advantage of PMMA b) Grating
is that the process window, defined as the temperature
range between the lower temperature for viscoelastic
molding where relaxation due to frozen-in strain has
to be expected and the higher temperature where the Cavities Si
viscosity is so low that the onset of capillary bridges 1 m
(viscous fingering) will affect the residual layer homo-
Part A 9.3

geneity [9.19], is quite large. This enables imprinting Fig. 9.17a,b Reverse microfluidic channels fabricated by
to be optimized by using tradeoffs between differ- double-sided imprinting: (a) 3-D schematic of a re-
ent parameters according to Stefans equation. Apart sist with a top grating and embedded channels. SEM
from PMMA, during the first 10 years of NIL, a num- micrographs of cross-sections of imprinted nanofluidic
ber of resists have been developed and characterized; channels: (b) 3000 nm (width) 200 nm (height) channels,
they exhibit different Tg values, and have been opti- with a 700 nm-pitch grating on top (after [9.140])
290 Part A Nanostructures, Micro-/Nanofabrication and Materials

a homogeneous film thickness. Cross-linking and pho- stability (lifetime and wear), thermal expansion coeffi-
topolymer conversion is adapted to achieve high curing cient and Poissons ratio (dimension mismatch leading
speed and high etch resistance in the following break- to distortions during demolding), roughness (higher de-
through plasma etching process. molding force and greater damage), Youngs modulus
In UV-NIL a chemical reaction between the stamp (bending), and notch resistance (lifetime and handling).
and resist cannot be excluded. Small feature sizes along Issues related to fabrication are processibility (etching
with high silicon content and a large degree of cross- processes, selectivity, cleanroom environment) and sur-
linking make any residual imprint polymer left on the face quality (resolution). Use of a stamp material in
mold almost impossible to remove from the template a NIL process is determined by additional properties
without damaging the expensive quartz template. It such as transparency, conductivity, antisticking prop-
has been shown that a fluorosilane release layer ap- erties (with or without an antiadhesive coating, e.g.,
plied to a UV-NIL stamp undergoes attack by acrylate, a covalent coating), availability and cost (standard ma-
methacrylate, and vinyl ether UV-curable resist sys- terials and sizes, tolerances, processing equipment and
tems, indicating that its degradation is intrinsic to the time), and how easy it is to employ in NIL (e.g., fix-
chemistries involved. Future resist chemistries have to ing by clamping, thermobonding, gluing). In Table 9.4
satisfy the criterion of low reactivity toward antiadhe- we give a brief overview of the mechanical and ther-
sive coatings and stamp materials [9.179, 180]. mal properties of materials used for stamps. Further
information can be found in [9.27, 100, 156, 181194].
9.3.2 Stamp Materials
9.3.3 Stamp Fabrication
Not only the mechanical but also the optical and chem-
ical properties are important when choosing a stamp Any kind of process generating a surface profile in
material for NIL. Critical mechanical parameters and a hard material can be used to fabricate stamps for NIL.
their implications for NIL are hardness and thermal The most common lithographic processes are based
on resist patterning with subsequent pattern transfer.
Therefore the requirements for these processes such as
resolution, aspect ratio, depth homogeneity, sidewall
roughness, and sidewall inclination are similar to the
processes presented before in this chapter. For highest
resolution, both serial and parallel fabrication methods
are available, however, with different area, through-
NIL NIL put, and freedom of design. The processes are standard
0th generation 1st generation 2nd generation
stamp(positive) stamp(negative)
processes for nanolithography, which also can be used
stamp(positive)
directly for patterning. When using them for the fabri-
cation of stamps, apart from higher throughput, greater
flexibility and reproducibility can be achieved. Using
stamp copies instead of the original is a way to enhance
Low aspect ratio Low aspect ratio High aspect ratio the lifetime of a stamp, simply because the original
is reserved for the copying process. There are differ-
Sieve device ent methods to generate copies from hard masters with
3rd generation
(negative) proved resolutions below 100 nm:

Electroplating is a commercially successful method


to copy an original into a metal replica. The nickel
shims used in CD manufacturing support tens of
Part A 9.3

Fig. 9.18 Process chain from stamp origination to application: the thousands of molding cycles without significant
example of a porous membrane chip as shown in Fig. 9.14. The low- wear. The original, a patterned resist or etched relief
aspect-ratio original stamp fabricated by EBL and RIE is transferred on a glass master, is often lost during the transfer to
into a high-aspect-ratio stamp by two consecutive NIL copying nickel, therefore only after a first-generation nickel
steps, providing increased lifetime of the original and greater flexi- copy is drawn can further generations be repeatedly
bility copied from it.
Nanoimprint Lithography Patterning of Resists Using Molding 9.3 Tools and Materials for Nanoimprinting 291

Using the hard master with an etched surface relief sidewall roughness should be elastically absorbed by
directly as a mold is a straightforward approach if the molded material, while the surface maintains its an-
the mechanical setup allows or favors the use of sil- tisticking properties. Because the molded polymer film
icon (Si) or fused silica (SiO2 ). Stamp copies can be is squeezed between the two surfaces of stamp and sub-
fabricated using NIL and subsequent pattern trans- strate, they need to exhibit opposite surface properties.
fer (Fig. 9.18). Molds made from silicon wafers are The adhesion at both interfaces must be different to an
well suited to use as stamps in NIL, and have even extent that, while the polymer film adheres perfectly to
shown their mass-fabrication capability in CD in- the substrate surface, the stamp can be separated from
jection molding. For UV-NIL such as SFIL, molds the structures without any damage at any location of the
were successfully made using standard mask blanks stamp. If the stamp material does not exhibit good an-
(fused silica). tisticking properties to the molded material, the stamp
As a third solution a polymer or solgel layer with has to be coated with a thin antiadhesive layer. A low-
an imprinted surface relief can be directly used as surface-energy release layer on stamp surfaces not only
a replication tool. This is possible if the thermo- helps to improve imprinting quality, but it also signif-
mechanical replication process does not exert high icantly increases stamp lifetime by preventing surface
forces on the relief structure. Resist hardened by contamination. An antiadhesive coating has to be chem-
light, heat or by chemical initiation may support ically inert and hydrophobic but at the same time allow
high temperatures and can be used repeatedly in filling of the mold cavities when the polymer is in its
NIL. However, the lifetime of polymeric molds is viscous state.
still low, and good solutions for antiadhesive coat- One of the major advantages of using Si or SiO2
ings have to be found. stamps for NIL is that they can be coated with anti-
Hybrid molds use different materials for the surface sticking films using silane chemistry. Damage to the
relief and the support. They consist of a sub- molded structure during demolding is highly depen-
strate plate as a mechanical support covered with dent on the quality of the antiadhesive layer. Fluorinated
a thin polymer layer with nanostructured relief. trichlorosilanes with different carbon chain lengths are
In the case of NIL they have the advantage that commonly used due to their low surface energy, high
a substrate material can be chosen with thermome- surface reactivity, and high resistance to temperature
chanical properties adapted to the substrate to be and pressure. They support multiple long embossing se-
patterned. Furthermore this approach is useful if quences with repeated temperature cycles higher than
thin flexible substrates are needed. 200 C. Currently it seems that, as long as mechanical
abrasion can be avoided, the silanes match the normal
The methods differ mostly in the properties of the use lifetime of a Si stamp, which is some tens of cy-
materials used for the stamps (mechanical robustness, cles for NIL in a laboratory environment or thousands
thermal expansion coefficient, transparency, fabrication if automated step-and-repeat imprinting processes or
tolerance) and the surface properties of the patterned injection molding processes are used. However, the low-
relief (antiadhesive coating possibility). Although for energy surface that a fluorosilane layer presents is not
many applications electroplating of metal molds is fa- unreactive, and it is rapidly and easily degraded during
vored because of their great flexibility and robustness use, particularly at high temperatures (above 200 C)
compared with silicon, the effort to fabricate high- and by chemical attack by the abundant free radicals
quality mold inserts with defined outlines is often only present in curable resists. Therefore not only the chem-
justified for production tools. istry of resists has to be taken into account to improve
the lifetime of stamps, but strategies such as recoating
9.3.4 Antiadhesive Coatings have to be considered. Apart from silicon wafers, which
have the advantage that they are suitable for standard
One of the most important tasks for NIL is to cleanroom processing, other materials to be used as NIL
Part A 9.3

provide stamps with good antisticking surface prop- stamps, e.g., nickel (Ni) shim or duroplastic polymers,
erties [9.195202]. The stamp surface should allow can also be coated with silanes if an intermediate SiO2
the molded surfaces to detach easily from the mold, layer is deposited onto the materials. The silane coating
and once released, provide a low friction resulting in can be performed by immersion in a solution of iso-
a continuous vertical sliding movement without stick- octane, or by chemical vapor deposition (CVD), either
ing. Nanoscopic interlocking of structures caused by at ambient pressure by heating the silane on a hot plate
292 Part A Nanostructures, Micro-/Nanofabrication and Materials

and temperature, due to imperfections and tolerances in


Cl Cl H H F F F F F F
stamps and substrates, and simply because the stamp
Si protrusions are inhomogeneously distributed. In mold-
Cl F F13-OTCS
H H F F F F F F ing of microstructures, where deep channels with lateral
(Tridecafluoro-1,1,2,2-tetrahydroOctyl)TriChloroSilane and vertical sizes in the range of 50 m have to be
molded, the stamps are made stiff, and precise repro-
Fig. 9.19 Molecular structures of a fluorinated silane with ducible vertical piston movements within some tens of
a reactive trichlorosilane head group and a long alkyl chain m have to be realized with good fidelity. NIL would
with fluorine substituents (length about 2 nm). The silane need precision of a few tens of nm, which does not
binds covalently to the silicon oxide of the stamp surface correspond to the tolerances of some m usual for sub-
and is used as the standard coating of silicon stamps in NIL strates and tools. Therefore NIL stamps have to be
flexible, and must be made to adapt to small vertical
or by applying a moderate vacuum of some mbar. One deviations from an ideally flat surface over a long lat-
of the most prominent advantages of the vapor depo- eral range. These deviations are the dimensions of the
sition method is that it is not affected by the wetting fabrication tolerances of common templates for stamps
ability of a surface, and that it is suitable for stamp and substrates, and the density variations of the stamp
surfaces with extremely small nanostructures. surface relief.
A commercially available silane that is used is Embossing machines generate a desired pressure
shown in Fig. 9.19. F13 -OTCS = (tridecafluoro-1,1,2,2- pattern over the total area of the stamp. High through-
tetrahydrooctyl)-trichlorosilane is the standard mater- put for manufacturing devices at the full wafer scale
ial for antiadhesive coatings on silicon (ABCR SIT can be achieved either by parallel patterning of large
8174) [9.203]. areas or by fast repeated patterning using a semiserial
stepping process. The pressure field can also be applied
9.3.5 Imprinting Machines sequentially by using a rigid but stepped embossing
mechanism, as used in millipede stamps (Chap. 45), or
NIL can be carried out using three different types a continuously scanned pressure field, as used in roll
of machines: single step (Fig. 9.20a,b), step-and-repeat embossing (Fig. 9.21). In all cases a defined area of
(Fig. 9.20c), and roller imprinting. An imprinting ma- the molding material is sandwiched between the solid
chine needs a precise pressing mechanism with high stamp and substrate, which are backed by a pressing
requirements on mechanical stiffness, uniformity, and mechanism. The major differences lie in the fact that
homogeneity over large areas [9.25,68,204209]. At the single-step imprinting processes might not be easily
same time it should adapt to local variations of pressure transferable to continuously repeated imprints, where

a) b) c)
Part A 9.3

Fig. 9.20ac Three examples of NIL presses. (a) Simple hydraulic press, with temperature-controlled pressing plates.
(b) Semiautomated, hydraulic full-wafer NIL press, based on an anodic bonder. (c) Automated step-and-flash UV-NIL
production tool
Nanoimprint Lithography Patterning of Resists Using Molding 9.3 Tools and Materials for Nanoimprinting 293

a) b) c1)
1. Press Stamp
Polymer
Roller
Polymer
2. Lift
Substrate
Substrate

4. Press
3. Step c2)
Imprint +
RIE
Roller
5. Lift Polymer

Substrate

Fig. 9.21ac Outline of the three most common types of NIL machines: (a) full-wafer parallel press, (b) step-and-repeat
press, and (c) two roll-embossing setups

previously structured areas should not be affected by cushion balances thickness variations due to both tol-
imprints in the close vicinity (e.g., reheating of already erances of the setup and the nature of the molding
molded resist over Tg in thermal NIL and cross-linking process. The latter is caused by the fact that the size
of resist outside the stamp area in UV-NIL). In PL, step- and shape of the stamp surface relief leads to local
ping was needed because of the limitation of the maxi- pressure variations during the squeeze flow and, if the
mum field size to be exposed, and because the continu- stamp can bend, to local differences in the sinking
ous reduction of structure sizes and diffraction effects velocity. When using thick polymer plates, for which
was only possible by optical reduction of the mask- molding leads to surface modulation of a bulk mater-
ing structures into the resist by high-resolution optics. ial, the cushion is formed by the viscous material itself.
Furthermore this enabled a noncontact process to be es- However, in NIL, a thickness profile has to be gener-
tablished, while 1 : 1 imaging of a mask structure would ated in a resist whose thickness is often lower than the
have lead to unwanted reduction of the proximity gap. thickness tolerances of the substrates and mechanical
setup used. Furthermore height defects in the range up
Single-Step Wafer-Scale NIL to some m, such as dust particles, have to be equi-
Single-step NIL machines pattern the surface on an en- librated. Therefore the cushioning has to be achieved
tire wafer in one step. Thus the stamp must have the by the pressing mechanism, and its ability to compen-
same size as the wafer to be patterned. The simplest sate has to be larger than the defects and tolerances of
mechanism for full wafer imprinting is a parallel-plate the stamps and substrates. Lateral spreading and disper-
embossing system. A linear movement of the piston be- sion of the applied pressure can be achieved by using
hind the stamp leads to local thinning of the polymer a spring mechanism, which can consist of an additional
under the stamp protrusions, which is possible because plastic or elastic layer; e.g., a mattress made of rubber
the polymer is moved from squeezed areas into voids (silicone, polydimethylsiloxane (PDMS), Viton), poly-
in the stamp. This movement can be generated us- tetrafluoroethylene (PTFE, Teflon) or elastic graphite
ing pneumatic, hydraulic, or motor-driven pistons. The can be used. The thickness has to be chosen in order to
Part A 9.3

pressure must be maintained during the whole mold- achieve equilibration of a few micrometers, for which
ing process, until the voids are filled, and the molded some 100 m are sufficient. Due to the high pressure
structures are fixed during the cooling or curing step, used in NIL, compensation of small wedges, i. e., non-
depending on the method used. However, under nor- parallel alignment, is not needed. The applied pressure
mal process conditions, embossing with a hard master of the large backing plate is then spread into infinites-
does not work without a cushioning mechanism. This imal small area elements behind the stamp, and is able
294 Part A Nanostructures, Micro-/Nanofabrication and Materials

to compensate for pressure variations occurring dur-


ing the lateral flow of the molding material. By using Step-and-stamp imprint lithography (SSIL)
this method the height requirements on the substrate T
surface and material can be minimized and continuous
imprinting in all areas is enabled. Even better pressure
homogeneity can be obtained when the cushion effect (T)
is generated by compressed air or liquid. This can be
realized by forming one stamp by a pressure chamber
sealed against the backside of the stamp. In practice this Step-and-flash imprint lithography (SFIL)
is realized by placing a metallic or polymeric membrane UV
source
between the pressure chamber and the stamp, which
deforms around the stamp and substrate, and which is
sealed with the counterforce of the stamper [9.11, 13].
The advantage of this soft stamping method is that
a very gentle contact between stamp and substrate can
be achieved by adjusting the air pressure, so that the Fig. 9.22a,b Step-and-repeat processes. (a) In NIL: step-
surface can assume parallel alignment before the mold- and-stamp imprinting lithography (SSIL), and (b) in
ing starts. During molding the pressure is equilibrated UV-NIL: step-and-flash imprint lithography (SFIL). While
without delay, which assures a constant pressure in all in SFIL the liquid resin is cured locally by exposure
areas of the stamp, only limited by the bending of the through the stamp, in SSIL the resist is locally heated
stamp. above its glass-transition temperature by the hot stamp (T
All press concepts can be realized with heating denotes a temperature often set above room temperature)
elements for NIL, or with a UV exposure tool that
enables exposure of the resist during molding. Further- While this setup enables the use of smaller and more
more, combinations of thermoplastic molding and UV cost-effective molds, with which higher alignment accu-
exposure are possible. The main difference between racy can be achieved, higher process times and stitching
thermoplastic molding and UV imprinting is the pres- errors at the borders of the patterned fields have to be
sure needed for embossing. Pressures from 1 to 100 bar taken into account. In the case of NIL heating and cool-
are used in NIL, while < 1 bar is sufficient in UV-NIL. ing times can be reduced because of the lower thermal
mass, and or in the case of UV-NIL smaller exposure
Step-and-Repeat NIL fields may be an advantage.
Step-and-repeat NIL machines are physically identical In thermal NIL the thermal mass of the parts be-
to single-step NIL machines. They pattern a smaller ing thermally cycled should be minimized, in order
area of a wafer at a time, and then move to an unpat- to reduce the obtainable process time. This problem
terned area, where the process is repeated (Fig. 9.22). is readily addressed in step-and-stamp (SSIL) and in
The process is continued until the whole wafer is pat- roll-embossing (roll-to-roll) approaches, but has also
terned. This enables the imprinted area to be enlarged by found a solution in the concept of heatable stamps [9.12,
repeated printing with a smaller stamp, as long as sub- 210] or by surface heating by means of pulsed laser
sequent imprints do not affect adjacent patterned areas. light [9.211].

9.4 Nanoimprinting Applications


9.4.1 Types of Nanoimprinting Applications structure is used as a temporary masking layer for a sub-
Part A 9.4

sequent pattern-transfer step. In the second category,


NIL applications can be as manifold as those of other polymer devices, the imprinted pattern adds function-
lithographic patterning methods. The applications can ality to the polymer film, which is the end product.
be divided into two main categories: pattern-transfer ap- In many pattern-transfer applications, the main issue
plications and polymer devices. In the first category, is high throughput at nanoscale resolution. Disregard-
pattern-transfer applications, the nanoimprinted resist ing this issue, it is of minor importance whether the
Nanoimprint Lithography Patterning of Resists Using Molding 9.4 Nanoimprinting Applications 295

resist film is patterned by means of electromagnetic ra- ing thin films of organic light-emitting materials and
diation, electrons or by mechanical deformation. Only polymers doped with laser dyes to create organic light-
a few steps in the process flow are different, for exam- emitting devices (OLED) [9.220,221] and lasers [9.155,
ple, the dry etch step to remove the 10100 nm-thick 222, 223]. NIL is also suitable for nanoscale patterning
residual polymer layer after the imprint. Both additive of conducting organic films for cost-effective organic
and subtractive processes have been demonstrated, as electronics [9.224].
discussed in Sect. 9.2. Sometimes even the resist is the Within the rapidly growing field of lab-on-a-chip
same, for example, PMMA, which is a widely used re- applications [9.225], NIL offers an attractive, cost-
sist for both EBL and NIL. The advantages of NIL come effective method for molding of complex structures,
into play if high resolution is needed over a large area. integrating micro- and nanofluidics, optics, mechan-
For such applications, NIL is a cost-effective alternative ics, and electronics on a single chip [9.226]; for
to current cutting-edge lithography techniques such as example, the micro- to nanoscale fabrication capabil-
deep-ultraviolet (DUV) lithography [9.212], dedicated ities are used to create single-use polymer devices
to CMOS chip manufacturing. The cost of owner- containing nanopillar arrays [9.227] and nanofluidic
ship for NGL technologies, such as extreme-ultraviolet channels [9.228] for DNA separation and sequencing.
(EUV) lithography [9.213], is reaching a level that In this section we will give an overview of differ-
requires extremely high production volumes to be eco- ent fields of applications. We start with two examples
nomically viable. This development has already forced of pattern-transfer applications that are close to pro-
several branches of the electronics industry to explore duction: patterned media for HDD, and subwavelength
NIL as an alternative fabrication method. Examples of metal wire gratings for HDTV projectors. We then
such products are patterned media for hard-disk drives discuss a few examples of laboratory-scale potential
(HDD) [9.214, 215], surface acoustic wave (SAW) fil- high-impact applications of NIL. These examples were
ters for cell phones [9.27,216], and subwavelength wire selected from a large number of NIL applications. The
grid polarizers for high-definition TV (HDTV) pro- number of laboratory-scale NIL applications is rapidly
jectors [9.217]. Even the semiconductor industry was growing, reflecting a wealth of new possible device
considering NIL as possible NGL to deliver the 32 nm architectures becoming feasible by NIL. Some of the
node and beyond [9.14]. For chip manufacture the abil- applications are directly relevant for industrial produc-
ity to print smaller features sizes is the most important tion, and others are directed towards research. Even
issue, because NIL simply does not have the restrictions in research the nanostructuring capability of replica-
encountered by optical methods and already now of- tion processes are needed. Further insight into this field
fers a resolution higher than the next technical nodes. is given in Sect. 9.2.6 about pattern transfer and in
Among other the major technological challenges to be Sect. 9.5 about commercialization aspects of NIL.
solved are: overlay accuracy, low defect density, er-
ror detection in high resolution stamps and imprints, 9.4.2 Patterned Magnetic Media
fast imprint cycles, and critical dimension (CD) control. for Hard-Disk Drives
In addition to the high resolution, the NIL technique
also offers capability for 3-D or multilevel imprinting, Since the first demonstration of NIL, patterned mag-
when the stamp is patterned with structures of different netic media for HDD has been a key application for NIL
heights (Sect. 9.2.8). technology [9.229]. After the invention of the HDD in
The NIL process offers new possibilities to form 1957, the storage capacity, quantified in areal density
polymer devices with microscale to nanoscale fea- of bits, has been increased to the current (2008) level
tures. Nanoscale-patterned polymer films find a wide of 178 Gb/inch2 in data storage applications. The size
range of applications within optics, electronics, and of the individual bits, defined by local magnetization of
nanobiotechnology. The capability to form 3-D polymer a homogeneous (unpatterned) thin magnetic film, was
structures, with curved surfaces and high aspect ratio, reduced, and the bit density increased, by the applica-
Part A 9.4

paves the way for new classes of polymer-based passive tion of multilayer magnetic films as recording media;
optical devices, such as lenses and zone plates [9.126], the sensitivity of the read head was increased by ex-
photonic crystals (PhC) [9.100,218,219], and integrated ploiting the giant-magnetoresistance effect in multilayer
polymer optics [9.147]. The NIL technique allows for thin-film conductors [9.230]; and the magnetization was
choosing a wide range of polymers with optimized applied perpendicular to the surface of the recording
optical properties [9.153, 219], and allows for pattern- media, while microelectromechanical systems (MEMS)
296 Part A Nanostructures, Micro-/Nanofabrication and Materials

technology for the mechanical parts has been developed mer was removed by oxygen plasma, and the disk was
to a rather extreme level: In current HDDs the read then sputter-coated with a CrX/Co-alloy double-layer
write head flies at a height of 23 nm above the surface magnetic thin film. These devices were designed for
of the disk plate. An overview of HDD technology is in-plane, i. e., longitudinal magnetic polarization, but
given in [9.231]. This current level of storage density is DTR media for perpendicular polarization have also
projected to increase by three orders of magnitude over been realized by EBL and RIE etching of the magnetic
the next 10 years, in order to meet market requirements. film [9.234].
The possibilities to increase the bit density with The DTR media technology offers the possibility to
current technology, where bits are written by local mag- regain the loss in electrical signal-to-noise ratio, as the
netization of an unpatterned thin magnetic film, are magnetic bit size is reduced. However, with decreas-
mainly limited by the readwrite width, the positioning ing bit size that is necessary to follow the roadmap,
of the magnetic head, and by thermal instability induced the technology will be limited by thermal instabilities,
by superparamagnetism in the grains of the magnetic or superparamagnetism. The magnetic film consists of
film. These challenges are addressed by patterning the small, weakly coupled magnetic grains, which behave
magnetic film. as single-domain magnetic particles. Each bit con-
Discrete track recording (DTR) media [9.232], sists of the order of 100 grains (domains with single
where the magnetic film is patterned with a spiral land crystalline orientation) to obtain a reasonable signal-
and groove track, have been developed to overcome the to-noise ratio. In order to keep this ratio of grains per
problems associated with the readwrite width and po- bit, the grain size must be reduced with the bit size.
sitioning of the magnetic head (Fig. 9.23a). The idea The magnetic energy of a single grain scales with the
of DTR media is more than 40 years old [9.232], but volume of the grain. This implies that the bit can be
has not been implemented in production due to the lack erased thermally, when the grain size becomes suffi-
of a nanolithography process that meets the demanding ciently small and weakly coupled to neighboring grains.
requirements for the surface smoothness of the disk sur- This is referred to as the superparamagnetic limit.
face [9.233] and that is suitable for large-scale low-cost The superparamagnetic limit can be overcome
fabrication. Researchers at WD Media (formerly Ko- by lithographically defining each bit, as a magnetic
mag Inc.) have demonstrated a cost-effective process for nanoparticle, or nanomagnet [9.230, 235, 236]. In such
volume manufacturing of DTR media, based on double- a quantized magnetic disk [9.235] each magnetic
sided thermal NIL with a commercially available resist nanoparticle is a single magnetic domain with a well-
and wet etching on a 95 nm-diameter nickel phospho- defined shape and uniaxial magnetic anisotropy, so the
rous (NiP)-plated Al:Mg disk [9.214]. The process steps magnetization only has two possible stable states, equal
are outlined in Fig. 9.23b. The nickel stamps with track in magnitude but opposite in direction, as illustrated in
pitches down to 127 nm, corresponding to an areal den- Fig. 9.24. Such defined bits can be thermally stable for
sity of 200 Gb/inch2 , were electroformed from a silicon sizes down below 10 nm [9.215].
master, which was patterned either by laser-beam or The feasibility of NIL for fabrication of patterns of
electron-beam writing, equipped with a rotating stage magnetic nanostructures for quantized magnetic disks
with radial beam positioning. After etching, the poly- has been investigated by several research groups, as re-

a) b) 1 Ni stamper

Fig. 9.23 (a) Outline of a DTR


medium showing the land and groove
structure, patterned into a NiP-plated
NiP substrate
Sputtered 2 Al:Mg substrate. The magnetic thin
film film is sputtered onto the patterned
Part A 9.4

Write & substrate. An improved signal-to-


3 noise ratio can be obtained by making
read head
the magnetic read and write heads
4 wider than the land width. (b) Outline
Substrate of the NIL-based fabrication process
(after [9.214])
Nanoimprint Lithography Patterning of Resists Using Molding 9.4 Nanoimprinting Applications 297

cently reviewed in [9.237239]. The imprinted pattern


has been transformed to magnetic nanoparticles by elec-
Nonmagnetic
troplating into etched holes [9.99], by lift-off [9.240],
and by deposition onto etched pillars [9.215, 241]. Magnetic
N S N
In Fig. 9.25 we show the outline of the process flow
S N S
for large-area fabrication of 55 nm-diameter, 11 nm-
high CoPt magnetic islands [9.215], by means of N S N
UV-NIL. A SiO2 master containing three 50 50 m2
S N S
areas of hexagonal 100 nm-pitch array of 30 nm-high,
55 nm-diameter pillars was fabricated by defining the Substrate
dot pattern by means of EBL in a 160 nm-thick film
of Mw 950 k PMMA. The patterned PMMA film was
used in a lift-off process, to define a Cr etch mask.
The pillars were etched by tetrafluoromethane (CF4 )
RIE, and the metal mask was removed. The master Fig. 9.24 Outline of a patterned magnetic disk for high-density
was used to form a stamp in a photopolymer mater- data storage. Each bit is a lithographically defined, single-domain
ial. This stamp is used to UV-imprint the dot pattern magnetic nanostructure, embedded in a nonmagnetic matrix (af-
in a photopolymer film on a SiO2 substrate, leaving ter [9.229])
a replica in the photocured polymer, with 28 nm-
high pillars on top of a 10 nm-thick residual layer. polarization beam splitters in liquid crystal on silicon
The pattern was transferred to the SiO2 substrate by (LCoS) projection displays for HDTV, yielding higher
CF4 RIE to remove the residual layer, followed by contrast, uniformity, and brightness of the displayed im-
a (7 : 1)/(CF4 : CH4 ) RIE. Finally a CoPt magnetic age (Fig. 9.26).
multilayer structure (Pt1 nm (Co0.3 nm Pt1 nm )7 Pt1 nm ) was The polarizing functionality of subwavelength wire
deposited by electron-beam evaporation. The devices gratings is based on form birefringence, an optical
were characterized by magnetic force microscopy anisotropy which appears when isotropic material is
(MFM), revealing that the film on each pillar is structured on a length scale much smaller than the wave-
a magnetically isolated single domain that switches length of light . In this limit, the description of light
independently. propagation based on the laws of diffraction, refraction,
and reflection is not valid, and a rigorous solution of
9.4.3 Subwavelength Metal-Strip Gratings Maxwells equations with the relevant boundary condi-
tions must be applied. For a review of subwavelength
Metallic wire gratings with a period below 200 nm can optics see [9.242]. The subwavelength linear grating of
be used to create polarizers, polarization beam splitters, period d < /2, line width a, and height h, as illustrated
and optical isolators in the visible range. Such devices in Fig. 9.27, will behave as a film of birefringent mater-
have many applications in compact and integrated op- ial with refractive indices n s and n p for the s-polarized
tics. One example is the use of subwavelength wire-grid (E-field parallel to the grating) and p-polarized (E-field

Fig. 9.25 Outline of the process flow


1 m
for fabrication of 55 nm diameter
SEM SEM Photo- SEM AFM MFM
polymer magnetic islands by UV-NIL. The top
Part A 9.4

CoPt panel shows SEM, AFM, and MFM


micrographs at the different stages
SiO2 SiO2
of the process. The MFM micro-
Make master Form stamp Form replica Transfer pattern Evaporate graph shows quantized up and down
by e-beam by photo- by photo- by reactive ion magnetic
lithography polymerization polymerization etching film magnetization of isolated domains.
Reproduced from [9.215]
298 Part A Nanostructures, Micro-/Nanofabrication and Materials

(ratio Tp /Ts between the transmittance of the s-


Reflective Alignment and p-polarized components), and long-term stability
coating layer
PCB Transparent at high light-flux levels, temperature, and humidity.
mounting
electrode They can be manufactured in large volume by semi-
conductor fabrication processes. For applications in
C B Cover
glass liquid-crystal display (LCD) and LCoS projection de-
On vices, it is a key challenge to obtain a sufficiently
high extinction ratio, larger than 2000, at the shorter
Off A wavelengths, i. e., for blue light ( 450 nm). This
Light requires a pitch d of 100 nm or smaller, which is
Liquid source
crystal
not practical for producing with conventional optical
lithography. Yu et al. [9.244], demonstrated a large-
CMOS area (100 100 mm2 ) d = 100 nm by NIL. The stamp
Liquid gratings were formed by interference lithography us-
crystal
D Polarizers ing an Ar-ion laser ( = 351.1 nm) to achieve a pitch
around 200 nm, which was transferred to a SiO2 film
by RIE. The pitch was subsequently halved by spatial
Fig. 9.26 LCoS display for HDTV projection. A light source shines frequency doubling [9.244]: conformal CVD deposi-
through an external polarizing layer (A) that blocks all light except tion of Si3 N4 , and anisotropic trifluoromethane/oxygen
waves oriented in one plane. The liquid crystal layer (B) twists some (CHF3 /O2 ) RIE (Fig. 9.28). Researchers have real-
waves and lets others proceed unchanged to the reflective layer (C), ized d = 100 nm Al wire grating polarizers by thermal
depending on each pixels charge; from there they bounce back NIL. The process is outlined in Fig. 9.28. The large-
to another external polarizing layer (D). Here the untwisted light area grating stamp is fabricated by laser interference
passes through, and the twisted light is blocked (after [9.243]) lithography in photoresist, and transferred into the un-
derlying 200 nm-thick SiO2 film using CF4 and O2
s-polarized light RIE [9.217]. The 50 50 mm2 devices (Figs. 9.29 and
(parallel to grid) 9.30) have an extinction ratio over 2000 and a trans-
Unpolarized light
mittance above 85% in the blue, at = 450 nm. In
comparison, commercially available d = 140 nm wire
grid polarization beam splitters [9.245], fabricated by
p-polarized light optical interference lithography, have an extinction ratio
h (perpendicular
to grid)
around 1000 in the blue. Nanoimprinted subwavelength
polarizers for the infrared (1.0 m < < 1.8 m) are
d
a also commercially available [9.246], with a transmit-
tance above 97% and transmission extinction better than
Fig. 9.27 Subwavelength wire grid polarizer. By applica- 40 dB.
tion of subwavelength gratings, with a pitch below 100 nm
for visible light, first-order diffraction with a high accep- 9.4.4 High-Brightness Light-Emitting
tance angle and low dispersion birefringence is obtained Diodes

perpendicular to the grating) light GaN-based light-emitting diodes (LEDs) have large po-
  tential as energy-efficient, long-lifetime, environmental-
d d
n 2p = n 21 + 1 n 22 , friendly, and stable light sources, and are currently
a a entering a range of applications, such as full-color dis-
n2n2 plays and projectors, traffic lights, and automotive and
n 2s = d 2 1 2 d  2 ,
Part A 9.4

(9.6) architectural lighting. Due to the high refractive in-


a n2 + 1 a n1
dex of the semiconductor material, the emitted light is
where n 1 and n 2 are the refractive indices of the easily trapped in waveguide modes inside the device,
isotropic grating and fill materials, respectively. which strongly reduces the external efficiency of the
Subwavelength wire gratings have several advan- light source. The light extraction from the device can
tages in terms of large acceptance angle, large extinction be significantly enhanced by a patterning the surface
Nanoimprint Lithography Patterning of Resists Using Molding 9.4 Nanoimprinting Applications 299

Fig. 9.28 Outline of the NIL pro-


cess to fabricate d = 100 nm-pitch
aluminum wire grating polarizers
Aluminum Resist coating Stamp fabrication by
deposition laser interference lithography

Imprint (heat Demolding Residual layer Aluminum RIE


and pressure) removing

9.4.5 Polymer Optics


NIL is ideally suited for the fabrication of polymer
nanophotonics and waveguide devices with submicron
critical dimensions, defined over large areas. It is also
compatible with many polymer materials, giving great
freedom to choose a material with specific optical prop-
erties [9.222, 223, 249].
In Fig. 9.31 we show a polymer microring resonator
fabricated by NIL [9.147]. This type of device has been
realized in PMMA, PC, and PS on SiO2 substrates.
The resonator consists of a planar waveguide and an
Fig. 9.29 Subwavelength wire grating polarizer with d =
adjacent microring waveguide. The waveguide and mi-
100 nm pitch. The aluminum ribs are 100 nm high croring are coupled though the evanescent field in the
coupling region. Resonant dips in the transmission
with a 2-D photonic crystal [9.247, 248] an array of through the waveguide occur when the phase pick-up
holes with a photonic bandgap that prohibits prop- in a trip round the microring is equal to 2m, where m
agation of photons of frequencies within the bandgap, is an integer. The device works as a narrow-bandwidth
leading to enhanced extraction of photons through the filter, and finds applications within integrated optics and
surface of the device. Kim et al. [9.248] demonstrated for biosensing [9.47].
a ninefold enhancement of photoluminescence inten- The evanescent coupling coefficient between the
sity of GaN-based green LEDs by means of a 2-D PhC waveguide and microring depends exponentially on
structures of 180 nm-diameter, 100 nm-deep holes ar- the size of the gap. The devices are realized with
ranged in a square lattice with a period of 295 nm. The 1.5 m-high waveguides, and a coupling air-gap of
PhC pattern was defined by thermal NIL and RIE etch- 100200 nm. The process flow is outlined in Fig. 9.31c.
ing through a Cr mask. The NIL stamp was patterned A thin initial polymer layer is spin-cast onto a SiO2 sub-
by laser interference lithography. strate layer. The stamp has a very large fill factor and

Part A 9.4

Fig. 9.30 Large-area 100 nm-pitch


wire grid polarizer with 85% trans-
mission and extinction ratio larger
than 2000 at wavelength = 450 nm
(blue light)
300 Part A Nanostructures, Micro-/Nanofabrication and Materials

Fig. 9.31ac Nanoimprinted polymer


a) c) microring resonator. (a) SEM picture
Si substrate of the imprinted device (b) Cross
sectional SEM picture of the polymer
Imprinting and waveguides in the coupling region of
O2 RIE the microring device (c) Outline of the
process flow (after [9.147])

Polymer
b)
Thermal SiO2
Si substrate

Buffered HF etch

Si substrate

large protrusion areas, implying that a large polymer to nanostructured surface topography, which require
flow is needed to fill the stamp cavities. A thin residual nanometer-scale patterned surface areas in the mm2 to
polymer layer is obtained by combining a high imprint cm2 range.
pressure, a high process temperature, and a long imprint Nanofluidic channels can be used to stretch
time. The mode confinement in the PS waveguides is DNA [9.255, 256] for high-throughput linear analysis,
enhanced by etching the substrate oxide layer isotrop- measuring the length L of individual DNA molecules,
ically in hydrofluoric acid (HF), to create a pedestal or possibly sequencing by detection of fluorescent la-
structure. The Q-factor of the resonator device de- bels attached to specific DNA sequences [9.228]. The
pends critically on the surface scattering losses in the linear analysis relies on uniform stretching of DNA
waveguides. The surface roughness of the polymer molecules without coiling as they are driven through
waveguides can be reduced by a controlled thermal a narrow channel. This implies that the nanofluidic
reflow. The device is heated to 1020 C below the channel should have cross-sectional dimensions D
glass transition, and the surface reflows under the ac- close to or smaller than the persistence length of
tion of surface tension. A loss reduction of more than DNA, L p 50 nm [9.257]. The assumption of uniform
70 dB/cm was achieved by this approach [9.250]. stretching of the molecule also puts strong requirements
on channel sidewall smoothness.
9.4.6 Bio Applications Tegenfeldt et al. [9.255] investigated the dynam-
ics of genomic-length DNA molecules in 100 nm-wide
Micro- and nanofabrication technology has enabled nanochannels, defined by NIL. The device layout is
methods to manipulate and probe individual molecules shown in Fig. 9.32. Two microfluidic channels, AB and
and cells on a chip [9.251255]. This type of application DE, are connected by a 5 1 mm2 array of 100 nm-
often requires a large area covered with nanostruc- wide nanofluidic channels. The nanofluidic channel
tures. Sometimes a large number of identical devices array is defined by thermal NIL, and the pattern is
Part A 9.4

are needed for statistical evaluation, or to give redun- transferred into the silica substrate by metallization,
dancy, e.g., against clogging of nanofluidic channels. lift-off, and CF4 : H2 RIE. The microfluidic channels
With these requirements, NIL is advantageous, or some- are defined on a second silica substrate by UV pho-
times the only viable lithography method, even for tolithography (PL) and RIE, and fluidic access ports
laboratory-scale experiments and prototyping. Another are sandblasted. The two silica substrates are bonded by
example is devices for investigation of cell response cleaning the surfaces, using the so-called RCA protocol
Nanoimprint Lithography Patterning of Resists Using Molding 9.4 Nanoimprinting Applications 301

Fig. 9.32 Nanofluidic device for


high-throughput linear DNA analy-
sis. Microfluidic channels AB and
CD are connected via an array of
100 nm-wide nanofluidic channels.
The 5 1 mm2 nanofluidic channel ar-
ray is defined by NIL. The picture to
the right shows the finished device
package (after [9.255])

(standard wet chemical process for removal of par- by Thamdrup et al. [9.259] (Fig. 9.33). The devices
ticles and organic surface contamination [9.258]) before were fabricated by thermal NIL in low-Mw (50 k)
bonding at room temperature, and annealing at 100 C. PMMA using a 100 mm-diameter two-level hybrid
The microfluidic channels allow for fast transport of the stamp. The fluidic structures were sealed using ther-
DNA from the input port to the nanofluidic channels. mal fusion bonding. The line array of stamp protrusions
External electrodes are fitted in the access ports AE, to imprint the (250 250 nm2 ) nanochannels was de-
in order to apply a driving electric field, pulling the fined by EBL in SU-8 [9.135] and RIE etching in
DNA through the nanochannels. The DNA is marked a thermally grown oxide layer on a silicon wafer. The
with fluorescent dye molecules, which makes it possi- 1 m-high, 50 m-wide stamp protrusions for the mi-
ble to detect individual DNA molecules optically in the crofluidic load channels were subsequently formed by
nanochannels, by means of an optical microscope. UV-PL in a solgel process, using an organic-inorganic
Similar nanofluidic devices for DNA stretching hybrid polymer commercialized under the name Ormo-
can be fabricated in polymer at low cost and high comp [9.144]. The stamp is compatible with molecular
throughput in a single NIL process, as demonstrated vapor deposition (MVD), used for applying a durable
chlorosilane-based antistiction coating, and allows for
imprinting up to a temperature of 270 C. To benchmark
a) c) the device performance to conventional fused-silica
devices the extension of YOYO-1-stained T4 GT7
bacteriophage DNA inside the PMMA nanochannels
was experimentally investigated using epifluorescence
10 m microscopy. The measured average extension length
amounts to 20% of the full contour length, with
d) a standard deviation of 4%. These results are in good
agreement with results obtained by stretching DNA in
b) conventional fused-silica nanochannels.
Cell growth and adhesion can be strongly influenced
10 m
by surface topography on the micrometer to nanometer
length scale [9.260]. This has been exploited by Gade-
Fig. 9.33ad Nanofluidic channels fabricated in PMMA gaard et al. [9.261] to create a three-dimensional tubular
by a single thermal NIL step using a two-level stamp (af- scaffold for tissue engineering of blood vessels that re-
ter [9.259]). (a) V-shaped, microfluidic channels (50 m produce the basic structure of natural blood vessels:
wide and 1 m deep) are connected by an array of nanoflu- a layer of smooth muscle cells (fibroblasts) coaxially
idic channels, 250 nm wide and 250 nm deep. (b) Schemat- embedded between an outer collagen mesh and an inner
Part A 9.4

ics showing the conformation of linear DNA when linen of endothelial cells (Fig. 9.34). Such artificially
confined inside the poly(methyl methacrylate) (PMMA) grown blood vessels with tight control of cellular at-
nanochannels (de Gennes regime). (c) SEM picture of two tachment, migration, and growth are expected to reduce
nanoimprint stamp; (d) SEM picture of the imprinted de- problems with cellular debris and inflammation. This
vice before the channels are sealed by thermal polymer would be a major improvement compared with cur-
bonding of lid (after [9.259]) rent medical procedures, where polymer tubes are used
302 Part A Nanostructures, Micro-/Nanofabrication and Materials

100 nm
Fig. 9.34 Swiss roll tubular construct
for vascular tissue engineering
E

A, B

D
C
100 m

for vascular grafting. To facilitate cell growth which thick film polycaprolactone (PCL), which is a US Food
mimics the structure of blood vessels, the 3-D tubu- and Drug Administration (FDA)-approved biodegrad-
lar scaffold consists of a coaxial polymer layers with able polymer, and a thermoplastic with glass-transition
different surface topography, which selectively stim- temperature Tg 60 C, which was subsequently rolled
ulates growth of a particular cell type: muscle and up to form the required 3-D tubular structure. The
endothelial cells. A surface topography with nanometer- desired surface structure was realized on flat silicon
scale features on the inner surface favors adhesion and stamps by UV-PL and EBL, and negative stamp repli-
growth of endothelial cells. The bulk of the tube wall cas were formed in PDMS by casting and peel-off.
consists of microchannels with embedded micrometer- The PDMS stamp replicas were used for double-side
sized grooves which stimulate growth and adhesion of embossing on the PCL sheet. After embossing, the
muscle cells (fibroblasts). The scaffold is fabricated PCL sheet was rolled up to form the tubular scaffold
by multilevel thermal NIL in an approximately 30 m- structure.

9.5 Conclusions and Outlook


Technological development is heavily based on so- such as resist process technology, pattern gener-
called enabling techniques. For example, Gutenbergs ators, mask aligners, and etching and deposition
book printing with movable metal letters was based on facilities in a cleanroom environment. In the case
a combination of different existing techniques (large of thermal NIL it is advantageous that nanostruc-
wine presses and metallurgy for letter casting), solving tures can be replicated with simple molding tools,
throughput and flexibility problems, and was developed e.g., hot presses without alignment, thus making
at a time of globalization when information needed to it possible to integrate NIL into a simple device
be spread (around 1450 AD, only years before Colum- manufacturing. More sophisticated NIL machines
bus discovered the sea route to America) [9.262]. In are now available, typically for laboratory-type
a similar way, a new lithographic technique with micro- small-scale production. Standard mask aligners can
and nanopatterning capability, such as NIL, is not en- be upgraded to perform UV-NIL with moderate
tirely new, but is based on patterning techniques coming pressures. In combination with anodic bonders or
from silicon micromachining and compact-disc mold- microembossing tools they can be used for ther-
ing. In a time of technological dynamics it will lead to mal NIL. These setups allow alignment and provide
advances in different fields: increased reproducibility. The enterprises offering
Part A 9.5

equipment, stamps and materials for NIL are listed


In research, as long as machines are affordable and in [9.144, 263271].
reliable enough that they can replace or complement In industry, if they help to cross technological bar-
standard lithographic techniques. Many research in- riers, reduce cost, and enable to step into fields
stitutes and universities now have access to silicon reserved for high-throughput applications. Success
processing technology, which often comprises tools will also depend on whether they fit into the pro-
Nanoimprint Lithography Patterning of Resists Using Molding 9.5 Conclusions and Outlook 303

cess chain already established in a silicon cleanroom The 3-D patterning capability (Sect. 9.2.8) makes it
environment. Furthermore substrate sizes, through- possible to develop innovative pattern-transfer pro-
put, and yield have to correspond to the production cesses, thus leading to significant cost reduction.
needs. As in research, many of the machines already Similar advances could be achieved if materials with
available can be used for moderate-scale produc- new properties are patterned. This is mainly due to
tion. They can be scaled up to substrate sizes of the fact that NIL has the concept of displacing ma-
200 mm and higher in combination with batch-mode terial at the nanoscale rather than removing material
operation. More sophisticated are machines based selectively and locally. Often this goes along with
on step-and-repeat NIL, which can help to solve some tradeoffs on resolution and alignment, which
equilibration and overlay issues. Further improve- is justified depending on the application.
ments can be expected if new resists and process
schemes are developed. In order to achieve a criti- NIL has now passed a barrier from the laboratory
cal mass of technological expertise, the integration scale to industrial preproduction. Although it seems
of NIL into a consortium of technology providers is that room-temperature processes based on UV exposure
of advantage, making it possible for manufacturers have an advantage over processes based on thermo-
to buy standard equipment and materials, along with cycles, to date it is difficult to say which process
process knowhow. will become a standard process and make it to the
production line. For example, isothermal processes at
Until now NIL was considered as a very promis- elevated temperatures using hybrid processes that use
ing patterning method, because it combines resolution both thermal NIL and hardening by UV curing have
with large area and throughput. As long as it is seen been established [9.273]. With state-of-the-art UV-NIL
as an alternative to establish high-end photolithogra- equipment [9.268], more than six wafers per hour with
phies, the strategy will most likely be to replace a diameter of 200 mm can now be achieved in a step-
single lithographic steps by imprinting. The only con- and-repeat modus (using a stamp area of 45 60 mm2 ).
sequence in the multimasking process sequence needed Single-step wafer-scale hot embossing has similar capa-
in microchip fabrication would then be modifying the bilities, and can even push throughput further if heatable
pattern transfer process, e.g., by adding the resid- stamps with low thermal mass are used [9.210, 274].
ual layer etch (Sect. 9.2.6). The requirements of the However, NIL is currently such a fast-moving field that
ITRS roadmap are so high that other more estab- prejudgment about the final success of one technique is
lished NGLs might make faster advances towards the not possible or advisable. Innovative solutions are still
next node, and the introduction of NIL into large- needed to solve process and stamp lifetime issues for
scale fabrication would be further postponed (NIL many different applications. Probably, not only a sin-
was first added to the 2003 ITRS roadmap for the gle NIL process will be successfully implemented, but
32 nm node [9.14, 272]). However, NIL has other ca- many variants of NIL. This includes hybrid approaches,
pabilities, as demonstrated in Sect. 9.4, even if not e.g., NIL in combination with other lithographic pro-
all requirements of the ITRS roadmap are met at cesses, or the fabrication and copying of stamps using
once: NIL.
The aim of this chapter was to give an insight into
Enterprises with applications ranging from tem- the concepts used in NIL, along with presenting the ad-
plates for hard-disk production to SAW filters for vantages and limitations of processes ranging from tool
mobile phones, polarizers for flat-panel screens, and fabrication to pattern transfer. Although more referring
templates for biodevices are now heading into repli- to the older thermoplastic molding process, which is
cation techniques based on NIL processes. Most of the authors original field of expertise, it was intended
these processes are based on single layers covered to be general enough that future developments can be
with nanostructures, mostly regular high-resolution judged. The interested reader, however, will find more
Part A 9.5

gratings and dot arrays, and need single-step wafer- detailed information at technological conferences and in
scale replication tools for large areas. scientific publications, and also in the patent literature.
304 Part A Nanostructures, Micro-/Nanofabrication and Materials

References

9.1 E. Berliner: Gramophone, US Patent 372786 (1887), used for hot embossing lithography, Microelectron.
http://www.audioannals.com/berlinere.htm Eng. 54, 229245 (2000)
9.2 E. Berliner: Process for producing records of sound, 9.19 H. Schulz, M. Wissen, N. Bogdanski, H.-C. Scheer,
US Patent 382790 (1888), http://www.audioannals. K. Mattes, C. Friedrich: Impact of molecular weight
com/berlinere.htm of polymers and shear rate effects for nanoimprint
9.3 J.C. Ruda: Record manufacturing: making sound for lithography, Microelectron. Eng. 83, 259280 (2006)
everyone, J. Audio Eng. Soc. 25(10/11), 702711 (1977) 9.20 S.Y. Chou, P.R. Krauss, P.J. Renstrom: Imprint of sub-
9.4 K.C. Pohlmann: The Compact Disc Handbook, Com- 25 nm vias and trenches in polymers, Appl. Phys.
put. Music Dig. Audio Ser., Vol. 5, 2nd edn. (A-R Lett. 67(21), 31143116 (1995)
Editions, Madison 1992) 9.21 S.Y. Chou, P.R. Krauss, P.J. Renstrom: Nanoimprint
9.5 H. Schift, C. David, M. Gabriel, J. Gobrecht, lithography, J. Vac. Sci. Technol. B 14(6), 41294133
L.J. Heyderman, W. Kaiser, S. Kppel, L. Scandella: (1996)
Nanoreplication in polymers using hot embossing 9.22 S.Y. Chou: Nanoimprint lithography, US Patent
and injection molding, Microelectron. Eng. 53, 171 5772905 (1995)
174 (2000) 9.23 L. Baraldi, R. Kunz, J. Meissner: High-precision
9.6 S.Y. Chou, P.R. Krauss: Imprint lithography with molding of integrated optical structures, Proc. SPIE
sub-10 nm feature size and high throughput, Mi- 1992, 2129 (1993)
croelectron. Eng. 35, 237240 (1997) 9.24 J. Haisma, M. Verheijen, K. van den Heuvel, J. van
9.7 R.W. Jaszewski, H. Schift, J. Gobrecht, P. Smith: Hot den Berg: Mold-assisted lithography: A process for
embossing in polymers as a direct way to pattern reliable pattern replication, J. Vac. Sci. Technol. B
resist, Microelectron. Eng. 41/42, 575578 (1998) 14, 41244128 (1996)
9.8 Y. Xia, G.M. Whitesides: Soft lithography, Angew. 9.25 M. Colburn, S. Johnson, M. Stewart, S. Damle,
Chem. Int. Ed. 37, 550575 (1998) T. Bailey, B. Choi, M. Wedlake, T. Michealson,
9.9 B. Michel, A. Bernard, A. Bietsch, E. Delamarche, S.V. Sreenivasan, J. Ekerdt, C.G. Willson: Step and
M. Geissler, D. Juncker, H. Kind, J.-P. Renault, flash imprint lithography: A new approach to high-
H. Rothuizen, H. Schmid, P. Schmidt-Winkel, resolution patterning, Proc. SPIE 3676, 379389
R. Stutz, H. Wolf: Printing meets lithography: Soft (1999)
approaches to high-resolution, IBM J. Res. Dev. 9.26 D.J. Resnick, W.J. Dauksher, D. Mancini, K.J. Nord-
45(5), 697719 (2001) quist, T.C. Bailey, S. Johnson, N. Stacey, J.G. Ekerdt,
9.10 W. Menz, J. Mohr, O. Paul: Microsystem Technology C.G. Willson, S.V. Sreenivasan, N. Schumaker: Im-
(Wiley-VCH, Weinheim 2001) print lithography: Lab curiosity or the real NGL?,
9.11 H. Schift: Nanoimprint lithography: An old story in Proc. SPIE 5037, 1223 (2003)
modern times? A review, J. Vac. Sci. Technol. B 26(2), 9.27 D.J. Resnick, S.V. Sreenivasan, C.G. Willson: Step and
458480 (2008) flash imprint lithography, Mater. Today 8, 3442
9.12 H. Schift (Ed.): NaPa Library of Processes (NaPa- (2005)
consortium, 2008), http://www.napanil.org (last 9.28 M. Doi: Introduction to Polymer Physics (Clarendon,
access December 2009) Oxford 1996)
9.13 C. Sotomayor Torres: Alternative lithography 9.29 D.W. van Krevelen: Properties of Polymers (Elsevier,
Unleashing the potential of nanotechnology. In: Amsterdam 1990)
Nanostructure Science and Technology, ed. by 9.30 H. Schift, L.J. Heyderman: Nanorheology squeezed
D.J. Lockwood (Kluwer, New York 2003) flow in hot embossing of thin films. In: Alternative
9.14 International Technology Roadmap for Semiconduc- Lithography, Nanostruct. Sci. Technol., ed. by C. So-
tors, http://public.itrs.net/ (last accessed May 8, tomayor Torres (Kluwer, New York 2003) pp. 4676
2008) 9.31 H.-C. Scheer, H. Schulz, T. Hoffmann, C.M. Sotomayor
9.15 R. Compao (Ed.): Technology Roadmap for Nano- Torres: Nanoimprint techniques. In: Handbook of
electronics, European Commission IST Programme, Thin Film Materials, Vol. 5, ed. by H.S. Nalva (Aca-
Future and Emerging Technologies, 2nd edn. (Eu- demic, New York 2002) pp. 160, Chap. 1
ropean Commission, Brussels 2000) 9.32 M.D. Austin, H. Ge, W. Wu, M. Li, Z. Yu, D. Wasser-
9.16 H. Moore: Cramming more components onto inte- man, S.A. Lyon, S.Y. Chou: Fabrication of 5 nm
Part A 9

grated circuits, Electronics 38(8), 114117 (1965) linewidth and 14 nm pitch features by nanoimprint
9.17 S. Okazaki: Resolution limits of optical lithography, lithography, Appl. Phys. Lett. 84(26), 52995301
J. Vac. Sci. Technol. B 9(6), 28292833 (1991) (2004)
9.18 L.J. Heyderman, H. Schift, C. David, J. Gobrecht, 9.33 E.A. Dobisz, S.L. Brandow, R. Bass, J. Mitterender:
T. Schweizer: Flow behaviour of thin polymer films Effects of molecular properties on nanolithography
Nanoimprint Lithography Patterning of Resists Using Molding References 305

in polymethyl methacrylate, J. Vac. Sci. Technol. B 9.50 A. Lebib, Y. Chen, J. Bourneix, F. Carcenac,
18, 107111 (2000) E. Cambril, L. Couraud, H. Launois: Nanoimprint
9.34 A. Olzierski, I. Raptis: Development and molecular- lithography for a large area pattern replication, Mi-
weight issues on the lithographic performance croelectron. Eng. 46, 319322 (1999)
of poly (methyl methacrylate), Microelectron. Eng. 9.51 C. Gourgon, J.H. Tortai, F. Lazzarino, C. Perret,
73/74, 244251 (2004) G. Micouin, O. Joubert, S. Landis: Influence of resid-
9.35 M. Khoury, D.K. Ferry: Effect of molecular weight ual solvent in polymers patterned by nanoimprint
on poly(methyl methacrylate) resolution, J. Vac. Sci. lithography, J. Vac. Sci. Technol. B 22(6), 602606
Technol. B 14, 7579 (1996) (2004)
9.36 L.J. Fetters, D.J. Lohse, D. Richter, T.A. Witten, 9.52 Y. Hirai, M. Fujiwara, T. Okuno, Y. Tanaka, M. Endo,
A. Zirkel: Connection between polymer molecular S. Irie, K. Nakagawa, M. Sasago: Study of the resist
weight, density, chain dimensions, and melt vis- deformation in nanoimprint lithography, J. Vac. Sci.
coelastic properties, Macromolecules 27, 46394647 Technol. B 19(6), 28112815 (2001)
(1994) 9.53 Y. Hirai, T. Konishi, T. Yoshikawa, S. Yoshida:
9.37 A. Franck: Kunststoff-Kompendium, 4th edn. (Vogel, Simulation and experimental study of polymer de-
Wrzburg 1996) p. 255, in German formation in nanoimprint lithography, J. Vac. Sci.
9.38 C.B. Roth, J.R. Dutcher: Mobility on different length Technol. B 22(6), 32883293 (2002)
scales in thin polymer films. In: Soft Mater- 9.54 H.D. Rowland, W.P. King: Polymer deformation and
ials: Structure and Dynamics, ed. by J.R. Dutcher, filling modes during microembossing, J. Micromech.
A.G. Marangoni (Dekker, New York 2004) Microeng. 14, 16251632 (2004)
9.39 J.N. DAmour, U. Okoroanyanwu, C.W. Frank: Influ- 9.55 S. Zankovych, T. Hoffmann, J. Seekamp, J.-U. Bruch,
ence of substrate chemistry on the properties of C.M. Sotomayor Torres: Nanoimprint lithography:
ultrathin polymer films, Microelectron. Eng. 73/74, challenges and prospects, Nanotechnology 12(2), 91
209217 (2004) 95 (2001)
9.40 R.B. Bird, C.F. Curtis, R.C. Armstrong, O. Hassager: 9.56 M. Beck, M. Graczyk, I. Maximov, E.-L. Sarwe,
Dynamics of Polymeric Liquids (Wiley, New York 1987) T.G.I. Ling, M. Keil, L. Montelius: Improving stamps
9.41 L.G. Baraldi: Heiprgen in Polymeren fr die Her- for 10 nm level wafer scale nanoimprint, lithogra-
stellung integriert-optischer Systemkomponenten. phy, Microelectron. Eng. 61/62, 441448 (2002)
Ph.D. Thesis (ETH Zurich, Zurich 1994), Vol. 10762, in 9.57 D.-Y. Khang, H.H. Lee: Room-temperature imprint
German lithography by solvent vapor treatment, Appl. Phys.
9.42 M.J. Stefan: Parallel Platten Rheometer, Akad. Wiss. Lett. 76(7), 870872 (2000)
Math.-Naturwiss. Vienna 2(69), 713735 (1874), in 9.58 D.-Y. Khang, H. Yoon, H.H. Lee: Room-temperature
German imprint lithography, Adv. Mater. 13(10), 749751
9.43 J.-H. Jeong, Y.-S. Choi, Y.-J. Shin, J.-J. Lee, K.- (2001)
T. Park, E.-S. Lee, S.-R. Lee: Flow behavior at the 9.59 D.-Y. Khang, H. Kang, T.-I. Kim, H.H. Lee: Low-
embossing stage of nanoimprint lithography, Fibers pressure nanoimprint lithography, Nano Lett. 4(4),
Polym. 3(3), 113119 (2002) 633637 (2004)
9.44 H. Schift, S. Park, J. Gobrecht: Nano-imprint 9.60 H. Lee, G.Y. Jung: Full wafer scale near zero resid-
Molding resists for lithography, J. Photopolym. Sci. ual nano-imprinting lithography using UV curable
Technol. 16(3), 435438 (2003) monomer solution, Microelectron. Eng. 77(1), 4247
9.45 H.-C. Scheer, H. Schulz, T. Hoffmann, C.M. Sotomayor (2005)
Torres: Problems of the nanoimprinting technique 9.61 L. Tan, Y.P. Kong, S.W. Pang, A.F. Yee: Imprinting
for nanometer scale pattern definition, J. Vac. Sci. of polymer at low temperature and pressure, J. Vac.
Technol. B 16, 39173921 (1998) Sci. Technol. B 22(5), 24862492 (2004)
9.46 H.-C. Scheer, H. Schulz: A contribution to the flow 9.62 C. Finder, C. Mayer, H. Schulz, H.-C. Scheer, M. Fink,
behaviour of thin polymer films during hot em- K. Pfeiffer: Non-contact fluorescence measurements
bossing lithography, Microelectron. Eng. 56, 311332 for inspection and imprint depth control in nanoim-
(2001) print lithography, Proc. SPIE 4764, 218223 (2002)
9.47 L.J. Guo: Recent progress in nanoimprint technology 9.63 D. Jucius, V. Grigaliunas, A. Guobiene: Rapid evalu-
and its applications, J. Phys. D 37, R123R141 (2004) ation of imprint quality using optical scatterometry,
9.48 L.J. Guo: Nanoimprint lithography: Methods and Microelectron. Eng. 71, 190196 (2004)
Part A 9

material requirements, Adv. Mater. 19, 495513 9.64 A. Fuchs, B. Vratzov, T. Wahlbrink, Y. Georgiev,
(2007) H. Kurz: Interferometric in situ alignment for UV-
9.49 C. Gourgon, C. Perret, G. Micouin, F. Lazzarino, based nanoimprint, J. Vac. Sci. Technol. B 22(6),
J.H. Tortai, O. Joubert, J.-P.E. Grolier: Influence of 32423245 (2002)
pattern density in nanoimprint lithography, J. Vac. 9.65 Z. Yu, H. Gao, S.Y. Chou: In situ real time process
Sci. Technol. B 21(1), 98105 (2003) characterisation in nanoimprint lithography using
306 Part A Nanostructures, Micro-/Nanofabrication and Materials

time-resolved diffractive scatterometry, Appl. Phys. 9.82 M. Colburn, B.J. Choi, S.V. Sreenivasan, R.T. Bon-
Lett. 85(18), 41664168 (2004) necaze, C.G. Willson: Ramifications of lubrication
9.66 F. Lazzarino, C. Gourgon, P. Schiavone, C. Perret: theory on imprint lithography, Microelectron. Eng.
Mold deformation in nanoimprint lithography, J. 75, 321329 (2004)
Vac. Sci. Technol. B 22(6), 33183322 (2002) 9.83 A. Fuchs, M. Bender, U. Plachetka, U. Hermanns,
9.67 C. Perret, C. Gourgon, F. Lazzarino, J. Tallal, S. Landis, H. Kurz: Ultraviolet-based nanoimprint at reduced
R. Pelzer: Characterization of 8 in wafers printed by environmental pressure, J. Vac. Sci. Technol. B 23(6),
nanoimprint lithography, Microelectron. Eng. 73/74, 29252928 (2005)
172177 (2004) 9.84 M. Colburn, I. Suez, B.J. Choi, M. Meissl, T. Bai-
9.68 C. Gourgon, C. Perret, J. Tallal, F. Lazzarino, S. Lan- ley, S.V. Sreenivasan, J.G. Ekerdt, C.G. Willson:
dis, O. Joubert, R. Pelzer: Uniformity across 200 mm Characterization and modelling of volumetric and
silicon wafers printed by nanoimprint lithography, mechanical properties for step and flash imprint
J. Phys. D 38, 7073 (2005) lithography photopolymers, J. Vac. Sci. Technol. B
9.69 U. Plachetka, M. Bender, A. Fuchs, B. Vratzov, 19(6), 26852689 (2001)
T. Glinsner, F. Lindner, H. Kurz: Wafer scale 9.85 D.J. Resnick, W.J. Dauksher, D. Mancini, K.J. Nord-
patterning by soft UV-nanoimprint lithography, Mi- quist, T.C. Bailey, S. Johnson, N. Stacey, J.G. Ekerdt,
croelectron. Eng. 73/74, 167171 (2004) C.G. Willson, S.V. Sreenivasan, N. Schumaker: Im-
9.70 N. Roos, M. Wissen, T. Glinsner, H.-C. Scheer: Im- print lithography for integrated circuit fabrication,
pact of vacuum environment on the hot embossing J. Vac. Sci. Technol. B 21(6), 26242631 (2003)
process, Proc. SPIE 5037, 211218 (2003) 9.86 M. Otto, M. Bender, B. Hadam, B. Spangenberg,
9.71 D. Pisignano, A. Melcarne, D. Mangiullo, R. Cin- H. Kurz: Characterization and application of a UV-
golani, G. Gigli: Nanoimprint lithography of chro- based imprint technique, Microelectron. Eng. 57/58,
mophore molecules under high-vacuum conditions, 361366 (2001)
J. Vac. Sci. Technol. B 22(1), 185188 (2004) 9.87 B. Vratzov, A. Fuchs, M. Lemme, W. Henschel,
9.72 H. Schift, L.J. Heyderman, M. Auf der Maur, J. Go- H. Kurz: Large scale ultraviolet-based nanoimprint
brecht: Pattern formation in hot embossing of thin lithography, J. Vac. Sci. Technol. B 21(6), 27602764
polymer films, Nanotechnology 12, 173177 (2001) (2003)
9.73 S.Y. Chou, L. Zhuang: Lithographically induced self- 9.88 M. Komuro, J. Taniguchi, S. Inoue, N. Kimura,
assembly of periodic polymer micropillar arrays, J. Y. Tokano, H. Hiroshima, S. Matsui: Imprint char-
Vac. Sci. Technol. B 17, 31973202 (1999) acteristics by photo-induced solidification of liquid
9.74 S.Y. Chou, L. Zhuang, L.J. Guo: Lithographically in- polymer, Jpn. J. Appl. Phys. 39, 70757079 (2000)
duced self-construction of polymer microstructures 9.89 H. Schulz, H.-C. Scheer, T. Hoffmann, C.M. Sotomayor
for resistless patterning, Appl. Phys. Lett. 75, 1004 Torres, K. Pfeiffer, G. Bleidieel, G. Grtzner, C. Car-
1006 (1999) dinaud, F. Gaboriau, M.-C. Peignon, J. Ahopelto,
9.75 L. Wu, S.Y. Chou: Electrohydrodynamic instability B. Heidari: New polymer materials for nanoimprint-
of a thin film of viscoelastic polymer underneath ing, J. Vac. Sci. Technol. B 18(4), 18611865 (2000)
a lithographically manufactured mask, J. Non- 9.90 H. Schulz, D. Lyebyedyev, H.-C. Scheer, K. Pfeiffer,
Newton. Fluid Mech. 125, 9199 (2005) G. Bleidieel, G. Grtzner, J. Ahopelto: Mas-
9.76 E. Schffer, T. Thurn-Albrecht, T.P. Russell, ter replication into thermosetting polymers for
U. Steiner: Electrically induced structure formation nanoimprinting, J. Vac. Sci. Technol. B 18(6), 3582
and pattern transfer, Nature 403, 874877 (2000) 3585 (2000)
9.77 E. Schffer, T. Thurn-Albrecht, T.P. Russell, 9.91 K. Pfeiffer, M. Fink, G. Bleidieel, G. Grtzner,
U. Steiner: Method and apparatus for forming sub- H. Schulz, H.-C. Scheer, T. Hoffmann, C.M. So-
micron patterns on films, US Patent 07880075001 tomayor Torres, F. Gaboriau, C. Cardinaud: Novel
(1999) linear and crosslinking polymers for nanoimprint-
9.78 E. Schffer, U. Steiner: Methods and apparatus for ing with high etch resistance, Microelectron. Eng.
the formation of patterns in films using temperature 53, 411414 (2000)
gradients, European Patent PCT 124205.6 (2000) 9.92 S. Rudschuck, D. Hirsch, K. Zimmer, K. Otte, A. Braun,
9.79 K.Y. Suh, H.H. Lee: Capillary force lithography: large- R. Mehnert, F. Bigl: Replication of 3-D-micro- and
area patterning, self-organization, and anisotropic nanostrucutures using different UV-curable poly-
dewetting, Adv. Funct. Mater. 6/7, 405413 (2002) mers, Microelectron. Eng. 53, 557560 (2000)
Part A 9

9.80 Y. Hirai, S. Yoshida, N. Takagi: Defect analysis in 9.93 M. Sagnes, L. Malaquin, F. Carcenac, C. Vieu,
thermal nanoimprint lithography, J. Vac. Sci. Tech- C. Fournier: Imprint lithography using thermo-
nol. B 21(6), 27652770 (2003) polymerisation of MMA, Microelectron. Eng. 61/62,
9.81 Y. Hirai, T. Yoshikawa, N. Takagi, S. Yoshida: Me- 429433 (2002)
chanical properties of poly-methyl methacrylate 9.94 A. Abdo, S. Schuetter, G. Nellis, A. Wei, R. Engelstad,
(PMMA) for nanoimprint lithography, J. Photopolym. V. Truskett: Predicting the fluid behavior during
Sci. Technol. 16(4), 615620 (2003) the dispensing process for step-and-flash imprint
Nanoimprint Lithography Patterning of Resists Using Molding References 307

lithography, J. Vac. Sci. Technol. B 22(6), 32793282 mix&match process for fabrication of interdigitated
(2002) nanobiosensors, Microelectron. Eng. 53, 521524
9.95 Y. Hirai, H. Kikuta, T. Sanou: Study on optical (2000)
intensity distribution in photocuring nanoimprint 9.109 M. Beck, F. Persson, P. Carlberg, M. Graczyk, I. Max-
lithography, J. Vac. Sci. Technol. B 21(6), 27772782 imov, T.G.I. Ling, L. Montelius: Nanoelectrochemical
(2003) transducers for (bio-) chemical sensor applications
9.96 C.-H. Chang, R.K. Heilmann, R.C. Fleming, J. Carter, fabricated by nanoimprint lithography, Microelec-
E. Murphy, M.L. Schattenburg, T.C. Bailey, J.G. Ek- tron. Eng. 73/74, 837842 (2004)
erdt, R.D. Frankel, R. Voisin: Fabrication of sawtooth 9.110 H. Schift, L.J. Heyderman, C. Padeste, J. Gobrecht:
diffraction gratings using nanoimprint lithography, Chemical nano-patterning using hot embossing
J. Vac. Sci. Technol. B 21(6), 27552759 (2003) lithography, Microelectron. Eng. 61/62, 423428
9.97 L.J. Heyderman, H. Schift, C. David, B. Ketterer, (2002)
M. Auf der Maur, J. Gobrecht: Nanofabrication us- 9.111 S. Park, H. Schift, C. Padeste, J. Gobrecht: Nanos-
ing hot embossing lithography and electroforming, tructuring of anti-adhesive layer by hot embossing
Microelectron. Eng. 57/58, 375380 (2001) lithography, Microelectron. Eng. 67/68, 252258
9.98 P.R. Krauss, S.Y. Chou: Nano-compact disks with 400 (2003)
Gbit/in2 storage density fabricated using nanoim- 9.112 S. Park, S. Saxer, C. Padeste, H.H. Solak, J. Gobrecht,
print lithography and read with proximal probe, H. Schift: Chemical patterning of sub 50 nm half
Appl. Phys. Lett. 71(21), 31743176 (1997) pitches via nanoimprint lithography, Microelectron.
9.99 W. Wu, B. Cui, X. Sun, W. Zhang, L. Zhuang, Eng. 78/79, 682688 (2005)
L. Kong, S.Y. Chou: Large area high density quan- 9.113 D. Falconnet, D. Pasqui, S. Park, R. Eckert, H. Schift,
tized magnetic disks fabricated using nanoimprint J. Gobrecht, R. Barbucci, M. Textor: A novel
lithography, J. Vac. Sci. Technol. B 16(6), 38253829 approach to produce protein nanopatterns by com-
(1998) bining nanoimprint, lithography and molecular
9.100 H. Schift, S. Park, C.-G. Choi, C.-S. Kee, S.-P. Han, K.- self-assembly, Nano Lett. 4(10), 19091914 (2004)
B. Yoon, J. Gobrecht: Fabrication process for polymer 9.114 J.D. Hoff, L.-J. Cheng, E. Meyhofer, L.J. Guo,
photonic crystals using nanoimprint lithography, A.J. Hunt: Nanoscale protein patterning by imprint
Nanotechnology 16, S261S265 (2005) lithography, Nano Lett. 4(5), 853857 (2004)
9.101 M. Hartney, D. Hess, D. Soane: Oxygen plasma etch- 9.115 T. Schliebe, G. Schneider, H. Aschoff: Nanostructur-
ing for resist stripping and multilayer lithography, ing high resolution phase zone plates in nickel and
J. Vac. Sci. Technol. B 7, 113 (1989) germanium using cross-linked polymers, Microelec-
9.102 W. Pilz, J. Janes, K.P.M. Mller, J. Pelka: Oxygen re- tron. Eng. 30, 513516 (1996)
active ion etching of polymers Profile evolution 9.116 G. Simon, A.M. Haghiri-Gosnet, F. Carcenac,
and process mechanisms, Proc. SPIE 1392, 8494 H. Launois: Electroplating: an alternative transfer
(1990) technology in the 20 nm range, Microelectron. Eng.
9.103 B. Heidari, I. Maximov, E.-L. Sarwe, L. Montelius: 35, 5154 (1997)
Large scale nanolithography using imprint lithogra- 9.117 K. Pfeiffer, M. Fink, G. Grtzner, G. Bleidieel,
phy, J. Vac. Sci. Technol. B 17, 29612964 (1999) H. Schulz, H.-C. Scheer: Multistep profiles by mix
9.104 D. Lyebyedyev, H.-C. Scheer: Mask definition by and match of nanoimprint and UV-lithography, Mi-
nanoimprint lithography, Proc. SPIE 4349, 8285 croelectron. Eng. 57/58, 381387 (2001)
(2001) 9.118 X. Cheng, L.J. Guo: A combined-nanoimprint-and-
9.105 X.-M. Yan, S. Kwon, A.M. Contreras, J. Bokor, photolithography patterning technique, Microelec-
G.A. Somorjai: Fabrication of large number density tron. Eng. 3/4, 277282 (2004)
platinum nanowire arrays by size reduction lithog- 9.119 X. Cheng, L.J. Guo: One-step lithography for various
raphy and nanoimprint lithography, Nano Lett. 5(4), size patterns with a hybrid mask-mold, Microelec-
745748 (2005) tron. Eng. 3/4, 288293 (2004)
9.106 L.J. Heyderman, B. Ketterer, D. Bchle, F. Glaus, 9.120 N. Kehagias, S. Zankovych, A. Goldschmidt, R. Kian,
B. Haas, H. Schift, K. Vogelsang, J. Gobrecht, L. Tie- M. Zelsmann, C.M. Sotomayor Torres, K. Pfeif-
fenauer, O. Dubochet, P. Surbled, T. Hessler: High fer, G. Ahrens, G. Grtzner: Embedded polymer
volume fabrication of customised nanopore mem- waveguides: design and fabrication approaches,
brane chips, Microelectron. Eng. 67/68, 208213 Superlattices Microstruct. 36(1-3), 201210 (2004)
Part A 9

(2003) 9.121 W. Zhang, S.Y. Chou: Multilevel nanoimprint lithog-


9.107 H. Schift, R.W. Jaszewski, C. David, J. Gobrecht: raphy with submicron alignment over 4 in. Si wafers,
Nanostructuring of polymers and fabrication of Appl. Phys. Lett. 79(6), 845847 (2001)
interdigitated electrodes by hot embossing lithog- 9.122 H. Schulz, M. Wissen, N. Roos, H.-C. Scheer,
raphy, Microelectron. Eng. 46, 121124 (1999) K. Pfeiffer, G. Grtzner: Low-temperature wafer-
9.108 L. Montelius, B. Heidari, M. Graczyk, E.-L. Sarwe, scale warm embossing for mix and match with
T.G.I. Ling: Nanoimprint- and UV-lithography: UV-lithography, SPIE Proc. 4688, 223231 (2002)
308 Part A Nanostructures, Micro-/Nanofabrication and Materials

9.123 I. Martini, J. Dechow, M. Kamp, A. Forchel, J. Koeth: 9.138 S. Johnson, D.J. Resnick, D. Mancini, K.J. Nordquist,
GaAs field effect transistors fabricated by imprint W.J. Dauksher, K. Gehoski, J.H. Baker, L. Dues,
lithography, Microelectron. Eng. 60(3-4), 451455 A. Hooper, T.C. Bailey, S.V. Sreenivasan, J.G. Ekerdt,
(2002) C.G. Willson: Fabrication of multi-tiered structures
9.124 A.P. Kam, J. Seekamp, V. Solovyev, C. Clavijo Cedeo, on step and flash imprint lithography templates,
A. Goldschmidt, C.M. Sotomayor Torres: Nanoim- Microelectron. Eng. 67/68, 221228 (2003)
printed organic field-effect transistors: fabrication, 9.139 D. Suh, J. Rhee, H.H. Lee: Bilayer reversal imprint
transfer mechanism and solvent effects on device lithography: direct metalpolymer transfer, Nano-
characteristics, Microelectron. Eng. 73/74, 809813 technology 15, 11031107 (2004)
(2004) 9.140 Y.P. Kong, H.Y. Lowa, S.W. Pang, A.F. Yee: Duo-mold
9.125 H. Schulz, A.S. Krbes, H.-C. Scheer, L.J. Balk: imprinting of three-dimensional polymeric struc-
Combination of nanoimprint and scanning force tures, J. Vac. Sci. Technol. B 22(6), 32513265 (2004)
lithography for local tailoring of sidewalls of 9.141 T. Borzenko, M. Tormen, G. Schmidt, L.W. Molen-
nanometer devices, Microelectron. Eng. 53, 221224 kamp: Polymer bonding process for nano-
(2000) lithography, Appl. Phys. Lett. 79(14), 22462248
9.126 M. Tormen, L. Businaro, M. Altissimo, F. Romanato, (2001)
S. Cabrini, F. Perennes, R. Proietti, H.-B. Sun, 9.142 X.D. Huang, L.-R. Bao, X. Cheng, L.J. Guo, S.W. Pang,
S. Kawata, E. Di Fabrizio: 3-D patterning by means A.F. Yee: Reversal imprinting by transferring polymer
of nanoimprinting, x-ray and two-photon lithog- from mold to substrate, J. Vac. Sci. Technol. B 20(6),
raphy, Microelectron. Eng. 73/74, 535541 (2004) 28722876 (2002)
9.127 X. Sun, L. Zhuang, W. Zhang, S.Y. Chou: Multilayer 9.143 N. Kehagias, V. Reboud, G. Chansin, M. Zelsmann,
resist methods for nanoimprint lithography on non- C. Jeppesen, C. Schuster, M. Kubenz, F. Reuther,
flat surfaces, J. Vac. Sci. Technol. B 16(6), 39223925 G. Grtzner, C.M. Sotomayor Torres: Reverse-contact
(1998) UV nanoimprint lithography for multilayered struc-
9.128 F. van Delft: Bilayer resist used in e-beam lithogra- ture fabrication, Nanotechnology 18, 175303 (2007)
phy for deep narrow structures, Microelectron. Eng. 9.144 micro resist technology GmbH:
46, 369373 (1999) http://www.microresist.de/ (micro resist technology
9.129 L. Tan, Y.P. Kong, L.-L. Bao, X.D. Huang, L.J. Guo, GmbH, Berlin 2009)
S.W. Pang, A.F. Yee: Imprinting polymer film on 9.145 Polysciences Inc.: http://www.polysciences.com
patterned substrates, J. Vac. Sci. Technol. B 21(6), (Polysciences Inc., Warrington 2009)
27422748 (2003) 9.146 Allresist GmbH: http://www.allresist.de (Allresist
9.130 B. Faircloth, H. Rohrs, R. Tiberio, R. Ruoff, R.R. Krch- GmbH, Strausberg 2009)
navek: Bilayer nanoimprint lithography, J. Vac. Sci. 9.147 C.-Y. Chao, L.J. Guo: Polymer microring resonators
Technol. B 18(4), 18661873 (2000) fabricated by nanoimprint technique, J. Vac. Sci.
9.131 A. Lebib, M. Natali, S.P. Li, E. Cambril, L. Manin, Technol. B 20, 28622866 (2002)
Y. Chen, H.M. Janssen, R.P. Sijbesma: Control of 9.148 Bayer AG: http://plastics.bayer.com (Bayer Material
the critical dimension with a trilayer nanoimprint Science, Leverkusen 2009)
lithography procedure, Microelectron. Eng. 57/58, 9.149 LG Dow Polycarbonate Ltd.: http://www.lg-dow.com
411416 (2001) (LG Dow Polycarbonate Ltd., Yeosu Chunnam 2009)
9.132 Y. Chen, K. Peng, Z. Cui: A lift-off process for high 9.150 J. Tallal, D. Peyrade, F. Lazzarino, K. Berton, C. Perret,
resolution patterns using PMMA/LOR resist stack, Mi- M. Gordon, C. Gourgon, P. Schiavone: Replication of
croelectron. Eng. 73/74, 278281 (2004) sub-40 nm gap nanoelectrodes over an 8 in. sub-
9.133 P. Carlberg, M. Graczyk, E.-L. Sawe, I. Maxi- strate by nanoimprint lithography, Microelectron.
mov, M. Beck, L. Montelius: Lift-off process for Eng. 78/79, 676681 (2005)
nanoimprint lithography, Microelectron. Eng. 67/68, 9.151 Zeon Chemicals L. P.:
203207 (2003) http://www.zeonchemicals.com (Zeon Chemicals L.
9.134 W. Li, J.O. Tegenfeldt, L. Chen, R.H. Austin, S.Y. Chou, P., Louisville 2009)
P.A. Kohl, J. Krotine, J.C. Sturm: Sacrificial polymers 9.152 Topas Advanced Polymers: http://www.topas.com/
for nanofluidic channels in biological applications, (Topas Advanced Polymers, Florence 2009)
Nanotechnology 14, 578583 (2003) 9.153 T. Nielsen, D. Nilsson, F. Bundgaard, P. Shi, P. Szabo,
9.135 MicroChem Corp.: http://www.microchem.com/ (Mi- O. Geschke, A. Kristensen: Nanoimprint lithography
Part A 9

croChem Corp., Newton 2009) in the cyclic olefin copolymer, Topas, a highly UV-
9.136 M.W. Lin, H.-L. Chao, J. Hao, E.K. Kim, F. Palmieri, transparent and chemically resistant thermoplast, J.
W.C. Kim, M. Dickey, P.S. Ho, C.G. Willson: Pla- Vac. Sci. Technol. B 22, 17701775 (2004)
narization for reverse-tone step and flash imprint 9.154 B. Simmons, B. Lapizco-Encinas, R. Shediac,
lithography, Proc. SPIE 6151, 688699 (2006) J. Hachman, J. Chames, J. Brazzle, J. Cere-
9.137 W. Trybula: Sematech, AMRC, and nano, Nanoprint muga, G. Fiechtner, E. Cummings, Y. Fintschenko:
Nanoimpr. Technol. (NNT) Conf., Vienna (2004) Polymeric insulator-based (electrodeless) dielec-
Nanoimprint Lithography Patterning of Resists Using Molding References 309

trophoresis (iDEP) for the monitoring of water-borne nanoimprint lithography, Mater. Sci. Eng. C 15(1/2),
pathogens, Proc. MicroTAS 2, 171173 (2004) 241243 (2001)
9.155 D. Nilsson, S. Balslev, A. Kristensen: A microfluidic 9.168 K. Pfeiffer, F. Reuther, M. Fink, G. Grtzner,
dye laser fabricated by nanoimprint lithography in P. Carlberg, I. Maximov, L. Montelius, J. Seekamp,
a highly transparent and chemically resistant cyclo- S. Zankovych, C.M. Sotomayor Torres, H. Schulz,
olefin copolymer (COC), J. Micromech. Microeng. 15, H.-C. Scheer: A comparison of thermally and
296300 (2005) photochemically cross-linked polymers for nanoim-
9.156 K. Pfeiffer, M. Fink, G. Ahrens, G. Grtzner, printing, Microelectron. Eng. 67/68, 266273 (2003)
F. Reuther, J. Seekamp, S. Zankovych, C.M. So- 9.169 C.D. Schaper, A. Miahnahri: Polyvinyl alcohol tem-
tomayor Torres, I. Maximov, M. Beck, M. Graczyk, plates for low cost, high resolution, complex
L. Montelius, H. Schulz, H.-C. Scheer, F. Steingrber: printing, J. Vac. Sci. Technol. B 22(6), 33233326
Polymer stamps for nanoimprinting, Microelectron. (2002)
Eng. 61/62, 393398 (2002) 9.170 R.M. Reano, Y.P. Kong, H.Y. Low, L. Tan, F. Wang,
9.157 M. Wissen, H. Schulz, N. Bogdanski, H.-C. Scheer, S.W. Pang, A.F. Yee: Stability of functional polymers
Y. Hirai, H. Kikuta, G. Ahrens, F. Reuther, K. Pfeiffer: after plasticizer-assisted imprint lithography, J. Vac.
UV curing of resists for warm embossing, Microelec- Sci. Technol. B 22(6), 32943299 (2002)
tron. Eng. 73/74, 184189 (2004) 9.171 B.K. Long, B.K. Keitz, C.G. Willson: Materials for
9.158 Sumitomo Chemical Corp.: http://www.sumitomo- step and flash imprint lithography (S-FIL), J. Mater.
chem.co.jp/ (Sumitomo Chemical Corp., Sendai 2009) Chem. 17, 35753580 (2007)
9.159 S. Landis, N. Chaix, C. Gourgon, C. Perret, T. Leveder: 9.172 J. Hao, M. Lin, F. Palmieri, Y. Nishimura, H.-
Stamp design effect on 100 nm feature size for 8 L. Chao, M.D. Stewart, A. Collins, K. Jen, C.G. Willson:
inch nanoimprint lithography, Nanotechnology 17, Photocurable silicon-base material for imprinting
27012709 (2006) lithography, Proc. SPIE 6517, 65176580 (2007)
9.160 N. Chaix, C. Gourgon, S. Landis, C. Perret, M. Fink, 9.173 S. Johnson, R. Burns, E.K. Kim, M. Dickey, G. Schmid,
F. Reuther, D. Mecerreyes: Influence of the molecular J. Meiring, S. Burns, C.G. Willson, D. Convey, Y. Wei,
weight and imprint conditions on the formation of P. Fejes, K. Gehoski, D. Mancini, K. Nordquist,
capillary bridges in nanoimprint lithography, Nano- W.J. Dauksher, D.J. Resnick: Effects of etch barrier
technology 17, 40824087 (2006) densification on step and flash imprint lithography,
9.161 C.G. Willson, R.A. Dammel, A. Reiser: Photoresist J. Vac. Sci. Technol. B 23(6), 25532556 (2005)
materials: A historical perspective, Proc. SPIE 3049, 9.174 F. Xu, N. Stacey, M. Watts, V. Truskett, I. McMackin,
2841 (1997) J. Choi, P. Schumaker, E. Thompson, D. Babbs,
9.162 M.D. Stewart, C.G. Willson: Photoresists. In: En- S.V. Sreenivasan, G. Willson, N. Schumaker: Devel-
cyclopedia of Materials: Science and Technology, opment of imprint materials for the step and flash
ed. by K.H.J. Buschow, R.W. Cahn, M.C. Flemings, imprint lithography process, Proc. SPIE 5374, 232241
B. Ilschner, E.J. Kramer, H.E.H. Meijer, S. Mahajan (2004)
(Routledge, New York 2001) pp. 69736978 9.175 M. Vogler, S. Wiedenberg, M. Mhlberger, I. Berg-
9.163 K. Pfeiffer, G. Bleidieel, G. Grtzner, H. Schulz, mair, T. Glinsner, H. Schmidt, E.-B. Kley,
T. Hoffmann, H.-C. Scheer, C.M. Sotomayor Torres, G. Grtzner: Development of a novel, low-viscosity
J. Ahopelto: Suitability of new polymer materials UV-curable polymer system for UV-nanoimprint
with adjustable glass temperature for nano- lithography, Microelectron. Eng. 84, 984988 (2007)
imprinting, Microelectron. Eng. 46, 431434 (1999) 9.176 P. Voisin, M. Zelsmann, R. Cluzel, E. Pargon, C. Gour-
9.164 F. Gaboriau, M.-C. Peignon, A. Barreau, G. Turban, gon, J. Boussey: Characterisation of ultraviolet
C. Cardinaud, K. Pfeiffer, G. Bleidieel, G. Grutzner: nanoimprint dedicated resists, Microelectron. Eng.
High density fluorocarbon plasma etching of new 84, 967972 (2007)
resists suitable for nanoimprint lithography, Micro- 9.177 H. Schmitt, L. Frey, H. Ryssel, M. Rommel, C. Lehrer:
electron. Eng. 53, 501505 (2000) UV nanoimprint materials: surface energies, residual
9.165 F. Gottschalch, T. Hoffmann, C.M. Sotomayor Tor- layers, and imprint quality, J. Vac. Sci. Technol. B
res, H. Schulz, H.-C. Scheer: Polymer issues in 25(3), 785790 (2007)
nanoimprinting technique, Solid-State Electron. 43, 9.178 W.-C. Liao, S.L.-C. Hsu: A novel liquid thermal poly-
10791083 (1999) merization resist for nanoimprint lithography with
9.166 H. Schulz, H.-C. Scheer, T. Hoffmann, C.M. Sotomayor low shrinkage and high flowability, Nanotechnology
Part A 9

Torres, K. Pfeiffer, G. Bleidieel, G. Grtzner, C. Car- 18, 065303 (2007)


dinaud, F. Gaboriau, M.-C. Peignon, J. Ahopelto, 9.179 F.A. Houle, C.T. Rettner, D.C. Miller, R. Sooriyaku-
B. Heidari: New polymer materials for nanoimprint- maran: Antiadhesion considerations for UV nanoim-
ing, J. Vac. Sci. Technol. B 18(4), 18611865 (2000) print lithography, Appl. Phys. Lett. 90, 213103 (2007)
9.167 D. Lyebyedyev, H. Schulz, H.-C. Scheer: Characteri- 9.180 F.A. Houle, E. Guyer, D.C. Miller, R. Dauskardt: Ad-
sation of new thermosetting polymer materials for hesion between template materials and UV-cured
310 Part A Nanostructures, Micro-/Nanofabrication and Materials

nanoimprint resists, J. Vac. Sci. Technol. B 25(4), 9.195 R.W. Jaszewski, H. Schift, B. Schnyder, A. Schneuwly,
11791185 (2007) P. Grning: The deposition on anti-adhesive ultra-
9.181 M. Khler: Etching in Microsystem Technology thin teflon-like films and their interaction with
(Wiley-VCH, Weinheim 1999) polymers during hot embossing, Appl. Surf. Sci. 143,
9.182 H. Schift, J. Gobrecht, B. Satilmis, J. Schtig, 301308 (1999)
F. Meier, W. Raupach: Nanoreplikation im Ver- 9.196 R.W. Jaszewski, H. Schift, P. Grning, G. Margari-
bund: Ein Schweizer Netzwerk, Kunststoffe 94, 2226 tondo: Properties of thin anti-adhesive films used
(2004), in German (English vers.: Nanoreplication in for the replication of microstructures in polymers,
a Network, Kunstst. Plast Eur. 94, 1-4 (2004)) Microelectron. Eng. 35, 381384 (1997)
9.183 S. Park, H. Schift, H.H. Solak, J. Gobrecht: Stamps 9.197 U. Srinivasan, M.R. Houston, R.T. Howe, R. Mabou-
for nanoimprint lithography by extreme ultraviolet dian: Alkyltrichlorosilane-based self-assembled
interference lithography, J. Vac. Sci. Technol. B 22(6), monolayer films for stiction reduction in silicon mi-
32463250 (2004) cromachines, J. Microelectromech. Syst. 7, 252260
9.184 K.A. Lister, B.G. Casey, P.S. Dobson, S. Thoms, (1998)
D.S. Macintyre, C.D.W. Wilkinson, J.M.R. Weaver: 9.198 H. Schulz, F. Osenberg, J. Engemann, H.-C. Scheer:
Pattern transfer of a 23 nm-period grating and sub- Mask fabrication by nanoimprint lithography using
15 nm dots into CVD diamond, Microelectron. Eng. antisticking layers, Proc. SPIE 3996, 244249 (2000)
73/74, 319322 (2004) 9.199 M. Beck, M. Graczyk, I. Maximov, E.-L. Sarwe,
9.185 J. Taniguchi, Y. Tokano, I. Miyamoto, M. Komuro, T.G.I. Ling, M. Keil, L. Montelius: Improving stamps
H. Hiroshima: Diamond nanoimprint lithography, for 10 nm level wafer scale nanoimprint lithography,
Nanotechnology 13, 592596 (2002) Microelectron. Eng. 61/62, 441448 (2002)
9.186 Y. Hirai, S. Yoshida, N. Takagi, Y. Tanaka, H. Yabe, 9.200 H. Schift, S. Saxer, S. Park, C. Padeste, U. Pieles,
K. Sasaki, H. Sumitani, K. Yamamoto: High aspect J. Gobrecht: Controlled co-evaporation of silanes for
pattern fabrication by nano imprint lithography us- nanoimprint stamps, Nanotechnology 16, S171S175
ing fine diamond mold, Jpn. J. Appl. Phys. 42(6B), (2005)
38633866 (2003) 9.201 M. Keil, M. Beck, G. Frennesson, E. Theander,
9.187 S.W. Pang, T. Tamamura, M. Nakao, A. Ozawa, H. Ma- E. Bolmsj, L. Montelius, B. Heidari: Process devel-
suda: Direct nano-printing on Al substrate using SiC opment and characterization of antisticking layers
mold, J. Vac. Sci. Technol. B 16, 11451149 (1998) on nickel-based stamps designed for nanoimprint
9.188 J. Gao, M.B. Chan-Park, D. Xie, Y. Yan, W. Zhou, lithography, J. Vac. Sci. Technol. B 22(6), 32833287
B.K.A. Ngoi, C.Y. Yue: UV embossing of submicron (2002)
patterns on biocompatible polymeric films using 9.202 S. Park, H. Schift, C. Padeste, B. Schnyder, R. Ktz,
a focused ion beam fabricated mold, Chem. Mater. J. Gobrecht: Anti-adhesive layers on nickel stamps
16(6), 956958 (2004) for nanoimprint lithography, Microelectron. Eng.
9.189 M.M. Alkaisi, R.J. Blaikie, S.J. McNab: Low temper- 73/74, 196201 (2004)
ature nanoimprint lithography using silicon nitride 9.203 ABCR GmbH: http://www.abcr.de/ (ABCR GmbH,
molds, Microelectron. Eng. 57/58, 367373 (2001) Karlsruhe 2009)
9.190 Y. Hirai, S. Harada, S. Isaka, M. Kobayashi, Y. Tanaka: 9.204 B. Heidari, I. Maximov, E.-L. Sarwe, L. Montelius:
Nano-imprint lithography using replicated mold by Large scale nanolithography using imprint lithogra-
Ni electroforming, Jpn. J. Appl. Phys. 41(6B), 4186 phy, J. Vac. Sci. Technol. B 17, 29612964 (1999)
4189 (2002) 9.205 B. Heidari, I. Maximov, L. Montelius: Nanoimprint
9.191 Z. Yu, L. Chen, W. Wu, H. Ge, S.Y. Chou: Fabrica- lithography at the 6 in. wafer scale, J. Vac. Sci.
tion of nanoscale gratings with reduced line edge Technol. B 18(6), 35573560 (2000)
roughness using nanoimprint lithography, J. Vac. 9.206 N. Roos, T. Luxbacher, T. Glinsner, K. Pfeiffer,
Sci. Technol. B 21(5), 20892092 (2003) H. Schulz, H.-C. Scheer: Nanoimprint lithography
9.192 N. Roos, H. Schulz, L. Bendfeldt, M. Fink, K. Pfeif- with a commercial 4 inch bond system for hot em-
fer, H.-C. Scheer: First and second generation purely bossing, Proc. SPIE 4343, 427436 (2001)
thermoset stamps for hot embossing, Microelectron. 9.207 L. Bendfeldt, H. Schulz, N. Roos, H.-C. Scheer: Groove
Eng. 61/62, 399405 (2002) design of vacuum chucks for hot embossing lithog-
9.193 N. Roos, H. Schulz, M. Fink, K. Pfeiffer, F. Osen- raphy, Microelectron. Eng. 61/62, 455459 (2002)
berg, H.-C. Scheer: Performance of 4 wafer-scale 9.208 T. Haatainen, J. Ahopelto, G. Grtzner, M. Fink,
Part A 9

thermoset working stamps in hot embossing lithog- K. Pfeiffer: Step and stamp imprint lithography us-
raphy, Proc. SPIE 4688, 232239 (2002) ing a commercial flip chip bonder, Proc. SPIE 3997,
9.194 H. Schift, S. Park, J. Gobrecht, S. Saxer, F. Meier, 874879 (2000)
W. Raupach, K. Vogelsang: Hybrid bendable stamp 9.209 H. Tana, A. Gilbertson, S.Y. Chou: Roller nanoimprint
copies for molding fabricated by nanoimprint, Mi- lithography, J. Vac. Sci. Technol. B 16(6), 39263928
croelectron. Eng. 78/79, 605611 (2005) (1998)
Nanoimprint Lithography Patterning of Resists Using Molding References 311

9.210 M. Tormen: A nano impression lithographic process print lithography, Rev. Sci. Instrum. 75, 44814486
which involves the use of a die having a re- (2004)
gion able to generate heat, European Patent PCT/IB 9.224 C. Clavijo Cedeo, J. Seekamp, A.P. Kam, T. Hoff-
2004/002120 (2004) mann, S. Zankovych, C.M. Sotomayor Torres,
9.211 S.Y. Chou, C. Keimel, J. Gu: Ultrafast and direct C. Menozzi, M. Cavallini, M. Murgia, G. Ruani, F. Bis-
imprint of nanostructures in silicon, Nature 417, carini, M. Behl, R. Zentel, J. Ahopelto: Nanoimprint
835837 (2002) lithography for organic electronics, Microelectron.
9.212 J.J. Shamaly, V.F. Bunze: I-line to DUV transition for Eng. 61/62, 2531 (2002)
critical levels, Microelectron. Eng. 30, 8793 (1996) 9.225 A. Manz, N. Graber, H.M. Widmer: Miniaturized
9.213 J.E. Bjorkholm: EUV lithography The successor to total chemical analysis systems: A novel concept
optical lithography?, Intel Technol. J. Q3 (1998), for chemical sensing, Sens. Actuators B 1, 244248
http://www.intel.com/technology/itj/q31998/articles (1990)
/art_4.htm 9.226 E. Verpoorte, N.F. De Rooij: Microfluidics meets
9.214 D. Wachenschwanz, W. Jiang, E. Roddick, A. Homola, MEMS, Proc. IEEE 91, 930953 (2003)
P. Dorsey, B. Harper, D. Treves, C. Bajorek: Design of 9.227 A. Pepin, P. Youinou, V. Studer, A. Lebib, Y. Chen:
a manufacturable discrete track recording medium, Nanoimprint lithography for the fabrication of DNA
IEEE Trans. Mag. 41, 670675 (2005) electrophoresis chips, Microelectron. Eng. 61/62,
9.215 G.M. McClelland, M.W. Hart, C.T. Rettner, M.E. Best, 927932 (2002)
K.R. Carter, B.D. Terris: Nanoscale patterning of 9.228 J.O. Tegenfeldt, C. Prinz, H. Cao, R.L. Huang,
magnetic islands by imprint lithography using R.H. Austin, S.Y. Chou, E.C. Cox, J.C. Sturm: Micro-
a flexible mold, Appl. Phys. Lett. 81, 14831485 and nanofluidics for DNA analysis, Anal. Bioanal.
(2002) Chem. 378, 16781692 (2004)
9.216 G.F. Cardinale, J.L. Skinner, A.A. Talin, R.W. Bro- 9.229 S.Y. Chou: Patterned magnetic nanostructures and
cato, D.W. Palmer, D.P. Mancini, W.J. Dauksher, quantized magnetic disks, Proc. IEEE 85, 652671
K. Gehoski, N. Le, K.J. Nordquist, D.J. Resnick: Fab- (1997)
rication of a surface acoustic wave-based correlator 9.230 M.N. Baibich, J.M. Broto, A. Fert, F. Nguyen
using step-and-flash imprint lithography, J. Vac. Van Dau, F. Petroff, P. Eitenne, G. Creuzet,
Sci. Technol. B 22, 32653270 (2004) A. Friederich, J. Chazelas: Giant magnetoresistance
9.217 S.-W. Ahn, K.-D. Lee, J.-S. Kim, S.H. Kim, S.H. Lee, of (001)Fe/(001)Cr magnetic superlattices, Phys. Rev.
J.-D. Park, P.-W. Yoon: Fabrication of subwave- Lett. 61, 24722475 (1988)
length aluminum wire grating using nanoimprint 9.231 Y. Li, A.K. Menon: Magnetic recording technologies:
lithography and reactive ion etching, Microelectron. Overview. In: Encyclopedia of Materials: Science and
Eng. 78/79, 314318 (2005) Technology, ed. by K.H.J. Buschow (Elsevier, Amster-
9.218 J. Seekamp, S. Zankovych, A.H. Helfer, P. Maury, dam 2001) pp. 49484957
C.M. Sotomayor Torres, G. Bttger, C. Liguda, M. Eich, 9.232 L.F. Shew: Discrete tracks for saturation magnetic
B. Heidari, L. Montelius, J. Ahopelto: Nanoimprinted recording, IEEE Trans. Broadcast Telev. Receiv. 9, 56
passive optical devices, Nanotechnology 13, 581586 62 (1963)
(2002) 9.233 A.K. Menon: Interface tribology for 100 Gb/in2 , Tribol.
9.219 C.M. Sotomayor Torres, S. Zankovych, J. Seekamp, Int. 33, 299308 (2000)
A.P. Kam, C. Clavijo Cedeo, T. Hoffmann, 9.234 Y. Soeno, M. Moriya, K. Ito, K. Hattori, A. Kaizu,
J. Ahopelto, F. Reuther, K. Pfeiffer, G. Blei- T. Aoyama, M. Matsuzaki, H. Sakai: Feasibility of
dieel, G. Grtzner, M.V. Maximov, B. Heidari: discrete track perpendicular media for high track
Nanoimprint lithography: An alternative nanofab- density recording, IEEE Trans. Magn. 39, 19671971
rication approach, Mater. Sci. Eng. C 23, 2331 (2003)
(2003) 9.235 S.Y. Chou, M. Wei, P.R. Krauss, P.B. Fisher: Study
9.220 J. Wang, X. Sun, L. Chen, S.Y. Chou: Direct nanoim- of nanoscale magnetic structures fabricated using
print of submicron organic light-emitting structures, electron beam lithography and quantum magnetic
Appl. Phys. Lett. 75, 27672769 (1999) disk, J. Vac. Sci. Technol. B 12, 36953698 (1994)
9.221 X. Cheng, Y. Hong, J. Kanicki, L.J. Guo: High- 9.236 R.L. White, R.M.H. Newt, R.F.W. Pease: Patterned
resolution organic polymer light-emitting pixels media: A viable route to 50 Gbit/in2 and up for
fabricated by imprinting technique, J. Vac. Sci. Tech- magnetic recording?, IEEE Trans. Magn. 33, 990995
Part A 9

nol. B 20, 28772880 (2002) (1997)


9.222 D. Pisignano, L. Persano, E. Mele, P. Visconti, R. Cin- 9.237 B.D. Terris, T. Thomson: Nanofabricated and self-
golani, G. Gigli, G. Barbarella, L. Favaretto: Emission assembled magnetic structures as data storage
properties of printed organic semiconductor lasers, media, J. Phys. D 38, R199R222 (2005)
Opt. Lett. 30, 260262 (1995) 9.238 Z.Z. Bandic, E.A. Dobisz, T.-W. Wu, T.R. Albrecht:
9.223 D. Nilsson, T. Nielsen, A. Kristensen: Solid state Patterning on hard disk drives, Solid State Technol.
micro-cavity dye lasers fabricated by nanoim- Sept, 5759 (2006)
312 Part A Nanostructures, Micro-/Nanofabrication and Materials

9.239 A. Kikitsu, Y. Kamata, M. Sakurai, K. Naito: Recent 9.255 J.O. Tegenfeldt, C. Prinz, H. Cao, S. Chou, W.W. Reis-
progress of patterned media, IEEE Trans. Magn. 43, ner, R. Riehn, Y.M. Wang, E.C. Cox, J.C. Sturm,
36853688 (2007) P. Silberzan, R.H. Austin: The dynamics of genomic-
9.240 M. Natali, A. Lebib, E. Cambril, Y. Chen, I.L. Prej- length DNA molecules in 100-nm channels, Proc.
beanu, K. Ounadjela: Nanoimprint lithography of Natl. Acad. Sci. USA 101, 1097910983 (2004)
high-density cobalt dot patterns for fine tuning 9.256 L.J. Guo, X. Cheng, C.-F. Chou: Fabrication of
of dipole interactions, J. Vac. Sci. Technol. B 19, size-controllable nanofluidic channels by nanoim-
27792783 (2001) printing and its application for DNA stretching, Nano
9.241 J. Moritz, B. Dieny, J.P. Nozieres, S. Landis, A. Lebib, Lett. 4, 6973 (2004)
Y. Chen: Domain structure in magnetic dots prepared 9.257 C. Bustamante, J.F. Marko, E.D. Siggia, S. Smith:
by nanoimprint and e-beam lithography, J. Appl. Entropic elasticity of -phage DNA, Science 265,
Phys. 91, 73147316 (2002) 15991600 (1994)
9.242 P. Lalanne, M. Hutley: Artificial media optical 9.258 W. Kern, D.A. Puotinen: RCA Rev. 31, 187206 (1970)
properties-subwavelength scale. In: Enclopedia of 9.259 L.H. Thamdrup, A. Klukowska, A. Kristensen:
Optical Engineering, ed. by R.G. Driggers (Dekker, Stretching DNA in polymer nanochannels fabricated
New York 2003) pp. 6271 by thermal imprint in PMMA, Nanotechnology 19,
9.243 http://www.pcmag.com/ (last accessed December 9, 125301 (2008)
2009) 9.260 M.J. Dalby, N. Gadegaard, R. Tare, A. Andar,
9.244 Z. Yu, W. Wu, L. Chen, S. Chou: Fabrication of large M.O. Riehle, P. Herzyk, C.D.W. Wilkinson, R.O.C. Or-
area 100 nm pitch grating by spatial frequency effo: The control of human mesenchymal cell
doubling an nanoimprint lithography for subwave- differentiation using nanoscale symmetry and dis-
length optical applications, J. Vac. Sci. Technol. B 19, order, Nat. Mater. 6, 9971003 (2007)
28162819 (2001) 9.261 K. Seunarine, D.O. Meredith, M.O. Riehle, C.D.W. Wil-
9.245 MOXTEK Inc.: http://www.moxtek.com/ (last ac- kinson, N. Gadegaard: Biodegradable polymer tubes
cessed December 9, 2009) with lithographically controlled 3-D micro- and
9.246 NanoOpto, API Nanotronics Corp.: nanotopography, Microelectron. Eng. 85(5/6), 1350
http://www.nanoopto.com/ (last accessed Decem- 1354 (2008)
ber 9, 2009) 9.262 A. Kapr: Johann Gutenberg: The Man and His Inven-
9.247 A.A. Erchak, D.J. Ripin, S. Fan, P. Rakich, tion (Scolar, London 1996), http://www.gutenberg.
J.D. Joannopoulos, E.P. Ippen, G.S. Petrich, de/publ.htm
L.A. Kolodziejski: Enhanced coupling to vertical ra- 9.263 EVGroup: http://www.evgroup.com/ (EVGroup, St.
diation using a two-dimensional photonic crystal in Florian 2009)
a semiconductor light-emitting diode, Appl. Phys. 9.264 SSS Microtec: http://www.suss.com/ (SSS Microtec,
Lett. 78, 563565 (2001) Garching 2009)
9.248 S.H. Kim, K.-D. Lee, J.-Y. Kim, M.-K. Kwon, S.- 9.265 Obducat: http://www.obducat.com/ (Obducat, Mal-
J. Park: Fabrication of photonic crystal structures on m 2009)
light emitting diodes by nanoimprint lithography, 9.266 Smart Equipment Technology S.A.S.:
Nanotechnology 18, 055306 (2007) http://www.set-sas.fr/ (Smart Equipment Technol-
9.249 L.J. Guo, X. Cheng, C.Y. Chao: Fabrication of pho- ogy S.A.S., Saint Jeoire 2009)
tonic nanostructures in nonlinear optical polymers, 9.267 Jenoptik: http://www.jenoptik.com (Jenoptik, Jena
J. Mod. Opt. 49, 663673 (2002) 2009)
9.250 C.-Y. Chao, L.J. Guo: reduction of surface scatter- 9.268 Molecular Imprints:
ing loss in polymer microrings using thermal-reflow http://www.molecularimprints.com/ (Molecular Im-
technique, IEEE Photon. Technol. Lett. 16, 14981500 prints, Austin 2009)
(2004) 9.269 Nanonex: http://www.nanonex.com/ (Nanonex,
9.251 H.C. Hoch, L.W. Jelinski, H.G. Craighead (Eds.): Monmouth Junction 2009)
Nanofabrication and Biosystems: Integrating Ma- 9.270 Eulitha: http://www.eulitha.com/ (Eulitha, Villigen
terials Science, Engineering, and Biology (Cam- 2009)
bridge Univ. Press, Cambridge 1996) 9.271 NIL Technology: http://www.nilt.com/ (NIL Technol-
9.252 H.G. Craighead: Nanoelectromechanical systems, ogy, Kongens Lyngby 2009)
Science 290, 15321535 (2000) 9.272 Sematech: http://www.sematech.org/ (Sematech,
Part A 9

9.253 L.R. Huang, J.O. Tegenfeldt, J.J. Kraeft, J.C. Sturm, Austin 2009)
R.H. Austin, E.C. Cox: A DNA prism for high-speed 9.273 M. Beck, B. Heidari: Nanoimprint lithography for
continous frationation of large DNA molecules, Nat. high volume HDI manufacturing, OnBoard Technol.
Biotechnol. 20, 10481051 (2002) Sept., 5255 (2006),
9.254 H.G. Craighead: Nanostructure science and technol- http://www.onboard-technology.com/
ogy: Impact and prospects for biology, J. Vac. Sci. 9.274 L. Olsson: Method and device for transferring a pat-
Technol. A 21, S216S221 (2003) tern, European Patent PCT/SE 2003/001003 (2002)

Вам также может понравиться